Sunteți pe pagina 1din 6

Third International Conference on Devices, Circuits and Systems (ICDCS'16) 275

Power Analysis of Bit interleaving 9T


SRAM array
1
Karthika .S , 2 Dr. N.M. SivaMangai
Department of Electronics and Communication,
Karunya University,Tamil Nadu, India
1
karthikapillai819@gmail.com
2
nmsivam@gmail.com

Abstract - S oft error issue is major concern in S RAM Many discussions have been made to
array. It can be overcome if the array is constructed improve the data retention ability of the SRAM cell
in bit interleaving architecture. Hence in this paper, in recent years. Many assist techniques have been
an analysis of bit interleaving S RAM array to
reported which concentrates on improving the cell-
overcome soft error using 9T S RAM cell has been
operating margins like write margin and Read
made. It shows that 9T S RAM array with bit
margin [1]. In order to obtain the same, many
interleaving architecture has less power and has good
stability when compared to shared word line
authors have suggested SRAM cell design with

architecture using 6T S RAM cell. Area, Power and more number of transistors [3-5].This helps in
S NM has been compared for 6T S RAM and 9T improving the stability by having separate read port
S RAM. Results indicates that 9T S RAM cell shows and write port but most of them can be
power reduction of 75.89 % when compared to implemented using word – line sharing architecture
conventional 6T S RAM cell with trade - off of 25.98
not bit inter leaving architecture. Word line sharing
% increase in area. The 9T S RAM cell also has 63.03
architecture increases the probability of soft errors
% improvement in S NM than 6T S RAM cell.
because all the bits of same words are kept adjacent
Keywords – Bit interleaving , SRAM , SNM , Shared to each other whereas in bit interleaving
word line architecture bits of adjacent words are interleaved
in order to avoid the probability of soft errors. Also
I. Introduction
cells arranged in an array undergoes half selected
High Stability and Low Power plays a issue which leads to more power consumption as
very important role in designing of SRAM (Static well as less stability. This problem can be
Random Access Memory). Today’s era of overcome by using modified 9T SRAM cell
advanced technologies demands for low power structure and the bit interleaving architecture[1].
consuming architectures with high stability. In
So in this paper, an analysis of bit
SoC, most of the area is occupied by SRAM and
interleaving SRAM array to overcome soft error
hence it dominates the total power consumption as
using 9T SRAM cell has been made. It shows that
well as system performance. So to maintain the low
9T SRAM array with bit interleaving architecture
power as well as stability remains the major theme
has less power and has good stability when
of SRAM designs.
compared to shared wordline architecture using 6T
SRAM cell. Rest of the paper is organized as

978-1-5090-2309-7/16/$31.00©2016 IEEE
Third International Conference on Devices, Circuits and Systems (ICDCS'16) 276

follows: Section II reviews the 6T SRAM cell. III. DESIGN METHODOLOGY


Section III explains the Design Methodology and
A single-ended read disturb-free 9T
Section IV analyses the power and stability of
SRAM cell has been used for bit-interleaving
SRAM cell. Section V concludes the paper.
architecture. It consist of a single bit line (BL) but
II. 6T SRAM CELL
an extra wordline (RWL) has been used for read
The conventional 6T SRAM cell is shown
operation. The read and write operations are
in Figure 1 ,which includes two back to back
assisted by NMOS transistor (M8) which is
inverters (M 5, M 2 , M 6 and M 1 ) and two access
controlled by CBLB. Figure 2 shows the 9T SRAM
transistors (M 3 and M 4 ).These access transistors are
cell. During the hold mode, RWL is made low and
used to provide path to the storage transistor(M 1
WL is made high which makes the transistors (M3
and M 2 ).SRAM includes three phases of operation
and M4 ) to be in off state hence isolating the
: write, precharge and read. The wordline (WL)
storage nodes from external disturbance. A t the
controls the operation of access transistors. During
same time, complementary signal of CBL (CBLB)
write operation, the wordline is made high and the
is made high, which turns the transistor M8 on. As
bitline( BL) and Bitlinebar (BLBAR) value is
a result, the data is retained in the cross coupled
stored in the storage nodes(out 1 and out 2 )
back-to-back inverter pair. A write operation is
respectively. The write phase is followed by the
initiated by making the WL to be low and
precharge phase during which the bitline (BL) and
activating the CBL signal to high which precharges
bitline bar (BLBAR) is precharged to Vdd by
the LWL signal to ‘1’. As a result , the value in bit
turning off the wordline. At the time of read phase,
– line is transferred to storage nodes through M3.
again the wordline is activated which leads to the
The Conventional SRAM cell suffers voltage
droping of either bitline or bitline bar based on the
dividing between access and pull-down transistors
value written.
which degrades the stored value.

Figure 1. Conventional 6T SRAM cell

The values stored in SRAM cell is prone to


external noises which will cause the stored data to
Figure 2. 9T SRAM cell
drop. So the circuit should be immune to the
external noises in order to have better performance This problem is solved using the
and data retention. The immunity of the circuit to controlling transistor M8 which is in off state
the noise is given on the basis of Static Noise during write hence isolating the storage nodes from
Margin or SNM. So, it is very important to have external noise. During the read operation, RWL is
high SNM value. activated and the BL is precharged to high . First
Third International Conference on Devices, Circuits and Systems (ICDCS'16) 277

and foremost, the BL is pre-charged to high. The For the next 25ns, the wordline is made low and the
M1 and M4 transistors assist the read operation and bit lines (BL, BLBAR) are precharged to Vdd . After
the opposite of the stored data is read out. Also the 50ns, the Read operation is initiated during which
M8 transistor isolates the storage node from read the wordline (WL) is again made high that results
current. After a read operation, the CBLB is again in the voltage drop of out 1 and out 2 remains at
made high, which makes the cell to be in hold Vdd. This indicates that the data “1” has been
mode thus retaining the data. stored SRAM cell. The immunity of the circuit to
the external noise is given in terms of SNM. Figure
IV . RESULTS AND DISCUSSION
5 shows SNM curve for conventional 6T SRAM

All the simulations has been carried out in cell. The basic SNM curve of SRAM is obtained by

45nm technology using cadence tool. Figure 3 superimposing the voltage transfer characteristics

shows the schematic view of 6T SRAM cell. (VTC) of two back to back connected inverters.
The resulting two lobed curve is referred as the
“butterfly curve”. The SNM is represented as the
length of the side of the largest square that can be
embedded into the lobes of the curve. The SNM
value is 141. 5 mV

141.5 mV

Figure 3. Schematic view of 6T SRAM cell

Figure 4 shows the output waveform of


conventional 6T SRAM cell during write “1”
mode. From 0 to 25ns, the write operation is
activated by making the wordline to be at 1V(Vdd)
Figure 5 . SNM of 6T SRAM cell
and bitlines (BL,BLBAR) at 1V and 0V
respectively. Figure 6 Shows the layout of the 6T SRAM cell.

Figure 4. Output Waveform of 6T SRAM cell


Figure 6. Layout of 6T SRAM cell
Third International Conference on Devices, Circuits and Systems (ICDCS'16) 278

The area occupied by 6T SRAM cell is 4.86um


sq. Figure 9 shows the schematic view of 9T
382.84 mV
SRAM cell.

Figure 11. SNM of 9T SRAM cell

Figure 12 shows the layout of the 9T SRAM cell.


The area occupied by 9T SRAM cell is 6.566 um

Figure 9. Schematic view of 9T SRAM cell sq.

Figure 10 shows the output waveform of 9T


SRAM cell during write “1”.Initially wordline
(WL) is maintained at 1V and CBL at 0V which
initiates the HOLD mode. At 25ns , wordline is
changed to 0V and CBL, Bitline (BL) are charged
to 1V. At 50ns, Read operation is initiated by
turning the RWL to 1V. It leads to the droping of
bitline which indicates logic “1” is written.

Figure 12. Layout of 9T SRAM cell

Figure 13 shows the schematic view of 16 x 16


6T SRAM array.

Figure 10 The transient simulation during write “1

Figure 11 shows the Static noise margin curve of


9T SRAM cell. The SNM is obtained by
performing the DC analysis for the pair of inverter.
During the read operation, the feedback loop of
Figure 13. 16x16 6T SRAM array
inverter is cut down which isolates the storage
node from any sort of external disturbances. Thus Figure 14 shows the transient simulation of 16 x
providing a very high stability for 9T SRAM cell. 16 6T SRAM array. The 6T array undergoes the
The SNM value for 9T SRAM cell is 382.84 mV.
Third International Conference on Devices, Circuits and Systems (ICDCS'16) 279

problem of half selected cell which leads to extra


power consumption and drop in output voltage with
increase in number of stages. In 6T array when a
particular cell is accessed, all the adjacent cells
undergoes read operation which leads to voltage
drop at the storage node.

Figure 16. Transient Simulation of 16 x 16 9T


SRAM array

Table 1 shows the power comparison for 9T and


6T SRAM array.

Figure 14 . Transient Simulation of 16 x16 6T Table1. Power comparison of 9T and 6T SRAM

SRAM array array

Figure 15 shows the 16 x 16 9T SRAM array 6T SRAM array 9T SRAM array


Power
(uW) 95.84 23.10

V. CONCLUS ION

The 9T SRAM array with bit inter leaving


architecture has been constructed to overcome the
soft error issues. Results indicates that 9T SRAM

Figure 15 . 16 x 16 9T SRAM array cell shows power reduction of 75.89 % when


compared to conventional 6T SRAM cell with
Figure 16 shows the output waveform of 9T array. trade - off of 25.98 % increase in area. The 9T
The 9T array has an add on feature of column bit SRAM cell also has 63.03 % improvement in
lines which isolates the adjacent cell hence
SNM than 6T SRAM cell.
removing the half selected cell issue. The write
/read operation in a particular cell does not affect REFERENCES
the corresponding cells due to presence of column
[1] Liang Wena,n, Zhikui Duanb, Yi Lia, Xiaoyang
lines hence it named as bit interleaving
Zenga et al, Analysis of a read disturb - free 9T
architecture.
SRAM cell with bit – interleaving capability,
Microelectronics Journal (2014 ).
Third International Conference on Devices, Circuits and Systems (ICDCS'16) 280

[2] Anh-Tuan Do, Jeremy Yung Shern Low, Joshua [8] Meng-Fan Chang, Jui-Jen Wu, Kuang-Ting
Yung Lih Low, et al., An 8T differential SRAM Chen, et al., A differential dataaware power-
with improved noise margin for bit-interleaving in supplied (D2AP) 8T SRAM cell with expanded
65 nm CMOS, IEEE Trans. Circuits Syst.—I write/read stabilities for low VDD min
Regul. Pap. 58 (6) (2011) 1252–1263. applications, IEEE J. Solid-State Circuits 45 (6)
(2010) 1234–1245.
[3] Ik Joon Chang, Jae-Joon Kim, et al., A 32 kb
10T sub-threshold SRAM array with bit- [9] Ming-Hsien Tu, Jihi-Yu Lin, Single-ended
interleaving and differential read scheme in 90 nm subthreshold SRAM with asymmetrical write/read-
CMOS, IEEE J. SolidState Circuits 4 (2) (2009) assist, IEE Trans. Circuits Syst.—I: Regul. Pap. 57
650–658. (12) (2010) 3039–3047.

[4] Ming-Hsien Tu, Jihi-Yu Lin, Ming-Chien [10] R.E. Aly, M.A. Bayoumi, Low-power cache
Tsan, et al., A single-ended disturbfree 9T design using 7T SRAM cell, IEEE Trans. Circuits
subthreshold SRAM with cross -point data-aware Syst.: Express Briefs 54 (4) (2007) 318–322.
write word-line structure, negative bit-line, and
[11] T. Suzuki, H. Yamauchi, Y. Yamagami, et al.,
adaptive read operation timing tracing, IEEE J.
A stable 2-port SRAM cell design against simul-
Solid-State Circuits 47 (6) (2012) 1–14.
taneously read/write-disturbed accesses, IEEE J.
[5] Meng-Fan Chang, Shi-Wei Chang, Po-Wei Solid-State Circuits 43 (9) (2008) 2109–2119.
Chou, et al., A 130 mV SRAM with expanded
[12] A. Islam, M. Hasna, Leakage characterization
write and read margins for subthreshold
of 10T SRAM cell, IEEE Trans. Electron Devices
applications, IEEE J. SolidState Circuits 46 (2)
59 (3) (2012) 631–638.
(2011) 520–529.

[13] B.H. Calhoun, A.P. Chandrakasan, A 256-kb


[6] Adam Teman, et al., A 250 mV 8 kb 40 nm
65 nm subthreshold SRAM design for ultra-low-
ultra-low power 9Tsupply feedback SRAM (SF-
voltage operation, IEEE J. Solid-State Circuits 42
SRAM), IEEE J. Solid-State Circuits 46 (11)
(3) (2007) 680–688.
(2011) 2713–2726.

[14] E. Seevinck, F.J. List, J. Lohstroh, Static noise


[7] Zhiyu Liu, Volkan Kursun, Characterization of
margin analysis of MOS SRAM cell, IEEE J.
a novel nine-transistor SRAM cell, IEEE Trans.
Solid-State Circuits 22 (5) (1987) 748–754.
Very Large Scale Integr. Syst. 16 (4) (2008) 488–
492.

S-ar putea să vă placă și