Documente Academic
Documente Profesional
Documente Cultură
Soto Vergel
MICROELECTRÓNICA
ÁNGELO JOSEPH SOTO VERGEL
Docente
Agenda
Angelo Joseph
Soto Vergel
No. TEMA TP TI
1 Introducción A La Microelectrónica 8 12
2 Familias Lógicas 12 16
3 Fundamentos De Lógica Combinatoria 16 16
4 Diseño Microelectrónico De Alto Nivel 16 16
5 Diseño A Nivel RT 25 16
Angelo Joseph
Soto Vergel
Unidad 1:
Introducción A La
Microelectrónica
Ángelo Joseph Soto Vergel
El Pasado De La
Angelo Joseph
Soto Vergel
Electrónica
“La predicción de la existencia de ondas Amplificadores, osciladores, receptores, etc.
electromagnéticas y su posibilidad de 1920 – Primera emisora de radiodifusión
propagación en el espacio, constituye muy 1930 – La televisión en blanco y negro
1933 – Armstrong desarrollo la modulación FM
probablemente, la base del posterior desarrollo
1938 – Primer receptor en FM
del posterior desarrollo de las comunicaciones, 1950 – El primer transistor eficiente y la TV a color
y en definitiva, de la Electrónica” 1961 – Circuitos Integrados comerciales
“El proceso de fabricación CMOS requiere que se construyan transistores tanto de cana n
(NMOS) como de canal p (PMOS) sobre un mismo material de silicio”
La integración CMOS consiste en formar zonas
semiconductoras N y P y la zona de óxido de puerta con
polisilicio encima de ella e interconectar los diversos
transistores entre sí y con la fuente de alimentación, todas
estas conexiones mediante líneas de metal (aluminio).
“Las regiones citadas no se
encuentran en el mismo plano
sino en «pisos» sucesivos”
Angelo Joseph
Soto Vergel
Proceso De Fabricación
“El transistor MOSFET es la estructura actualmente más utilizada en la
tecnología microelectrónica VLSI (Very-large-scale integration)”
Circuito Lógico
Construir Bloques
alto nivel: familia lógica.
• Diagrama. • Esquemas a nivel
• HDL (Hardware de transistores:
Description Nivel eléctrico.
Language). • Layout a partir
del nivel
eléctrico: Nivel
geométrico.
Angelo Joseph
Soto Vergel Reglas De Diseño
Es importante centrar la
atención en los niveles
eléctricos y geométricos,
por ser aquellos en los
que la acción del
diseñador es importante.
Procesos De Diseño
Angelo Joseph
Soto Vergel
Tecnologías
Arseniuro de galio “El material base de los n – well (Europa): el dopado de la oblea
(AsGa) circuitos integrados es es de tipo p.
una oblea o disco de
semiconductor”
Germanio – Silico
(GeSi) p – well (EEUU): el dopado de la oblea es
de tipo n.
“En el caso de diseño, el flujo más utilizado es el top – down, ya que cuando la
descripción es compleja las especificaciones detalladas son muy difíciles de cumplir”
Técnicas De Diseño
Diferentes pasos del flujo de diseño top-down
Angelo Joseph
Soto Vergel
Microelectrónico
Técnicas De Diseño
Angelo Joseph
Soto Vergel Microelectrónico
“No se puede crear el circuito ideal en el que se optimicen simultáneamente el tiempo de diseño y sus
prestaciones, por lo que hay que decidir la estrategia con la cual abordar la tarea de diseño”
Algoritmos
VHDL
Unidad 2:
Familias Lógicas
Ángelo Joseph Soto Vergel
Introducción
Angelo Joseph
Soto Vergel
CMOS
La utilización de transistores MOS como resistencias de
polarización permitió configurar puertas lógicas utilizando
únicamente transistores, cuyo área de integración es mucho
menor, al prescindir de resistencias integradas de valores
relativamente altos. De esta forma, las puertas MOS suponen
un nuevo avance cualitativo en la miniaturización de la
electrónica digital, reducción que afecta no solamente al
tamaño y a la densidad de integración, sino también, y en
forma aún más significativa, al consumo.
Angelo Joseph
Soto Vergel Puertas Lógicas De La
Familia CMOS
Los transistores operan de forma
complementaria. Cuando la tensión de
entrada se encuentra en alto (1 lógico),
el transistor NMOS entra en estado de
conducción y el transistor PMOS entra
en corte, haciendo que la salida quede
en bajo (0 lógico). La situación inversa
ocurre cuando la tensión se encuentra
en bajo.
“Los tiempos de
propagación no son
linealmente
acumulativos ya
Retardos de
que la segunda
propagación en puerta inicia su
puertas lógicas conmutación antes
de que la primera
complete la suya”
Angelo Joseph
Soto Vergel Diagrama De Tiempos:
Retardos
TTL CMOS 3.3 V CMOS 5V
Característica F LS ALS LV LVC ALVC HC AC AHC
𝒕𝑷𝑳𝑯+𝒕𝑷𝑯𝑳
Retardo de propagación, 𝒕𝒑 = 𝒏𝒔 a
𝟐 3.3 10 7 9 4.3 3 7 5 3.7
25 °C
“Basadas en
transistores MOSFET
para lograr alta
densidad”
Angelo Joseph
Soto Vergel
Clasificación De
Memorias
RAM (Random Access Memory): Es volátil. Almacena variables y
datos.
ROM (Read Only Memory): Contiene el programa de instrucciones.
• ROM con máscara: Se graba durante la fabricación. Elevado
costo.
• OTP (One Time Programmable): Se puede programar una única
vez.
• EPROM (Erasable Programmable ROM): Puede reprogramarse
múltiples veces. Se somete a rayos ultravioletas durante varios
minutos.
• EEPROM (Electrical Erasable Programmable ROM): Puede
reprogramarse múltiples veces de una forma rápida y sencilla.
• FLASH: Memoria no volátil de bajo consumo, que se puede escribir
y borrar. Más rápida y de mayor densidad que la EEPROM.
Clasificación De Memorias
Angelo Joseph
Soto Vergel
SRAM
Clasificación De Memorias
Angelo Joseph
Soto Vergel
Programables (PLDs)
Existen en la actualidad infinidad
de arquitecturas diferentes de PLDs
y su número se incrementa día a
día. Aunque resulta casi imposible
hacer una referencia completa de
todos los tipos de PLDs en el
mercado.
Ya que generalmente los PLDs
disponen de muchas entradas y Representación simplificada de
resultaría muy complicado una función
mostrarlas en un dibujo, se utiliza
una representación simplificada.
Arquitecturas De Los
Dispositivos Lógicos
Angelo Joseph
Soto Vergel
Programables (PLDs)
PAL (Programmable Array Logic):
También llamados PLAs, son un tipo
de PLDs en las que se pueden
programar las uniones en la matriz de
puertas AND, siendo fijas las uniones
en la matriz de puertas OR. Los
dispositivos con arquitectura PAL son
los más populares y los más utilizados.
Arquitecturas De Los
Dispositivos Lógicos
Angelo Joseph
Soto Vergel
Programables (PLDs)
FPLA (Field Programmable Logic
Array): Es un PLD en el que se
pueden programar las uniones en
ambas matrices. Son los dispositivos
más flexibles, pero resultan
penalizados en tamaño y en
velocidad debido a los transistores
adicionales en la matriz de puertas
OR. Se utilizan fundamentalmente
para construir máquinas de estados.
Arquitecturas De Los
Dispositivos Lógicos
Angelo Joseph
Soto Vergel
Programables (PLDs)
PROM (Programmable Read Only
Memory): Es un PLD en el que las
uniones en la matriz de puertas AND
es fija, siendo programables las
uniones en la matriz de puertas OR.
Están muy bien adaptadas para
aplicaciones tales como: tablas,
generadores de caracteres,
convertidores de códigos, etc.
Taller Teórico
Angelo Joseph
Soto Vergel
(CPLD – FPGA)
CPLD (Complex Programmable
Logic Device): extiende el
concepto de un PLD a un
mayor nivel de integración. Se
forma con múltiples bloques
lógicos, cada uno similar a un
PLD. Los bloques lógicos se
comunican entre sí utilizando
una matriz programable de
interconexiones.
Arquitectura básica de un CPLD
Dispositivos Lógicos
Programables Avanzados
Angelo Joseph
Soto Vergel
(CPLD – FPGA)
FPGA (Field Programmable
Gate Array): su arquitectura
consiste en arreglos de
varias celdas lógicas las
cuales se comunican unas
con otras mediante canales
de conexión verticales y
horizontales.
(CPLD – FPGA)
“Los Dispositivos Lógicos Programables están situados en una
zona intermedia entre los dispositivos a medida y la lógica de
catálogo formada por los CI de función fija. Tienen casi
todas las ventajas de los ASICs sin estar penalizados por un
costo elevado para pequeñas series”
“El trabajo con Dispositivos Lógicos Programables
proporciona: facilidad de diseño, prestaciones, fiabilidad,
economía y seguridad”
Taller Teórico
Angelo Joseph
Soto Vergel
Unidad 3:
Fundamentos De Lógica
Combinatoria
Ángelo Joseph Soto Vergel
Angelo Joseph
Soto Vergel Sistemas Numéricos Y Su
Representación
Se le llama sistema de numeración a un conjunto de
símbolos y reglas que son utilizan para la representación de
datos numéricos y cantidades. Estos se caracterizan por su
base, es decir, el número de símbolos distintos que un sistema
numérico utiliza, aparte es el coeficiente el cual determina el
valor de cada símbolo dependiendo de la posición que este
ocupe. Los sistemas numéricos mas usados son: Decimal,
binario, octal, hexadecimal.
Angelo Joseph
Soto Vergel Sistemas Numéricos Y Su
Representación
Angelo Joseph
Soto Vergel Sistemas Numéricos Y Su
Representación
Angelo Joseph
Soto Vergel Sistemas Numéricos Y Su
Representación
Sistema Decimal: Para representar un dígito se tiene 10
posibles representaciones: Los números del 0 al 9.
Angelo Joseph
Soto Vergel Sistemas Numéricos Y Su
Representación
Sistema Binario: Cada uno de los dígitos del sistema binario
son:1 y 0, es denominado bit.
Angelo Joseph
Soto Vergel Sistemas Numéricos Y Su
Representación
Sistema Octal: Utiliza dígitos del 0 al 7, es usado en la
computación para tener una base que sea potencia
exacta de 2.
Angelo Joseph
Soto Vergel Sistemas Numéricos Y Su
Representación
Sistema Octal: Las posiciones de los dígitos equivalen a un
peso determinado, cuya base es 8.
Angelo Joseph
Soto Vergel Sistemas Numéricos Y Su
Representación
Sistema Hexadecimal: Compuesto por 16 símbolos 0 – 9 y A
– F donde A = 1010 y F = 1510 .
“En sistemas digitales se usa el byte como unidad de medida de memoria, por tanto
este sistema es apropiado para representar esta escala”
Angelo Joseph
Soto Vergel Sistemas Numéricos Y Su
Representación
Suma Binaria:
Angelo Joseph
Soto Vergel Sistemas Numéricos Y Su
Representación
Suma Octal:
Angelo Joseph
Soto Vergel Sistemas Numéricos Y Su
Representación
Suma Hexadecimal:
Angelo Joseph
Soto Vergel Sistemas Numéricos Y Su
Representación
Resta Binaria:
Angelo Joseph
Soto Vergel Sistemas Numéricos Y Su
Representación
Resta Binaria en complemento A2:
En una computadora digital, la resta usualmente se desarrolla por medio de sumas. Por consiguiente, no
es necesario que la unidad aritmética de la computadora cuente con un circuito que reste, la ventaja
de esto es la que la unidad aritmética se reduce. El método más usado para efectuar la resta por medio
de sumas es el método del 2 complemento y consiste en sumar el minuendo más el dos complemento
del sustraendo.
Angelo Joseph
Soto Vergel Sistemas Numéricos Y Su
Representación
Resta Octal: Resta Hexadecimal:
Angelo Joseph
Soto Vergel Sistemas Numéricos Y Su
Representación
En los circuitos digitales se usan dos niveles de tensión para
representar los bits:
• Por lo general se usa la lógica positiva donde:
• 1 – Nivel alto (High).
• 0 – Nivel bajo (Low).
En el caso que 0 represente un nivel alto y el 1 un nivel bajo a
esto se le denomina lógica negativa o negada.
Angelo Joseph
Soto Vergel Sistemas Numéricos Y Su
Representación
Las tensiones empleadas para definir un 1 o 0 se denominan
niveles lógicos.
Taller Teórico
Angelo Joseph
Soto Vergel
1. ¿Que es Dígito?
2. Explique que es acarreo.
3. ¿A qué se le llama "PESO" de un dígito?
4. ¿Que se entiende por base de un Sistema Numérico?
5. ¿Cómo es el Dígito Mayor de un Sistema de Notación
Posicional con respecto a la base?
6. ¿Qué es un Bit?
Taller Teórico
Angelo Joseph
Soto Vergel
• Respecto al producto: 𝐴 • 𝐵 = 𝐵 • 𝐴
Angelo Joseph
Soto Vergel Leyes Del Algebra De
BOOLE
Ley 2: Asociativa.
• Respecto de la suma: 𝐴 + (𝐵 + 𝐶) = (𝐴 + 𝐵) + 𝐶 = 𝐴 + 𝐵 + 𝐶
Regla 2:
Angelo Joseph
Soto Vergel Leyes Del Algebra De
BOOLE – Simplificación
Regla 3:
Regla 4:
Angelo Joseph
Soto Vergel Leyes Del Algebra De
BOOLE – Simplificación
Regla 5:
Regla 6:
Angelo Joseph
Soto Vergel Leyes Del Algebra De
BOOLE – Simplificación
Regla 7:
Regla 8:
Angelo Joseph
Soto Vergel Leyes Del Algebra De
BOOLE – Simplificación
Regla 9:
Regla 10:
Angelo Joseph
Soto Vergel Leyes Del Algebra De
BOOLE – Simplificación
Regla 11:
Angelo Joseph
Soto Vergel Leyes Del Algebra De
BOOLE – Simplificación
Regla 12:
Angelo Joseph
Soto Vergel Leyes Del Algebra De BOOLE
– Teorema De Morgan
Los teoremas de Morgan proporcionan una verificación
matemática de las compuertas NAND y NOR. Sirve para
simplificar expresiones booleanas.
Angelo Joseph
Soto Vergel Leyes Del Algebra De BOOLE
– Teorema De Morgan
Primer teorema: El complemento de un producto de
variables es igual a la suma de los complementos de las
variables.
• Interpretación: El complemento de dos o más variables a
las que se aplica la operación AND es equivalente a
aplicar la operación OR a los complementos de cada
variable.
Angelo Joseph
Soto Vergel Leyes Del Algebra De BOOLE
– Teorema De Morgan
Segundo teorema: El complemento de una suma de
variables es igual al producto de los complementos de las
variables.
• Interpretación: El complemento de dos o más variables a
las que se aplica la operación OR es equivalente a
aplicar la operación AND a los complementos de cada
variable.
Expresiones Canónicas
Angelo Joseph
Soto Vergel
Maxtérminos
Angelo Joseph
Soto Vergel Análisis Y Síntesis De
Sistemas Combinatorios
Circuitos Combinacionales:
• Concepto: son aquellos circuitos cuyas salidas, en un determinado
instante, son función exclusivamente del valor de las entradas en
ese instante.
• Análisis: Obtención de la función de salida de un circuito, para
cada una de las posibles combinaciones de las “n” variables de
entrada.
• Síntesis: Obtención del circuito que realiza la función especificada.
Angelo Joseph
Soto Vergel Análisis Y Síntesis De
Sistemas Combinatorios
Los circuitos combinacionales pueden ser:
Sistemas unifuncionales: tienen una sola función de salida.
Sistemas multifuncionales: tienen varias funciones de salida
Una función puede ser:
Completa: Su valor está determinado para todas las posibles
combinaciones de las variables de entrada.
Incompleta: Existen algunas combinaciones de entrada para
las cuales el valor de la función es indeterminado.
• Causas:
Existencia de combinaciones de las variables que nunca se
presentan.
Existencia de combinaciones de las variables para las que el valor
que tome la función sea indiferente.
Angelo Joseph
Soto Vergel Análisis De Sistemas
Combinatorios
Analizar un circuito combinacional consiste en determinar, a
partir de su estructura, cual será su comportamiento para
todas las posibles entradas, o lo que es lo mismo, determinar
la función lógica que implementa. El análisis del sistema
consiste en:
Obtener sus ecuaciones.
Proceder a su simplificación.
Transcripción (si es posible) de su funcionamiento en forma
literal.
“El análisis debe conducir a una solución única (por ejemplo, en una tabla de verdad)”
Angelo Joseph
Soto Vergel Análisis De Sistemas
Combinatorios
Un circuito combinacional se analiza determinando la salida de
los elementos lógicos que lo constituyen (normalmente puertas
lógicas), partiendo de las variables de entrada y avanzando en el
sentido de la señal hacia la salida.
A. Identificar las entradas, las salidas y las variables intermedias.
B. Identificar los niveles de puertas:
B.1.Constituyen el nivel 1, aquellas puertas cuyos terminales
de entrada estén conectados únicamente a las entradas
del circuito.
B.2. Constituyen el nivel n+1, aquellas puertas que tienen al
menos uno de sus terminales de entrada conectado a las
salidas del nivel n, y el resto a las salidas de los niveles
precedentes, o a las entradas del circuito.
Angelo Joseph
Soto Vergel Análisis De Sistemas
Combinatorios
C. Expresar las salidas de cada nivel en función de sus
entradas:
C.1. Comenzar por el nivel 𝑛 = 1.
C.2. Especificar las salidas del nivel n en función de las
entradas a dicho nivel.
C.3. Incrementar el nivel de análisis.
C.4. El procedimiento termina cuando las salidas del
sistema están expresadas en función de las entradas.
Angelo Joseph
Soto Vergel Análisis De Sistemas
Combinatorios
Angelo Joseph
Soto Vergel Síntesis De Sistemas
Combinatorios
La síntesis del sistema consiste en que dada una
especificación literal, obtener un circuito que la satisfaga,
generalmente bajo unos criterios de optimización. A
continuación, se describe el proceso a seguir para obtener
un circuito combinacional óptimo:
Establecer la tabla de verdad, desde el enunciado del
problema.
Obtener la función canónica expresada en “minterms” o
en “maxterms”, a partir de la tabla de verdad.
“La síntesis de un sistema carece de solución única, al menos en lo que atañe al
problema circuital ya que varios circuitos pueden satisfacer la misma tabla de verdad”
Angelo Joseph
Soto Vergel Síntesis De Sistemas
Combinatorios
Simplificar la función canónica, bien en forma algebraica
(aplicando teoremas y postulados del Álgebra de Boole),
bien mediante la aplicación de métodos gráficos sencillos
(Karnaugh) o con el método tabular numérico de Quine –
McCluskey.
Realizar la función simplificada, mediante las oportunas
puertas lógicas.
Síntesis De Sistemas
Combinatorios – Métodos
Angelo Joseph
Soto Vergel
De Simplificación
Método algebraico: Es el método básico de simplificación
de funciones y consiste en aplicar directamente la
propiedad distributiva a los términos de la función,
eliminando variables.
Síntesis De Sistemas
Combinatorios – Métodos
Angelo Joseph
Soto Vergel
De Simplificación
Método de Karnaugh: Método tabular gráfico que se basa en
los llamados “mapas de Karnaugh”, consistentes en una tabla
de cuadros, cada uno de los cuales representa un término
canónico. Estos cuadros están distribuidos de tal modo que dos
cualesquiera de ellos, contiguos físicamente, corresponden a
términos canónicos adyacentes.
• Términos canónicos adyacentes: son aquellos para los que sus
respectivas configuraciones binarias difieren entre sí en un único
bit. Se pueden definir también como aquellos términos a los que
se les puede aplicar la propiedad distributiva para simplificar
una variable.
Síntesis De Sistemas
Combinatorios – Métodos
Angelo Joseph
Soto Vergel
De Simplificación
Método de Karnaugh:
Paso 1: Dibujar el mapa adecuado para la
función a simplificar (2, 3 ó 4 variables).
Paso 2: Marcar los cuadros correspondientes a
los minterms o maxterms (“1”).
Paso 3: Agrupar, mediante una curva cerrada,
el máximo número posible de elementos
adyacentes (potencia de 2 2, 4, 8, 16). A
continuación lo mismo con los que queden y así
sucesivamente, hasta que no reste ningún
elemento marcado (con adyacente también
marcado) sin agrupar.
Paso 4: Escribir la función mediante los términos
simplificados obtenidos.
Síntesis De Sistemas
Combinatorios – Métodos
Angelo Joseph
Soto Vergel
De Simplificación
Método de Karnaugh:
Síntesis De Sistemas
Combinatorios – Métodos
Angelo Joseph
Soto Vergel
De Simplificación
Método de Quine-McCluskey: La cualidad de este método
es que es un método tabular y gráfico, ideal para
programarlo y obtener así un algoritmo que permita la
obtención de expresiones algebraicas minimizadas del
circuito en cuestión.
Paso 1: Identificar cada uno de los minitérminos implicados
en la expresión algebraica, o bien en la tabla de verdad.
• En caso de que la expresión algebraica no se muestre
como suma de productos, deberá ser manipulada
algebraicamente de tal forma que se obtenga.
Síntesis De Sistemas
Combinatorios – Métodos
Angelo Joseph
Soto Vergel
De Simplificación
Método de Quine-McCluskey:
Paso 2: Listar como números binarios cada uno de los
términos implicados en la expresión algebraica para
posteriormente agruparlos en base al número de bits que
tiene cada uno de ellos.
Síntesis De Sistemas
Combinatorios – Métodos
Angelo Joseph
Soto Vergel
De Simplificación
Método de Quine-McCluskey:
Paso 3: Una vez identificados los grupos, se deben
combinar los términos entre grupos contiguos. Es decir, el
grupo 0 con el grupo 1, el grupo 1 con el grupo 2, etc. De
tal forma que se marque con un guion tan solo el bit que
difiera de 1 a 0 o viceversa.
Síntesis De Sistemas
Combinatorios – Métodos
Angelo Joseph
Soto Vergel
De Simplificación
Método de Quine-McCluskey:
Paso 4: Se repite el paso 3 hasta que no se pueda
combinar ningún elemento de cada grupo contiguo.
Paso 5: Una vez que ya no se tienen elementos qué
combinar se obtienen los implicantes primos que surgen de
los términos no marcados.
Síntesis De Sistemas
Combinatorios – Métodos
Angelo Joseph
Soto Vergel
De Simplificación
Método de Quine-McCluskey:
Paso 6: Se listan los implicantes primos obtenidos en forma
de filas en una tabla y cuyas columnas corresponden a
cada uno de los productos de sumas que conforman a la
expresión algebraicas.
Síntesis De Sistemas
Combinatorios – Métodos
Angelo Joseph
Soto Vergel
De Simplificación
Método de Quine-McCluskey:
Paso 7: Se elijen los implicantes primos de tal forma que se
cubran todas las columnas (minitérminos) con el menor
número de filas posible.
Angelo Joseph
Soto Vergel Lógica Combinacional
Modular
El progreso de la tecnología electrónica permitió en los 70´s
la realización de muchas funciones y multifunciones en un
solo circuito integrado. Estos son los que se denominaron
circuitos de escala de integración media (MSI). La
combinación de estos circuitos con otros, incluso de
carácter secuencial, generaron bloques funcionales
complejos que al ser integrados en un chip han dado lugar a
los que se conocen como circuitos de gran escala de
integración (LSI) y de muy gran escala de integración (VLSI).
Angelo Joseph
Soto Vergel Lógica Combinacional
Modular
Algunos bloques funcionales combinacionales que se encuentran
como circuitos integrados y cuyo conocimiento resulta
imprescindible para diseñar circuitos digitales y comprender el
funcionamiento de los más complejos son:
Comparadores.
Codificadores.
Decodificadores.
Demultiplexores.
Multiplexores.
Detectores – generadores de paridad.
Sistemas combinacionales programables.
Angelo Joseph
Soto Vergel Lógica Combinacional
Modular
Comparadores: Utiliza compuertas OR exclusiva, admite
dos cadenas de bits e indica en su salida si los dos bits son
iguales o diferentes.
Angelo Joseph
Soto Vergel Lógica Combinacional
Modular
Codificadores: Son sistemas digitales combinacionales con
2𝑛 entradas y 𝑛 salidas. Permite que se le introduzca en una
de sus entradas un nivel activo que representa un dígito
(decimal u octal) y lo convierte en una salida codificada
(como BCD o binario). Estos circuitos pueden ser diseñados
con prioridad o sin ella.
Angelo Joseph
Soto Vergel Lógica Combinacional
Modular
Codificadores:
Angelo Joseph
Soto Vergel Lógica Combinacional
Modular
Decodificadores: Un decodificador es un circuito
combinacional de 𝑛 entradas y 2𝑛 salidas. Si la
configuración binaria presente en las entradas forma el
número binario 𝑖 entonces se activa la salida 𝑖 − é𝑠𝑖𝑚𝑎.
Angelo Joseph
Soto Vergel Lógica Combinacional
Modular
Multiplexores: son sistemas digitales que constan de varias
entradas 2𝑛 , 𝑛 entradas de selección y una salida; y
mediante un mecanismo de selección, una determinada
entrada se transfiere a la salida.
Angelo Joseph
Soto Vergel Lógica Combinacional
Modular
Demultiplexores: El funcionamiento es el contrario al del
multiplexor, encauzando los datos desde una fuente
común de entrada 𝐽 , con 𝑛 entradas de selección, hacia
uno de sus destinos de salida (2𝑛 ).
Angelo Joseph
Soto Vergel Lógica Combinacional
Modular
Multiplexores y Demultiplexores
Angelo Joseph
Soto Vergel Lógica Combinacional
Modular
Implementación de funciones lógicas mediante
decodificadores: Dado que el decodificador genera los
productos canónicos (minterms) de un conjunto de
variables binarias aplicadas a sus entradas, se puede usar
para generar funciones lógicas.
14.Sintetizar la función 𝑓 𝑎, 𝑏, 𝑐, 𝑑 = 𝑑 · 𝑎’ + 𝑎 · 𝑏 · 𝑏’ ⊕ 𝑐 .
Taller Teórico
Angelo Joseph
Soto Vergel
Simular la funcionalidad
deseada
Modelar Sistemas
Digitales
Crear un circuito que
Sintetizar funciona como el
modelo
Angelo Joseph
Soto Vergel Descripción De Sistemas
Combinatorios Con VHDL
MUX
Circuitos Puertas
ALU
DIGITALES
SISTEMAS
Combinacionales Lógicas
DECOD
REG
Circuitos CONT
Biestables
Secuenciales MEM
AUT
Angelo Joseph
Soto Vergel
VHDL: Ports
Ports o canales de comunicación: Cada una de las posibles
conexiones se denomina un PORT y consta de:
• Un nombre, que debe ser único dentro de la entidad.
• Una lista de propiedades, como:
La dirección del flujo de datos, entrada, salida, bidireccional
y se conoce como MODO del puerto.
Los valores que puede tomar el puerto: ‘0’, ‘1’ o (‘Z’), etc., los
valores posibles dependen de lo que se denomina TIPO de
señal.
• Los puertos son una clase especial de señales que,
adicionalmente al tipo de señal, añade el modo.
Angelo Joseph
Soto Vergel
VHDL: Ports
Modos de un puerto: Indican la dirección y si el puerto
puede leerse o escribirse dentro de la entidad.
Angelo Joseph
Soto Vergel VHDL: Declaración De La
Entidad
floating
VHDL ES FUERTEMENTE TIPADO point
Array
No permite violaciones de los tipos de
datos, es decir, dado el valor de una
physical
variable de un tipo concreto, no se puede TIPO es la definición de los
usar como si fuera de otro tipo distinto a valores posibles que puede
menos que se haga una conversión enumerated tomar un objeto
Angelo Joseph
Soto Vergel VHDL: Algunos Tipos
Básicos Predefinidos
• INTEGER: tipo entero. Usado como valor índice en lazos,
constantes o valores genéricos.
• BOOLEAN: tipo lógico. Puede tomar como valores ‘TRUE’ o
‘FALSE’.
• ENUMERATED: Enumeración. Conjunto de valores definido
por el usuario. Por ejemplo: TYPE estados IS (inicio,
lento, rapido)
Angelo Joseph
Soto Vergel
VHDL: Tipos STD_LOGIC Y
STD_LOGIC_VECTOR
• Definidos en el paquete IEEE.standard_logic_1164.
• Son un estándar industrial.
• Siempre se emplean para definir los puertos de las entidades.
• Tipo Std_logic: valor presente en un cable de 1 bit.
• Tipo Std_logic_vector: para definir buses (array de std_logic)
‘0’ Salida de una puerta con nivel lógico bajo.
'1' Salida de una puerta con nivel lógico alto. Notación:
'U' No inicializado, valor por defecto. 1 bit : comilla simple (‘)
'X' Desconocido. Debido a un CORTO CIRCUITO multiples bits: comilla doble (")
'Z' Alta Impedancia
‘W’ Señal débil, no puede decir si debería ser 0 o 1.
‘L’ Señal débil que probablemente debería ir a 0.
‘H’ Señal débil que probablemente debería ir a 1.
‘-’ Señal no importa.
Angelo Joseph
Soto Vergel
VHDL: Tipos SIGNED Y
UNSIGNED
Las operaciones aritméticas estándares sólo están definidas
para los tipos signed y unsigned pero los puertos de las
entidades se definen siempre para los tipos STD_LOGIC y
STD_LOGIC_VECTOR, por tanto hay que hacer conversiones
entre tipos. Existen librerías NO ESTÁNDARES que permiten
hacer operaciones directamente con el tipo
std_logic_vector:
std_logic_signed
std_logic_unsigned
std_logic_arith
Angelo Joseph
Soto Vergel VHDL: Conversiones De
Tipos
Estos objetos como ejemplo:
signal stdv: std_logic_vector(7 downto 0);
variable uns: unsigned(7 downto 0);
variable sig: signed(7 downto 0);
variable entero: Integer
• Conversión de signed y unsigned a std_logic_vector:
stdv<=std_logic_vector(uns);
stdv<=std_logic_vector(sig);
• Conversión de std_logic_vector a signed y unsigned:
uns := unsigned(stdv);
sig := signed(stdv);
Angelo Joseph
Soto Vergel VHDL: Conversiones De
Tipos
• Conversión de signed y unsigned a Integer:
entero := to_integer(sig);
entero := to_integer(uns);
• Conversión de Integer a signed y unsigned:
uns := to_unsigned(entero,8);
sig := to_signed(entero,8);
• Conversión de std_logic_vector a Integer y viceversa
stdv <= std_logic_vector(to_unsigned(entero,8));
entero := to_integer(unsigned(stdv));
Angelo Joseph
Soto Vergel VHDL: Definición Y Uso De
Nuevos Tipos
Las definiciones de tipos se deben hacer en la parte declarativa de la
arquitectura
Ejemplo 1. Definición de un tipo como una enumeración para usarlo en
un autómata:
Hardware
El Hardware es inherentemente concurrente, los circuitos
coexisten físicamente en el tiempo.
Angelo Joseph
Soto Vergel VHDL: Concurrencia, Una
Posible Solución
La solución al problema anterior es que, aunque la ejecución sea
secuencial, las instrucciones no tarden ningún tiempo en ejecutarse:
Ejemplo: Un registro de
desplazamiento
Angelo Joseph
Soto Vergel
Unidad 4:
Diseño Microelectrónico
De Alto Nivel
Ángelo Joseph Soto
Angelo Joseph
Soto Vergel Introducción A Los
Sistemas Secuenciales
El circuito secuencial debe ser capaz de mantener su estado durante algún
tiempo, para ello se hace necesario el uso de dispositivos de memoria.
Circuito Asíncrono
Los cambios de estado ocurren al ritmo natural marcado por los
retardos asociados a las compuertas lógicas utilizadas en su
implementación, es decir, estos circuitos no usan elementos
especiales de memoria, pues se sirven de los retardos propios
(tiempos de propagación) de las compuertas lógicas usados en
ellos. Esta manera de operar puede ocasionar algunos problemas
de funcionamiento, ya que estos retardos naturales no están bajo
el control del diseñador y además no son idénticos en cada
compuerta lógica.
Introducción A Los
Sistemas Secuenciales –
Angelo Joseph
Soto Vergel
Circuito Síncrono
Sólo permiten un cambio de
estado en los instantes
marcados por una señal de
sincronismo de tipo oscilatorio
denominada reloj. Con esto se
pueden evitar los problemas
que tienen los circuitos
asíncronos originados por
cambios de estado no
uniformes en todo el circuito.
Angelo Joseph
Soto Vergel Introducción A Los
Sistemas Secuenciales
Angelo Joseph
Soto Vergel Introducción A Los
Sistemas Secuenciales
“Una máquina de estados finita (FSM) es un modelo usado para diseñar circuitos lógicos secuenciales”
Angelo Joseph
Soto Vergel Características De Una
Máquina De Estados
No puede estar en más de un estado por vez.
El estado en el que se encuentra se denomina estado actual.
El cambio de un estado a otro se denomina transición, y se
dispara con el reloj del circuito.
Son muy útiles en el diseño de protocolos de comunicación.
Existen dos tipos de máquinas de estado: Moore y Mealy.
Angelo Joseph
Soto Vergel Características De Una
Máquina De Estados
Toda máquina de estados consta de cuatro elementos:
Existen diferentes
tipos de codificación
de estados: Binaria,
One Hot, Gray, etc.
Esta codificación
puede hacerse
manualmente o de
manera automática.
Angelo Joseph
Soto Vergel Diseño De Maquinas De Estado
Sincronizadas Con Reloj
Los pasos para diseñar una máquina de estados sincronizada
con reloj:
1 2 3
Construir una tabla de estado/salida Elegir un conjunto
correspondiente a la descripción o Minimizar el número
de estados en la de variables de
especificación, mediante nombres estado y asignar
nemotécnicos para los estados. tabla de estado -
salida(opcional) combinaciones de
(Puede partirse del diagrama de variables de estado
estados correspondiente) a cada uno de los
estados.
Angelo Joseph
Soto Vergel Diseño De Maquinas De Estado
Sincronizadas Con Reloj
4 6
Sustituir las combinaciones de variable 5 Construir una tabla de
excitación que muestre
de estado en la tabla de Elegir el tipo
los valores de excitación
estado/salida para crear una tabla de de flip flop
requeridos para obtener
transición/salida que muestre la que hará la
el estado siguiente
combinación de variable de estado memoria de
deseado para cada
siguiente y la salida para cada estado.
combinación de
combinación de estado/salida
estado/entrada.
7 9
Derivar las 8 Dibujar el diagrama lógico que
ecuaciones Derivar las ecuaciones muestre los elementos de
de excitación de salida de la tabla de almacenamiento de las variables
de la tabla de transición/salida de estado y realice las ecuaciones
excitación requeridas de excitación y salida.
Angelo Joseph
Soto Vergel Ejemplo De Diseño De Una
Máquina De Estados
Diseño e implementación de un detector de la secuencia
“101” utilizando flip – flops D.
Transición del estado A al B
Paso 1: Construir el diagrama de estados Entrada 1 / Salida 0
Estados
Angelo Joseph
Soto Vergel Ejemplo De Diseño De Una
Máquina De Estados
Paso 2: Construir la tabla de estados Paso 3: Codificar los estados
A = 00
Entradas
B = 01
0 1 C = 10
A A/0 B/0
B C/0 B/0
C A/0 B/1
0 1 D0
Q1 Q0
E 00 01 11 10
Estado actual
00 00/0 01/0
0 0 0 X 0
01 10/0 01/0 1 1 1 X 1
10 00/0 01/1 D0 = E
Angelo Joseph
Soto Vergel Ejemplo De Diseño De Una
Máquina De Estados
Paso 4: Incluir la codificación en la tabla y calcular las funciones de excitación y de salida
0 1 D1
Q1 Q0
E 00 01 11 10
Estado actual
00 00/0 01/0
0 0 1 X 0
01 10/0 01/0 1 0 0 X 0
Entradas
0 1 Y
Q1 Q0
E 00 01 11 10
Estado actual
00 00/0 01/0
0 0 0 X 0
01 10/0 01/0 1 0 0 X 1
FFD1
Angelo Joseph
Soto Vergel Descripción De FSM
Utilizando VHDL
La descripción de máquinas de estados mediante VHDL se
encuentra en la práctica de laboratorio número 3 que tiene
por título “Circuitos Lógicos Secuenciales”.
Taller Teórico – Práctico
Angelo Joseph
Soto Vergel
Unidad 5:
Diseño A Nivel RT
Ángelo Joseph Soto