Sunteți pe pagina 1din 3

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS

FACULTAD DE INGENIERÍA ELECTRÓNICA


ESCUELA DE INGENIERÍA ELECTRÓNICA

DISEÑO DIGITAL
LABORATORIO No2

USO DEL ESTILO FLUJO DE DATOS PARA EL


DISEÑO E IMPLEMENTACIÓN EN FPGA DE
CIRCUITOS COMBINACIONALES

Profesor: Ing. Alfredo Granados Ly.


UNMSM – FIEE Diseño Digital

Utilizando el MAX + PLUS II, se le pide implementar los siguientes circuitos en VHDL y
presentar la simulación respectiva:

1. Flip – Flop de tipo D con entrada RESET asíncrona y con ENABLE.

2. Registro SISO (Serial Input – Serial Output) de 6 bits, con ENABLE.

3. Implementar el siguiente circuito:

4. Implementar un Flip – Flop de tipo T

5. Implementar un contador con control de:

a. Cuenta ascendente / descendente.


b. Enable
c. Cuenta en BCD / Binario.

Ing. Alfredo Granados Ly Laboratorio No2


UNMSM – FIEE Diseño Digital

6. Implementar el siguiente circuito:

7. Implementar un divisor de frecuencia para los siguientes valores: N/2, N/3, N/4, N/5.
Donde N es la frecuencia de reloj de entrada.

Ing. Alfredo Granados Ly Laboratorio No2

S-ar putea să vă placă și