Sunteți pe pagina 1din 17

Circuitos Digitales -I

Laboratorio N° 07:
“REGISTROS Y APLICACIONES”

1. OBJETIVOS

Ø Adquirir destreza en el uso y manejo de los circuitos biestables (Flip Flops)


como elementos principales de los circuitos secuenciales.
Ø Implementar aplicaciones de los Flip Flops tipo D y tipo J-K.
Ø Afianzar en el uso y manejo de los contadores binarios como aplicaciones de los
circuitos secuenciales.
Ø Implementar contadores binarios de módulos programables utilizando el C.I.
74LS192 y 74LS193.
2. MATERIALES Y SOFTWARE DE SIMULACIÓN REQUERIDOS:
3 Fuente de voltaje VDC = 5V, protoboard, cables de conexión y pela-cables.
3 Circuito de reloj implementado en protoboard.
3 C.I. 74LS74 (02), 74LS112 (02), 74LS192 (01), 74LS193 (01),
3 C.I. y componentes requeridos en prácticas anteriores.
3 02 Interruptores pulsadores.
3 Resistores de carbón de ½W: 2 de 1k .
3 Software: Proteus Isis v.7.6.

3. INFORMACIÓN TEÓRICA:
3.1 Los Biestables
Un biestable, también llamado báscula (flip-flop en inglés), es un multivibrador capaz de
permanecer en un estado determinado durante un tiempo indefinido. Esta característica
es utilizada para memorizar información.
El paso de un estado a otro se realiza variando sus entradas. Dependiendo del tipo de dichas
entradas los biestables se dividen en:
Asíncronos: Sólo tienen entradas de control. El más empleado es el biestable RS. Síncronos:
Además de las entradas de control posee una entrada de sincronismo o de reloj. Los mas
empleados son los biestables tipo D y J-K.
La entrada de sincronismo puede ser activada por cambio de nivel: de nivel bajo a nivel
alto (flanco de subida) o de nivel alto a nivel bajo (flanco de bajada).

Flanco de subida Flanco de bajada


Circuitos Digitales -I

3.2 Tipos de Flip Flop’s


A) Flip Flop tipo D (Delay)

D Q(t+1)
0 0
1 1

Ecuación característica: Q(t+1) = Dt


B) Flip Flop tipo J-K (Alto-Bajo/Set-Reset)
J K Q(t+1)
0 0 Qt
0 1 0
1 0 1
1 1 Qt’
Ecuación característica: Q(t+1) = J.Qt’ + K’.Qt
C) Flip Flop tipo T (Toggle/Alternar)

T Q(t+1)
0 Qt
1 Qt’

Ecuación característica: Q(t+1) = T Qt


3.3 Flip Flop’s a nivel de circuitos integrados: características de operación
A) Doble Flip Flop tipo D: 74LS74
Clear Vcc Clear2 D2 Clk2 Preset2 Q2 Q2’
14 13 12 11 10 9 8

D Q

Clk
74LS74
Q’
1 2 3 4 5 6 7
Preset
Clear1 D1 Clk1 Preset1 Q1 Q1’ GND

Operación Preset Clear Reloj (Clk) D Q Q’


Prohibido 0 0 X X 1* 1*
Preset 0 1 X X 1 0
Clear 1 0 X X 0 1
Set 1 1 - 1 1 0
Reset 1 1 - 0 0 1
Hold 1 1 0 X Q Q’
Circuitos Digitales -I

B) Doble Flip Flop tipo J-K: 74LS112


Clear Vcc Clear1 Clear2 Clk2 K2 J2 Preset2 Q2

16 15 14 13 12 11 10 9

J Q
Clk 74LS112
K Q’
1 2 3 4 5 6 7 8
Preset
Clk1 K1 J1 Preset1 Q1 Q1’ Q2’ GND
Operación Preset Clear Reloj (Clk) J K Q Q’
Prohibido 0 0 X X X 1* 1*
Preset 0 1 X X X 1 0
Clear 1 0 X X X 0 1
Memoria 1 1 ¯ 0 0 Q Q’
Reset 1 1 ¯ 0 1 0 1
Set 1 1 ¯ 1 0 1 0
Bascular 1 1 ¯ 1 1 Q’ Q
3.4 Contadores Asíncronos basados en Flip Flop’s tipo J-K
A. Contador binario UP de 4 bits, activos con flancos de bajada: 74LS112

B. Contador binario DOWN de 4 bits, activos con flancos de bajada: 74LS112

C. Contador binario UP de 4 bits, activos con flancos de subida: 74LS109


Circuitos Digitales -I

D. Contador binario DOWN de 4 bits, activos con flancos de subida: 74LS109

3.5 Contadores Síncronos basados en Flip Flop’s tipo J-K


A. Contador binario UP de 3 bits, utilizando Flip Flop’s J-K:
Tabla de estados
Estado actual Estado siguiente Solución para Q2(t+1):
t t+1
Q2
Q2 Q1 Q0 Q2 Q1 Q0
1 1 Q1
0 0 0 0 0 1 1 1
0 0 1 0 1 0 Q0

0 1 0 0 1 1 Q2(t+1) = Q’2..Q1.Q0 + Q2.(Q’0 + Q’1)


0 1 1 1 0 0 J2 = Q1.Q0
K’2 = Q’0 + Q’1 à K2 = Q1.Q0
1 0 0 1 0 1

1 0 1 1 1 0

1 1 0 1 1 1

1 1 1 0 0 0

Q2
Solución para Q1(t+1):
1 1 Q1
Q1(t+1) = Q’1..Q0 + Q1. Q’0
J1 = Q0 1 1

K’1 = Q’0 à K1 = Q0 Q0
Ciruitos Digitales -I

Q2
Solución para Q0(t+1):
Q1
Q0(t+1) = Q’0 = Q’0.1 + Q0.0 1 1

J0 = 1 K’0 = 0 à K0 = 1 1 1
Q0
El circuito solución del contador ascendente de 3 bits, es el siguiente:

B. Contador binario DOWN de 3 bits, utilizando Flip Flop’s J-K:


Tabla de estados
Estado actual Estado siguiente Solución para Q2(t+1):
t t+1
Q2
Q2 Q1 Q0 Q2 Q1 Q0
1 1 Q1
0 0 0 1 1 1 1 1
0 0 1 0 0 0 Q0

0 1 0 0 0 1 Q2(t+1) = Q’2..Q’1.Q’0 + Q2.(Q0 + Q1)


0 1 1 0 1 0 J2 = Q’1.Q’0

1 0 0 0 1 1 K’2 = Q0 + Q1 à K2 = Q’1.Q’0

1 0 1 1 0 0 Solución para Q1(t+1):


1 1 0 1 0 1
Q2
1 1 1 1 1 0
1 1 Q1

Q1(t+1) = Q’1..Q’0 + Q1. Q0 1 1


J1 = Q’0 Q0
K’1 = Q0 à K1 = Q’0
Circuitos Digitales -I
Q2

Solución para Q0(t+1): 1 1 Q1

Q0(t+1) = Q’0 = Q’0.1 + Q0.0 1 1


J0 = 1 K’0 = 0 à K0 = 1 Q0

El circuito solución del contador descendente de 3 bits, es el siguiente:

C. Escaladores binarios utilizando Flip Flop’s J-K:


Los escaladores binarios son contadores binarios de Qt Q(t+1) J K
secuencia desordenada muy utilizados como bases de
tiempo. 0 0 0 X
Un método alternativo para resolver los contadores 0 1 1 X
binarios y en especial los escaladores binarios es
utilizando la tabla de excitación de los flip flop’s J-K: 1 0 X 1

Ejemplo: diseñar un escalador de la siguiente secuencia: 1 1 X 0


2,3,7,5,1,4,6,2,…….

Tabla de estados
Cuenta Q2 Q1 Q0 J2 K2 J1 K1 J0 K0
2 0 1 0 0 X X 0 1 X
3 0 1 1 1 X X 0 X 0
7 1 1 1 X 0 X 1 X 0
5 1 0 1 X 1 0 X X 0
1 0 0 1 1 X 0 X X 1
4 1 0 0 X 0 1 X 0 X
6 1 1 0 X 1 X 0 0 X
2 0 1 0
Circuitos Digitales -I

Debemos tener en cuenta que la combinación Q2Q1Q0 = 000 es irrelevante, porque


no participa de la cuenta.
Solución para J2: Solución para K2:
Q2 Q2
X X 1 Q1 1 X X Q1

X X 1 X 1 X X
J2 = Q0 K2 = Q0 Å Q1
Q0 Q0

Solución para J1: Solución para K1:


Q2
Q2
1 Q1
X X X X Q1
X X X X
1 X
Q0 J1 = Q’0
Q0 K1 = Q0.Q2

Solución para J0: Solución para K0:


Q2 Q2
X X 1 Q1 X X Q1

X X X X 1 X
Q0 J0 = Q’2 Q0 K0 = Q’1.Q’2

El circuito solución:
Circuitos Digitales -I

3.6 Contador binario UP/DOWN de 4 bits (C.I. 74LS193)

Entrada Entrada Salida Salida Entrada Entrada Entrada


Vcc Dato 0 Clear Borrow Carry Carga Dato 2 Dato 3
16 15 14 13 12 11 10 9

74LS193

1 2 3 4 5 6 7 8
Dato 1 Q1 Q0 Cuenta Cuenta Q2 Q3 GND
Entrada Salida Salida DOWN UP Salida Salida

Característica de operación:
Este dispositivo contador dispone de dos entradas independientes de reloj (la
de conteo (subida 'Up', patilla 5) y la de descuento (bajada 'down', patilla 4).
La entrada de reloj que no recibe impulso debe permanecer a nivel alto (H).
La patilla 14 CLEAR (puesta cero) es la que nos permite situar el contador a 0
al aplicarle un nivel alto H.
Las salidas del contador cambian de estado durante la transición del nivel L al
nivel H en cualquiera de ellas.
La salida de descuento ('borrow' patilla 13) nos presenta un impulso de la
misma duración que el de entrada cuando el contador alcanza la cuenta
mínima (estado 0). La salida de acarreo ('carry', patilla 12) nos dará un impulso
de la misma duración que el de entrada al alcanzar la cuenta máxima
(establecido). Estas dos líneas nos sirven para interconectar con otros contadores
en serie o cascada, permitiendo el acarreo o descuento en las respectivas
décadas. De manera que cuando hemos cargado el número de partida en el
preseleccionador, debemos cargar dicha cuenta con un impulso L en la patilla
de carga (pin 11), devolviéndolo al estado H. Es decir, cada vez que se lleva
a L esta patilla, se iniciará la cuenta desde ese número preseleccionado.
El dato de partida se debe establecer en Dato 3, Dato 2, Dato 1 y Dato 0 (LSB).
El valor de cuenta se ubica en las salidas Q3 Q2 Q1 y Q0(LSB).
Circuitos Digitales -I

3.7 Circuito generador de reloj digital basado en el temporizador NE555

4. INFORME PREVIO:
a) Investigar y dibujar en el espacio siguiente, un alternativo circuito generador
de reloj digital astable.

Este tipo de funcionamiento se caracteriza por una señal de salida con forma de onda
cuadrada o rectangular, donde la duración de los periodos entre alto y bajo puede ser
diferente y su amplitud estará determinada por el voltaje.

El término “astable” se refiere a que ambos estados lógicos (alto y bajo) oscilan
durante un tiempo t.
Circuitos Digitales -I
La señal de salida tendrá un nivel alto por un tiempo t1 y un nivel bajo por un tiempo t2,
los cuales variaran de acuerdo a los valores de R1, R2 y C1. El capacitor C2 de 0.01 uF
(10 picofaradios) se implementa cuando la patilla 5 de control de voltaje del CI 555 no
se utiliza.
Para el análisis del circuito usaremos las siguientes formulas:
Duración de los niveles lógicos:

Frecuencia: Periodo:

IMPLEMENTACIÓN EN EL PROTOBOARD.

Para esta práctica utilizaremos las resistencias de 6.68 y 8.21 kilo Ohms (valores reales)
para R1 y R2 respectivamente, un capacitor electrolítico C1 de 100 microfaradios (16
Volts), un capacitor C2 de 10 picofaradios (o.o1 microfaradios) y un resistor R3 de 220
Ohms, que nos limitará la corriente para que el Led trabaje adecuadamente.
Circuitos Digitales -I
Circuitos Digitales -I

5. DESARROLLO DE LA PRÁCTICA
5.1 Registro de desplazamiento serial de bits utilizando el Flip Flop tipo D
: Prepare el reloj digital y establezca su frecuencia a 1Hz.
: Implemente en el protoboard, el circuito de la siguiente figura.

: Suministre energía al circuito, seguidamente active un pulso corto con el


interruptor pulsador SW1, luego un pulso corto con el pulsador SW2.
Circuitos Digitales -I
: Observe los LEd’s y anote sus observaciones:

Los LEDS se encienden siguiendo la secuencia LED4>>1>>2>>3.

: Cuando el Led2 está encendido, active otro pulso corto con el pulsador SW2.

Se encenderán 2 LEDs a la vez, siguiendo la secuencia LEDS2-4>>1-3.


Circuitos Digitales -I

5.2 Contador UP/DOWN de 4 bits utilizando C.I. 74LS193


: Implemente en protoboard, el circuito de la siguiente figura:

: Durante la prueba, manipule la línea de “Control" para seleccionar el ingreso del


reloj por las entradas UP/DOWN. Anote sus observaciones.
Control=0, conteo de forma ascendente.
Circuitos Digitales -I

Control=1, conteo de forma descendente.

: ¿Qué ocurre cada vez que se pulsa el botón reset?


El conteo vuelve a cero(0).
Circuitos Digitales -I

5.3 Contador UP/DOWN de 4 bits con valor inicial, utilizando C.I. 74LS192
: Implemente en el Proteus Isis, el circuito de la siguiente figura:

: Durante la simulación, manipule la línea de “Control" para seleccionar el ingreso


del reloj por las entradas UP/DOWN. Anote sus observaciones.
Control=0, conteo ascendente.
Circuitos Digitales -I

Control=1, conteo descendente

: ¿Qué ocurre cada vez que se modifica el DATO de valor inicial?


No influye en nada.
: ¿Qué ocurre cada vez que se pulsa el botón “Carga”?
Se muestra el DATO de valor inicial

7. BIBLIOGRAFÍA
*Guía de laboratorio; Proteus y el Floyd.

S-ar putea să vă placă și