Sunteți pe pagina 1din 14

UNIVERSIDAD DE LAS FUERZAS ARMADAS – ESPE

CÓDIGO: SGC.DI.505
VERSIÓN: 1.0
DEPARTAMENTO: FECHA ULTIMA
REVISIÓN: 26/10/16

CARRERA: ELECTRÓNICA E INSTRUMENTACIÓN

GUÍA PARA LAS PRÁCTICAS DE LABORATORIO, TALLER O CAMPO


PERIODO ABRIL-AGOSTO 3
ASIGNATURA: CIRCUITOS DIGITALES LECTIVO: 2018 NIVEL:

DOCENTE: Sixto Reinoso V. NRC: 2282 PRÁCTICA 2


N°:
LABORATORIO DONDE SE DESARROLLARÁ LA
PRÁCTICA: ELECTRÓNICA DIGITAL
TEMA DE LA
PRÁCTICA: CONTADORES CON CIRCUITO INTEGRADO
INTEGRANTES: FECHA:
JEFFERSON SANTAFE 23/07/2018
DARWIN TACO
MICHAEL TORRES
INTRODUCCIÓN:

En la actualidad, los sistemas digitales son muy utilizados y variados para diferentes tipos de aplicaciones las
cuales en su mayoría son aplicadas en la industria y en mayor parte de los equipos electrónicos. Es por esto que
es necesario saber a grandes rasgos las aplicaciones, y como funcionan los diferentes tipos de sistemas digitales,
así nosotros poder desarrollar la capacidad de aplicar y de poder trabajar con ellos sin ningún tipode dificultad.
En este informe se dará a conocer una de las aplicaciones más importantes de los flip flops jk la cual es contar

MARCO TEORICO

CONTADORES
Un contador es un dispositivo que acepta una entrada de reloj (usualmente un tren de pulsos) y produce una
salida binaria de múltiples dígitos relacionada al número total de pulsos de reloj aplicado. Como los flip-flops,
los contadores pueden mantener un estado de salida después de desaparecida la condición de entrada que produjo
dicho estado; consecuentemente los contadores son circuitos secuenciales. El número máximo de estados posible
que pueden tener un contador está limitado solo por el número de elementos de memoria (flip-flops) del circuito.

CONTADORES SÍNCRONOS.
Los pulsos de reloj (que son los pulsos a contar) activan las entradas CLK de todos los biestables al mismo
tiempo (de ahí su nombre). Se elimina el problema del retardo, con lo que se puede trabajar a frecuencias
mayores. Sólo el primer biestable tienen sus entradas a "1". Las restantes entradas son excitadas por productos
de las salidas de los propios biestables. Vamos a ver cómo se diseña un contador síncrono a partir del diseño de
circuitos secuenciales.
UNIVERSIDAD DE LAS FUERZAS ARMADAS – ESPE
CÓDIGO: SGC.DI.505
VERSIÓN: 1.0
DEPARTAMENTO: FECHA ULTIMA
REVISIÓN: 26/10/16

CARRERA: ELECTRÓNICA E INSTRUMENTACIÓN

Figura 1. Diagrama de un contador síncrono

Al comparar el circuito síncrono y el asíncrono, podremos observar diferencias muy marcadas:

1. En este circuito, todas las entradas de reloj (CP) están conectadas a un mismo punto, logrando así que la señal
de reloj sea la misma para todos los FF del contador.

2. Únicamente el primer BIT (FF) tiene sus entradas "J-K" conectadas a V+, y por consiguiente, será el único que
se complemente (Toggle) libremente, los demás dependen de una combinación en las salidas para poder
complementarse.

Es primordial el uso de otro tipo de circuitos digitales además de los FF, en este caso, un par de compuertas AND,
una de dos entradas y una de tres entradas.

Figura 2. Contador síncrono de 4 bits

Los contadores síncronos se diferencian de los asíncronos en que la señal de reloj va a ser común a todos los
biestables, lo que va a motivar que todos los cambios se produzcan a la vez, solventando de esta forma los
problemas que presentaban los asíncronos enunciados en el apartado anterior. Como inconveniente, necesitan una
lógica adicional conectada a las entradas de los biestables; lógica que vamos a tener que diseñar siguiendo un
proceso que en ocasiones puede resultar largo y laborioso.
UNIVERSIDAD DE LAS FUERZAS ARMADAS – ESPE
CÓDIGO: SGC.DI.505
VERSIÓN: 1.0
DEPARTAMENTO: FECHA ULTIMA
REVISIÓN: 26/10/16

CARRERA: ELECTRÓNICA E INSTRUMENTACIÓN

FUNCIONAMIENTO DEL CIRCUITO CONTADOR SÍNCRONO


Observemos por un momento la secuencia de conteo de este circuito:

Como podemos observar en la gráfica anterior, el primer BIT siempre cambia de estado con cada pulso de reloj,
el segundo cambia cada dos, el tercero cada cuatro, y el cuarto cada ocho. En los contadores asíncronos, este
efecto es automático y no hay que preocuparse por él, pero en el caso de los contadores síncronos, tenemos que
forzar a cada FF a complementarse de manera precisa y controlada Para que este cambio se lleve a cabo, se utilizan
las dos compuertas, tomemos como ejemplo la compuerta de dos entradas, solamente cuando sus dos entradas se
encuentren en el estado alto (BIT 1 = 1 y BIT 2 =1), la salida será alta, y por lo tanto "J-K" del tercer FF también,
al llegar el pulso del reloj, este podrá complementarse. Y en el caso de la compuerta de tres entradas el caso es
idéntico, solamente cuando sus tres entradas sean altas, su salida será alta, y el cuarto FF podrá cambiar. En otras
palabras, EL FF 1 se complementa sin ayuda alguna, ya que sus entradas "J-K" le permiten hacerlo libremente, el
segundo FF depende de la salida del primero, el tercero depende de de los dos primeros, el cuarto de los tres
primeros, y así sucesivamente si le seguimos colocando más BITS (FF) al contador. Los cambios en las entradas
de las compuertas suceden con cada pulso del reloj, de manera que mientras la transición correcta llega, los FF
que deban complementarse ya están "preparados" y responden inmediatamente a la señal de reloj. Ventajas de los
contadores síncronos La principal ventaja de este tipo de contadores sobre los asíncronos radica en que todos los
FF sin importar cuántos sean, cambian al mismo tiempo, sincronizados por la señal de reloj. Una ventaja derivada
de la primera es que el tiempo de propagación se reduce al mínimo, ya que el conteo sólo debe propagarse por
una o dos compuertas y un FF (Ya que cambian al mismo tiempo, y no dependen de otro FF para operar). Por lo
que el retardo de estos contadores va a ser mucho menor al de un contador asíncrono con el mismo número de FF
(BITS).
UNIVERSIDAD DE LAS FUERZAS ARMADAS – ESPE
CÓDIGO: SGC.DI.505
VERSIÓN: 1.0
DEPARTAMENTO: FECHA ULTIMA
REVISIÓN: 26/10/16

CARRERA: ELECTRÓNICA E INSTRUMENTACIÓN

Figura 3. Circuito interno 74LS193

En este diagrama podemos observar una conexión muy parecida a la de la figura anterior, el integrado cuenta
con cuatro FF tipo "J-K", cada una de las salidas de los FF representa un BIT, desde Q0 (LSB), hasta Q3
(MSB).

Cada uno de los FF cuenta con una entrada de reloj, pero en este caso, solamente dos son accesibles desde el
exterior, la entrada del primer FF (CP 0), y la del segundo FF (CP 1), esta configuración nos permite utilizar los
últimos tres FF como contador de tres bits y el primero por separado, o utilizar los cuatro bits para la cuenta.

Todos los FF cuentan con una entrada de RESET, la cual se encuentra conectada a una compuerta NAND de dos
entradas, las cuáles son accesibles desde el exterior (MR 1 y MR 2), de esta manera se puede logra el reset del
contador desde dos puntos diferentes.

Número MOD
En los casos anteriores, los dos contadores pueden darnos una salida de 4 BITS, es decir, cuentan desde el 0000
hasta el 1111, Para efectos prácticos, estos contadores son denominados Contadores "MOD 16", Ya que
presentan 16 estados diferentes en la cuenta. Por lo que el número MOD, siempre es igual al número de estados
que podemos obtener del contador antes que recicle su cuenta.

La siguiente tabla nos muestra los diferentes números MOD.


UNIVERSIDAD DE LAS FUERZAS ARMADAS – ESPE
CÓDIGO: SGC.DI.505
VERSIÓN: 1.0
DEPARTAMENTO: FECHA ULTIMA
REVISIÓN: 26/10/16

CARRERA: ELECTRÓNICA E INSTRUMENTACIÓN


OBJETIVOS:

 Diseñar y armar un contador MOD-10 ascendente y descendente.


 Diseñar y armar un contador de números pares e impares .

EQUIPOS Y MATERIALES:
1 Fuente 5Vcc.
Contadores sincrónicos 74LS112
Compuertas lógicas básicas.
1 Extensión.
1 Multímetro.
Oscilador de 1 HZ.
1 Protoboard.
1 multímetro.
Cables de conexión.
Computador portátil.
Simulador electrónico: ISIS o Multisim.
Herramientas de electricista.
Mandil.
TRABAJO PREPARATORIO
1. Contador sincrónico ascendente y descendente
ESTADO SIGUIENTEMOD-10. Debe haber una señal control
p=1, contador
1. Contador UP,
sincrónico p=0 contador
ascendente DN
y descendente MOD-10. Debe haber una señal control p=1, contador UP,
p=0 contador DNde estados se muestra en la Figura 1. El 1 o 0 al lado de cada flecha indica el estado de la
El diagrama
El diagrama
entradadedeestados
control se muestra Y.
UP/DOWN, en la Figura 1. El 1 o 0 al lado de cada flecha indica el estado de la entrada de
control UP/DOWN, Y.

Figura 4. Diagrama de estados


Fig. 1 Diagrama de estados
UNIVERSIDAD DE LAS FUERZAS ARMADAS – ESPE
CÓDIGO: SGC.DI.505
VERSIÓN: 1.0
DEPARTAMENTO: FECHA ULTIMA
REVISIÓN: 26/10/16

CARRERA: ELECTRÓNICA E INSTRUMENTACIÓN

La tabla del estado siguiente se obtiene a partir del diagrama de estados y se presenta en la Tabla 2.

ESTADO SIGUIENTE
Estado
actual P=0 (DOWN) P=1 (UP)
Q3 Q2 Q1 Q0 Q3 Q2 Q1 Q0 Q3 Q2 Q1 Q0
0 0 0 0 1 0 0 1 0 0 0 1
0 0 0 1 0 0 0 0 0 0 1 0
0 0 1 0 0 0 0 1 0 0 1 1
0 0 1 1 0 0 1 0 0 1 0 0
0 1 0 0 0 0 1 1 0 1 0 1
0 1 0 1 0 1 0 0 0 1 1 0
0 1 1 0 0 1 0 1 0 1 1 1
0 1 1 1 0 1 1 0 1 0 0 0
1 0 0 0 0 1 1 1 1 0 0 1
1 0 0 1 1 0 0 0 0 0 0 0

La tabla de transiciones para el flip-flop J-K se repite en la Tabla 2.

P Q3 Q2 Q1 Q0 J3 K3 J2 K2 J1 K1 J0 K0
0 0 0 0 0 0 1 X 0 X 0 X 1 X
1 0 0 0 0 1 0 X 0 X 0 X X 1
2 0 0 0 1 0 0 X 0 X X 1 1 X
3 0 0 0 1 1 0 X 0 X X 0 X 1
4 0 0 1 0 0 0 X X 1 1 X 1 X
5 0 0 1 0 1 0 X X 0 0 X X 1
6 0 0 1 1 0 0 X X 0 X 1 1 X
7 0 0 1 1 1 0 X X 0 X 0 X 1
8 0 1 0 0 0 X 1 1 X 1 X 1 X
9 0 1 0 0 1 X 0 0 X 0 X X 1
16 1 0 0 0 0 0 X 0 X 0 X 1 X
17 1 0 0 0 1 0 X 0 X 1 X X 1
18 1 0 0 1 0 0 X 0 X X 0 1 X
19 1 0 0 1 1 0 X 1 X X 1 X 1
20 1 0 1 0 0 0 X X 0 0 X 1 X
21 1 0 1 0 1 0 X X 0 1 X X 1
22 1 0 1 1 0 0 X X 0 X 0 1 X
23 1 0 1 1 1 1 X x 1 x 1 X 1
24 1 1 0 0 0 X 0 0 X 0 X 1 0
25 1 1 0 0 1 X 1 0 X 0 X X 1
UNIVERSIDAD DE LAS FUERZAS ARMADAS – ESPE
CÓDIGO: SGC.DI.505
VERSIÓN: 1.0
DEPARTAMENTO: FECHA ULTIMA
REVISIÓN: 26/10/16

CARRERA: ELECTRÓNICA E INSTRUMENTACIÓN

Los mapas de Karnaugh para las entradas J y K de los flip-flops se presentan en la Figura 2. La entrada de control UP/DOWN.
Y, se considera una de las variables de estado junto con Q0, Q1 y Q2. Utilizando la tabla del estado

̅̅̅̅̅̅̅ + 𝑥𝑎𝑐𝑏
𝐽𝐷 = 𝑥𝑑𝑐𝑏𝑎
BA
DC
0 0 1 1 0 0 1 1
0 1 1 0 0 1 1 0
0 0 1 0 0 0 0 0 0 0
0 1 0 0 0 0 0 0 1 0
1 1 X X X X X X X X
1 0 X X X X X X X X
̅̅̅̅̅ + 𝑥𝑎
𝐾𝐷 = 𝑥𝑏𝑎
BA
DC
0 0 1 1 0 0 1 1
0 1 1 0 0 1 1 0
0 0 X X X X X X X X
0 1 X X X X X X X X
1 1 X X X X X X X X
1 0 1 0 X X 0 1 X X
̅̅̅̅̅ 𝑑 + 𝑥𝑎𝑏
𝐽𝐶 = 𝑥𝑎𝑏
BA
DC
0 0 1 1 0 0 1 1
0 1 1 0 0 1 1 0
0 0 0 0 0 0 0 0 1 0
0 1 X X X X X X X X
1 1 X X X X X X X X
1 0 1 0 X X 0 0 X X
̅̅̅̅̅
𝐾𝐶 = 𝑥𝑎𝑏 + 𝑥𝑎𝑏
BA
DC
0 0 1 1 0 0 1 1
0 1 1 0 0 1 1 0
0 0 X X X X X X 1 X
0 1 1 0 0 0 0 0 1 0
1 1 X X X X X X X X
1 0 X x X X X x 1 X
̅̅̅̅̅ 𝑐 + 𝑥𝑎𝑑 ̅ + 𝑥𝑎𝑏
𝐽𝐵 = 𝑥𝑎𝑏 ̅̅̅̅̅ 𝑐
BA
DC
0 0 1 1 0 0 1 1
0 1 1 0 0 1 1 0
0 0 0 0 X X 0 1 X X
0 1 1 0 X x 0 1 X X
1 1 1 X X X X X X X
1 0 1 0 X X 0 0 X X
UNIVERSIDAD DE LAS FUERZAS ARMADAS – ESPE
CÓDIGO: SGC.DI.505
VERSIÓN: 1.0
DEPARTAMENTO: FECHA ULTIMA
REVISIÓN: 26/10/16

CARRERA: ELECTRÓNICA E INSTRUMENTACIÓN

𝐾𝐵 = 𝑎𝑥
̅̅̅𝑏 + 𝑥𝑎𝑏
BA
DC
0 0 1 1 0 0 1 1
0 1 1 0 0 1 1 0
0 0 X X 0 1 X X 1 0
0 1 X X 0 1 X X 1 0
1 1 X X X X X X X X
1 0 X X X X X X X X

𝐽𝐴 = 𝑣𝑐𝑐
BA
DC
0 0 1 1 0 0 1 1
0 1 1 0 0 1 1 0
0 0 1 X X 1 1 X X 1
0 1 1 X X 1 1 X X 1
1 1 X X X X X X X X
1 0 1 X X 1 1 X X X

𝐾𝐴 = 𝑣𝑐𝑐
BA
DC
0 0 1 1 0 0 1 1
0 1 1 0 0 1 1 0
0 0 X 1 1 X X 1 1 X
0 1 X X 1 1 X x 1 1
1 1 X X X X X X X X
1 0 X 1 X X X 1 X X
UNIVERSIDAD DE LAS FUERZAS ARMADAS – ESPE
CÓDIGO: SGC.DI.505
VERSIÓN: 1.0
DEPARTAMENTO: FECHA ULTIMA
REVISIÓN: 26/10/16

CARRERA: ELECTRÓNICA E INSTRUMENTACIÓN


UNIVERSIDAD DE LAS FUERZAS ARMADAS – ESPE
CÓDIGO: SGC.DI.505
VERSIÓN: 1.0
DEPARTAMENTO: FECHA ULTIMA
REVISIÓN: 26/10/16

CARRERA: ELECTRÓNICA E INSTRUMENTACIÓN

2. Contador de números impares y pares según variable de control p =0 contador números pares y p=1 contador
números impares.

Fig. 5. Diagrama de estados

Tabla de estados

P Estado inicial Estado Final 𝑱𝑫 𝑲𝑫 𝑱𝑪 𝑲𝑪 𝑱𝑩 𝑲𝑩 𝑱𝑨 𝑲𝑨


D C B A D C B A
0 0 0 0 0 0 0 0 1 0 X 0 X 0 X 1 X
1 0 0 0 0 0 0 1 0 0 X 0 X 1 X 0 X
0 0 0 0 1 0 0 1 1 0 X 0 X 1 X X 0
1 0 0 0 1 0 0 1 0 0 X 0 X 1 X X 1
0 0 0 1 0 0 0 1 1 0 X 0 X X 0 1 X
1 0 0 1 0 0 1 0 0 0 X 1 X X 1 0 X
0 0 0 1 1 0 1 0 1 0 X 1 X X 1 X 0
1 0 0 1 1 0 1 0 0 0 X 1 X X 1 X 1
0 0 1 0 0 0 1 0 1 0 X X 0 0 X 1 X
1 0 1 0 0 0 1 1 0 0 X X 0 1 X 0 X
0 0 1 0 1 0 1 1 1 0 X X 0 1 X X 0
1 0 1 0 1 0 1 1 0 0 X X 0 1 X X 1
0 0 1 1 0 0 1 1 1 0 X X 0 X 0 1 X
1 0 1 1 0 1 0 0 0 1 X X 1 X 1 0 X
0 0 1 1 1 1 0 0 1 1 X X 1 X 1 X 0
1 0 1 1 1 1 0 0 0 1 X X 1 X 1 X 1
0 1 0 0 0 1 0 0 1 X 0 0 X 0 X 1 X
1 1 0 0 0 0 0 0 0 X 1 0 X 0 X 0 X
0 1 0 0 1 0 0 0 1 X 1 0 X 0 X X 0
1 1 0 0 1 0 0 0 0 X X 0 X 0 X X 1
UNIVERSIDAD DE LAS FUERZAS ARMADAS – ESPE
CÓDIGO: SGC.DI.505
VERSIÓN: 1.0
DEPARTAMENTO: FECHA ULTIMA
REVISIÓN: 26/10/16

CARRERA: ELECTRÓNICA E INSTRUMENTACIÓN

Mapas Karnaugh

̅ 𝑨𝑩𝑪 + 𝑩𝑪𝑷
𝑱𝑫 = 𝑷

BA
DC 00 01 11 10 00 01 11 10
0 0 0 0 0 0 0 0 0 0
0 1 0 0 1 0 0 0 1 1
1 1 X X X X X X X X
1 0 X X X X X X X X
̅
𝐏 P
̅ 𝑨 + 𝑷𝑩
𝑲𝑫 = 𝑷 ̅

BA
D C 00 01 11 10 00 01 11 10
0 0 X X X X X X X X
0 1 X X X X X X X X
1 1 X X X X X X X X
1 0 0 1 X X 1 X X X
̅
𝐏 P
𝑱𝑪 ̅ 𝑨𝑩 + 𝑩𝑷
=𝑷

BA
D C 00 01 11 10 00 01 11 10
0 0 0 0 1 0 0 0 1 1
0 1 X X X X X X X X
1 1 X X X X X X X X
1 0 0 0 X X 0 0 X X
̅
𝐏 P
𝑲𝑪 ̅ 𝑨𝑩 + 𝑩𝑷
=𝑷

BA
D C 00 01 11 10 00 01 11 10
0 0 0 0 1 0 0 0 1 1
0 1 X X X X X X X X
1 1 X X X X X X X X
1 0 0 0 X X 0 0 X X
̅
𝐏 P
UNIVERSIDAD DE LAS FUERZAS ARMADAS – ESPE
CÓDIGO: SGC.DI.505
VERSIÓN: 1.0
DEPARTAMENTO: FECHA ULTIMA
REVISIÓN: 26/10/16

CARRERA: ELECTRÓNICA E INSTRUMENTACIÓN

̅𝑫
𝑱𝑩 = 𝑷 ̅ 𝑨 + 𝑷𝑫
̅

BA 0 0 1 1 0 0 1 1
D C 0 1 1 0 0 1 1 0
0 0 0 1 X 0 1 1 X X
0 1 0 1 X 0 1 1 X X
1 1 X X X X X X X X
1 0 0 0 X X 0 0 X X
̅
𝐏 P
̅ 𝑨 + 𝑷𝑩
𝑲𝑩 = 𝑷

BA 0 0 1 1 0 0 1 1
D C 0 1 1 0 0 1 1 0
0 0 X X 1 0 X X 1 1
0 1 X X X 0 X X X X
1 1 X X X X X X X X
1 0 X X X X X X X X
̅
𝐏 ̅
P𝑱𝑨 = 𝑷

BA 0 0 1 1 0 0 1 1
D C 0 1 1 0 0 1 1 0
0 0 1 X X 1 0 X X 0
0 1 1 X X 1 0 X X 0
1 1 X X X X X X X X
1 0 1 X X X 0 X X X
̅
𝐏 P
𝑲𝑨 = 𝑷

BA 0 0 1 1 0 0 1 1
D C 0 1 1 0 0 1 1 0
0 0 X 0 0 X X 1 1 X
0 1 X 0 0 X X 1 1 X
1 1 X X X X X X X X
1 0 X 0 X X X 1 X X
̅
𝐏 P
UNIVERSIDAD DE LAS FUERZAS ARMADAS – ESPE
CÓDIGO: SGC.DI.505
VERSIÓN: 1.0
DEPARTAMENTO: FECHA ULTIMA
REVISIÓN: 26/10/16

CARRERA: ELECTRÓNICA E INSTRUMENTACIÓN

Diagrama del circuito:

ACTIVIDADES POR DESARROLLAR:

1. Arme en protoboard, los circuitos del trabajo.


2. Compruebe el funcionamiento.
UNIVERSIDAD DE LAS FUERZAS ARMADAS – ESPE
CÓDIGO: SGC.DI.505
VERSIÓN: 1.0
DEPARTAMENTO: FECHA ULTIMA
REVISIÓN: 26/10/16

CARRERA: ELECTRÓNICA E INSTRUMENTACIÓN

RESULTADOS OBTENIDOS:
MOD-10

Contadores síncronos de cuenta Ascendente/Descendente Con anterioridad vimos como un contador asíncrono
"normal" (Conteo ascendente) podía ser modificado para hacer el conteo descendente. En el caso de los
contadores síncronos es la misma mecánica..

CONCLUSIONES:
 En los sistemas asincrónicos las salidas de los circuitos lógicos pueden cambiar en cualquier momento
siempre y cuando uno o más de sus entradas cambien
 Un circuito secuencial asíncrono evoluciona ante cualquier cambio en las entradas de forma inmediata,
no tiene periodicidad de funcionamiento, se rige por eventos.
 Los FF tiene una entrada de tipo asíncrono, es decir que se aceptará de forma aleatoria y cuando lo haga
el circuito realizará una cuenta. El resto del tiempo, los flip-flops no cambiarán su estado presente.
RECOMENDACIONES:
 Polarizar correctamente los circuitos integrados ya que pueden quemarse o generar errores al momento
de la implementación del circuito.
 Tomar encuentra cada una de las configuraciones internas de los integrados a utilizar en la práctica.
 Leer detenidamente cada pasó de la práctica.
REFERENCIAS BIBLIOGRÁFICAS Y DE LA WEB:
 https://www.cuidatudinero
.com/13086594/contadores
-sincronos-y asincronos
 [1] L. Floyd, T (2006).
Fundamentos de sistemas
digitales (novena edición).
Madrid: Pearson. [2]Mano,
M. M. (2003). Diseño Digital
(Tercera Edición).Los
Angeles Pearson

S-ar putea să vă placă și