Documente Academic
Documente Profesional
Documente Cultură
Introduction
Instructor: Maria K. Michael
MKM - 1
ECE
Overview
• Introduction to Electronic Systems, their
requirements and trends
• Design Styles of ASICs
– Full Custom
– Standard Cell
– Gate Arrays
– Programmable Logic Devices
– Field-Programmable Gate Arrays
• Computer-Aided Design
– Design Flow
– Abstraction Models
• ASIC Cell Libraries
MKM - 2
ECE
1
Electronic Systems
• Embedded Systems /
Systems on Chip are
everywhere
• Technology advances
enable for the design
of more complex
systems
• Challenges:
– Catch-up with technology
– Cope with complexity
MKM - 3
ECE
Application of Microcontrollers
Average # of microcontroller ICs
250
226
200 Home
150
100
69
50 Office 42
18 35
2 14 Auto
0
1970 1980 1990 2000
MKM - 4
ECE
2
Gordon Moore’s Law
Moore’s Law (1965):
“The number of transistors per square inch will
double every 18 months”
MKM - 5
ECE
MKM - 6
ECE
3
Gordon Moore’s Law
Moore’s Law (1965):
“The number of transistors per square inch will
double every 18 months”
Year of Introduction
MKM - 7
ECE
MKM - 8
ECE
4
Microprocessor Performance Trends
MKM - 9
ECE
Technology Progress:
Another way to look at it…
MKM - 10
ECE
5
Processors: Then and Now …
MKM - 11
ECE
A “closer” look …
MKM - 12
ECE
6
Integrated Systems
• Silicon Technology (CMOS)
– Downscaling of feature sizes
– Nanotechnologies already here…
• Different Design Styles
– To address performance and cost issues
MKM - 13
ECE
Integrated Systems
• Systems on Chip (SOC)
– Multi-processing SoCs (MPSoCs)
– Chip Multi-Processors (CMPs)
• Systems in a Package (SiP)
• 3D Chips
MKM - 14
ECE
7
Application Specific
Integrated Circuits (ASICs)
• IC – made on a thin circular silicon called wafer, that holds
hundreds of die.
MKM - 15
ECE
Application Specific
Integrated Circuits (ASICs)
• A gate equivalent is a 2-input NAND gate
(F = (a•b)’, 4 transistors in CMOS)
• IC size determined by the # of gates (equivalent) on the chip
• History of Integration:
– SSI (~ 10 gates)
– MSI (~ 100-1,000 gates)
– LSI (~ 1,000-10,000 gates)
– VLSI (~ 10,000-100,000 gates)
– ULSI (~1M-xB gates)
• History of Technology:
– Bipolar and TTL preceded CMOS technology due to the difficulty
in making metal-gate n-channel MOS (nMOS); introduction of
CMOS reduced power greatly!
• Feature size (λ): smallest shape you fabricate on a chip
(roughly, half the length of the smallest transistor
for 0.5µm process, λ = 0.25 µm )
MKM - 16
ECE
8
Design Styles of ASICs
Cost
Semi-custom
Flexibility
MKM - 17
ECE
Full-Custom ASICs
Intel 4004
MKM - 18
ECE
9
Full-Custom ASICs
MKM - 19
ECE
Full-Custom ASICs …
MKM - 20
ECE
10
Full-Custom ASICs
• Designers do not use pre-defined/pre-tested cells
• All mask layers are customized
• Advantages:
– Highest performance Optimized w.r.t.
– Lowest part cost delay, area, power
• Disadvantages:
– Increased design time, design expense
– Increased complexity, highest risk
• Microprocessors used to be exclusively full-custom;
designers are increasingly turning to semi-custom
ASIC design styles
MKM - 21
ECE
MKM - 22
ECE
11
Standard Cell-Based ASICs
• Pre-designed logic cells (eg. AND, OR, MUX, FF),
known as Standard Cells (SC)
• Standard cell area is built of rows of SCs (=flexible
blocks)
• Can have more than one SC area and can decide
placement
• SC area may be used in combination with large pre-
designed cells called megacells (or fixed blocks)
• All mask layers are customized (allows for transistor re-
sizing)
• Custom blocks can be embedded
• Advantage:
– Save time using the SC library
(cells are pre-designed, pre-tested, pre-characherized)
MKM - 23
ECE
Gate-Array ASICs
MKM - 24
ECE
12
Gate-Array ASICs
• Transistors are pre-defined on the silicon wafer
• Pre-defined pattern of transistors forms the base
array
• Base cell = smallest element replicated to make the
base array
• Designers use custom masks to define ONLY the few
top metal layers (interconnect)
• à logic is pre-fabricated, only interconnect must be
defined and fabricated
• Macro = logic cells in a gate array library
MKM - 25
ECE
Gate-Array ASICs
3 types of Gate-Arrays (GAs)
Base Base
Array (BA) Cell
Fixed
block
Routing
channel Base
Cell
• space between BA rows • no channels for wiring • can embed a custom block
for wiring (routing) • uses unused transistors (eg. RAM), fixed size
• channel width is fixed or over-the-cell for routing • GA area can be channeled
(variable in standard-cells) interconnect or chaneless
• logic density
(= # of logic-on-silicon)
is higher than in channeled GAs
MKM - 26
ECE
13
Programmable Logic Devices (PLDs)
MKM - 27
ECE
PAL w/ 3 Inputs,
PROM w/ 8 words x 4 bits
4 Outputs and 8 Products
MKM - 28
ECE
14
Programmable Logic Devices (PLDs)
PLA w/ 3 Inputs,
PLS w/ 2 Inputs and 3 Outputs
4 Outputs and 8 Products
MKM - 29
ECE
MKM - 30
ECE
15
Field Programmable Gate
Arrays (FPGAs)
MKM - 31
ECE
Fuse-based
MKM - 32
ECE
16
Comparison of Design Styles
MKM - 33
ECE
Computer-Aided Design
MKM - 34
ECE
17
Electronic Design Automation (EDA)
Electronic Systems Design/Fabrication Cycle
MKM - 35
ECE
Fabrication
Manufacturing
Test
18
VLSI Realization Process
Customer Needs
Determine
Requirements
Englishspecification
19
Design Flow
start
library ieee;
use ieee.std_logic_1164.ALL;
HDL 1
entity quaddff IS
port(clkf, rstb: in bit;
df: in bit_vector (3 downto 0);
qf: inout bit_vector (3 downto 0));
end quaddff;
(VHDL, Verilog)
signal Q: bit_vector(3 downto 0);
4 1
begin
process (clkf, rstb)
Pre-layout design
begin
if rstb = '0' then
qf <= "0000";
elsif clkf'event and clkf = '1' then
qf <= df;
end if;
end process;
end behav;
simulation entry
Register A 2 high-level/
2 to 1 datapath
architectural logic
Register B MUX /control design
synthesis 2
logic 3 3
netlist
test 5 synthesis
development
system 6 A E 6
netlist
partitioning D partitions
B C
post-layout11 floor- 7
chip
simulation planning area
D block
physical
B
design
8 A
placement 7 8
define block areas place blocks on chip
Design Flow
1 enter design using HDL or schematic
2 use HDL/schematic to produce architectural-level description
3 produce netlist (logic-level description)
4 verify/validate correctness of design (logic verification)
5 develop test strategy (includes fault modeling, test generation,
design-for-testability)
6 divide large system into blocks of netlists
7 floorplan chip area – arrange blocks
8 decide exact location of cells in a block
9 connect cells and blocks (global and detailed routing)
10 determine resistance/capacitance of interconnect
11 verify design with the added loads of interconnect
MKM - 40
ECE
20
ASIC Cell Libraries
• 3 choices (for gate-arrays & standard-logic designs)
• Use a design kit from the ASIC vendor (cheap)
– Phantom library = cells are empty, enough info for layout;
netlist is returned to vendor to fill in the boxes (initiation)
– Must use tools approved by the vendor
• Buy an ASIC-vendor library (expensive)
– Requires a qualified cell library
– If you own the masks you have a customer owned tooling solution
• Build the cell library (very expensive)
– Very time consuming
– Requires: cell layout, behavioral model for simulation, HDL model,
timing model, test strategy, cell schematic, cell icon/symbol, wire-
load model, routing model, per cell
• For FPGAs, vendor supplies the cell library (designer kit)
MKM - 41
ECE
21