Sunteți pe pagina 1din 42

REPÚBLICA BOLIVARIANA DE VENEZUELA

MINISTERIO DEL PODER POPULAR PARA LA EDUCACIÓN

UNIVERSITARIA CIENCIAS Y TECNOLOGÍA

U.P.T.N.M. * LUDOVICO SILVA*

PUNTA DE MATA ESTADO MONAGAS

SISTEMAS DIGITALES

Profesor: Bachiller:

Ricardo Vazquez Williams G. Betancourt

C.I. – 17.721.886

Sección: 2 trayecto 2

Marzo, 2019.
INTRODUCCIÓN

La presente investigación se refiere al tema de Sistema digitales, como conjunto


de dispositivos que son destinados a la generación, transmisión, manejo,
procesamiento y almacenamiento de señales digitales.

La investigación de los diferentes conceptos y términos sobre sistemas


digitales, que nos ayudaran a adquirir conocimientos específicos sobre la materia
que cursamos, como son: Decodificadores, codificadores multiplexores,
demultiplexores, código BCD, código gray, convertidores de códigos, conversión
de binaria a gray, comparadores, sumadores, restadores, lógica de paridad,
simbología, sistema digital.

Investigaremos diferentes términos y conceptos, con sus características, tipos,


ejemplos, tratando siempre de hacer lo mejor que podamos, pues es para nuestra
mejor preparación académica.

Para realizar esta investigación utilizares libros de la biblioteca y el ya conocido


internet.
EL DECODIFICADOR:
es un dispositivo que acepta una entrada digital codificada en binario y activa
una salida. Este dispositivo tiene varias salidas, y se activará aquella que
establezca el código aplicado a la entrada.

- FUNCION DEL DECODIFICADORES:

Tienen como función detectar la presencia de una determinada combinación


de bits en sus entradas y señalar la presencia de este código mediante un cierto
nivel de salida. Un decodificador posee N líneas de entrada para gestionar N bits y
en una de las 2N líneas de salida indica la presencia de una o mas combinaciones
de n bits. 2 N Para cualquier código dado en las entradas solo se activa una de las
N posibles salidas.

TIPOS DE DECODIFICADORES:

1. Decodificadores binarios básicos.


Cuando se quiere determinar cuándo por ejemplo aparece 1001 en las
entradas de un circuito digital. Todas las entradas de la puerta AND están a
nivel ALTO ya que dicha puerta produce una salida a nivel ALTO.
EJEMPLO:
Determinar la lógica requerida para decodificar el número binario 1011 de
manera que produzca un nivel alto en la salida. La salida será F = A3 A2A1A0
La implementamos:
Funcionamiento Ejemplo:

Decodificador 2 a 4.

A –B- Out 3 -Out 2- Out 1- Out 0


0 -0- 0 - 0 - 0 - 1
0 -1 -0 - 0 -1 - 0
1 -0 -0 - 1 -0 - 0
1 -1 -0 - 0 -0 - O
Observar que de las 4 salidas son la correspondiente al valor decimal de la
entrada es la que está en 1. Un decodificador también puede utilizarse para
implementar funciones lógicas en la forma SOP, basta colocar una compuerta
OR que tome todas las salidas correspondientes para las cuales la función
tiene que valer 1. _ _ _ _ _ _ Ejemplo: F =X Y Z+ X Y Z+X Y Z+X Y Z+X Y Z.
XYZF
0000
0011
0100
0110
1001
1011
1101
1111

2. El decodificador de 4 bits ó decodificador 1 de 16.

Se utiliza para poder decodificar todas las combinaciones de 4 bits. Para


cualquier código dado en las entradas solo se activa una de las posibles
dieciséis salidas. Si requerimos una salida a nivel bajo, el decodificador de
puede implementar con puertas NAND e inversores, uno por cada salida. A
continuación se muestra la tabla de verdad de un decodificador 1 de 16 con
salidas activas a nivel alto.

A3 A2 A1 A0 _15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1
0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0
0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0
0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0
0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0
0 1 0 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0
0 1 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0
0 1 1 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0
1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0
1 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0
1 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0
1 0 1 1 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0
1 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0
1 1 0 1 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0
1 1 1 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0
1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

3.- Entradas Salidas Ejemplo: El decodificador 1 de 16 74HC154. Se trata de


un decodificador en circuito integrado. Este dispositivo tiene una función de
enable. En las entradas de selección de chip y se quiere un nivel bajo para
obtener en la salida de la puerta de activación (EN) un nivel alto. CS1 CS2

4. El decodificador BCD a decimal.

Convierte cada código BCD en uno de los diez posibles dígitos decimales.
El método de implementación es el mismo que para un decodificador 4 a
16,pero con la diferencia de que las salidas son solo 10. Obtendremos salidas
activas a nivel ALTO y BAJO implementando las funciones con puertas AND
y NAND respectivamente. Codificador 7447

5. Decodificadores BCD a 7 segmentos

Es un circuito combinacional que permite un código BCD en sus entradas


y en sus salidas activa un display de 7 segmentos para indicar un dígito
decimal. El display está formado por un conjunto de 7 leds conectados en un
punto común en su salida, bien en ánodo comun ó ánodo común. El
decodificador requiere de una entrada en código decimal binario BCD y siete
salidas conectadas a cada segmento del display. A B C D a b c d e f g
0000011111
1020010110
1101401000
0100117011
1111000091
0011110011
Aplicaciones

Los decodificadores se emplean fundamentalmente para seleccionar los


diferentes puertos de E/S (entrada/salida) y así la computadora pueda
comunicarse con los diferentes dispositivos externos (periféricos). Estos
decodificadores son conocidos como decodificador de direcciones de puertos.
Diseccionar una localidad de memoria, conversión de datos binarios

Funcionamiento de un Decodificador

La función que cumple el decodificador, es actuar como una unidad que


recibe y decodifica una señal emitida, ya sea por satélite (DTH) o fibra
óptica (HFC) puesto que ésta viene en códigos especiales de seguridad que
no permiten que sea vista en un televisor sin este dispositivo.

2.- CODIFICADORES.

Un codificador es un circuito combinacional con un conjunto de entradas (2N) y


un número de salidas N cuyo propósito es mostrar en la salida el código binario
correspondiente a la entrada activada.

Codificador binario
Por ejemplo:

un codificador de 4 entradas X0, X1, X2, X3 y 2 salidas S0, S1. Si se activa la


entrada X0 mediante la introducción de un 1, el código mostrado a la salida será
S0S1=00. Y así para el resto de las entradas: X1 activará una salida 01, X2
activará una salida 10 y X3 activará una salida 11. Observe que el valor en binario
de la salida en su conjunto 00, 01,10, 11 es igual al número decimal de la entrada
activada 0,1,2,3 que acompaña a la letra ‘X’.

Las funciones algebraicas de un codificador se pueden deducir a partir de su


funcionamiento. Por ejemplo, en el caso de que se disponga de un codificador de
4 entradas (y dos salidas) éstas serán:

 S0 = X1 + X3

 S1 = X0 + X2

Para ello, se ha tenido en cuenta que la salida S0 solo vale 1 para los valores 1 y
3 (en decimal) o 01 y 11 (en binario). La salida S1 solo vale 1 para los valores 2 y
3 (en decimal) o 10 y 11 (en binario).

Para obtener estas funciones se ha considerado que nunca va a producirse una


combinación a la entrada que tenga más de un 1 y por lo tanto, no importa el valor
que produce a la salida esa situación. Todo ello lo podríamos resumir en la
siguiente tabla de verdad resumida en la que solo se han puesto 4 combinaciones
de las 24=16 posibles. Las celdas sombreadas en azul son las salidas.

X3 X2 X1 X0 S1 S0

0 0 0 1 0 0

0 0 1 0 0 1

0 1 0 0 1 0

1 0 0 0 1 1
Si en algún momento se activarán más de una entrada, la salida no estaría
definida, es decir, no se puede saber a priori qué valor se obtendría. Dependiendo
de cómo se haya diseñado puede haber variaciones entre unos dispositivos y
otros.

Codificador con prioridad

En la explicación anterior se ha supuesto que únicamente una de las entradas X0,


X1, X2, X3 puede estar activa (con un 1) a la vez. Esto es cierto para algunas
aplicaciones. Sin embargo, hay otras aplicaciones para las cuales es posible que
estén activadas (con un 1) más de una entrada a la vez.

En este caso el circuito debe estar diseñado para establecer una prioridad o
precedencia entre las entradas para determinar en cada caso cual es la que
realmente se indica a la salida.

Podemos escribir la siguiente tabla de verdad en la que hacemos uso de


condiciones don’t care (marcadas con ‘x’). Las celdas sombreadas en azul son las
salidas.

X3 X2 X1 X0 S1 S0

0 0 0 1 0 0

0 0 1 x 0 1

0 1 x x 1 0

1 x x x 1 1

La interpretación de esta tabla es la siguiente: si la entrada X3 está a 1 no importa


cuál sea el valor del resto de entradas ya que el código 11 a la salida (el
correspondiente a 3, valor decimal de X3). Esto significa que es la más prioritaria
frente a las demás.
Para que se active la salida 10 (correspondiente a 2, valor decimal de X2), es
necesario que X2=1 pero también que X3=0 (o sea, que no esté activada). Dado
que X2 es prioritaria frente a X1 y X0, se pone un valor ‘x’ en esas entradas.

Finalmente, se puede deducir que la X0 es la menos prioritaria de todas porque


para que se active su código a la salida, es necesario, además de que esté a 1 (o
sea, activada), que todas las demás estén a 0 (o sea, desactivadas).

En este caso, las funciones resultantes, obtenidas mediante la aplicación de


mapas de Karnaugh , serán:

 S0 = X1·X2′ + X3

 S1 = X2 + X3

Características:
• Es un circuito hecho para pasar información de un sistema a otro en claves
diferentes.

• Muestra en la salida la combinación correspondiente al código binario de la


entrada activada.

• Convierte una entrada no binaria en una salida de estricto orden binario. •


Proporcionan otras operaciones de conversión.

• Tienen hasta 2^n entradas y n salidas.

3.- MULTIPLEXORES.

Un multiplexor o mux es un circuito combinacional que permite que, teniendo


varias entradas, podamos a través de un selector transmitir en la salida el dato
que queramos.
Un multiplexor tiene D entradas, una única salida y E entradas de selección, que
cumplen la fórmula D=2ᴱ (las entradas de selección son proporcionales a las
entradas del multiplexor).

Un multiplexor puede tener, por ejemplo, 8 entradas (10 a 17), 3 selectores (S0,
S1 y S2), una E (terminal de inhibición) que tiene que estar conectado a masa
para que funcione el multiplexor y por último la salida Z y Z͞ (donde nos da la salida
negada).

Hay distintos tipos de multiplexores de 2, 4, 8, 16…entradas, de paralelo a


serie. Sus aplicaciones son muy variadas, los podemos encontrar en generadores
de funciones lógicas, display multiplexor de 7 segmentos, etc.

Multiplexor de dos entradas

Este multiplexor tiene dos entadas D0 y D1, una salida S y un selector E. Cuando
el selecto E esté en la posición 1 en la salida, tendremos lo mismo que en la
entrada 1, mientras que cuando el selector está en 0 tendremos en la salida los
datos de D0. Por lo tanto, la ecuación de salida nos quedará S=DØ-E+D1-E.

Nota: existen los multiplexores de interruptores electrónicos, que su principal


diferencia está en que el selector lo tienen eléctrico, es decir, que va dando
impulsos dependiendo del nivel lógico que hay en el terminal de control.

Multiplexor de cuatro entradas

Este multiplexor tiene 4 entradas, 2 selectores y una salida. Vemos que cumple la
fórmula D=2ᴱ, ya que tenemos cuatro entradas, por lo tanto, E (selectores) serán
dos.

Solo nos interesa la entrada seleccionada, las otras entradas no influyen en la


salida. Con la combinación E1 y E2 podemos saber el dato que sale:

0 0 1ˆD1, 1 0ˆ>D2y 1

Nota: un multiplexor sea cual sea el número de entradas tendrán


aproximadamente el mismo tipo de tabla pero con más variables de entrada, más
variables de selector pero no de salida, como máximo tendrá una salida normal y
otra con la misma negada.

Multiplexores para la conversión de paralelo a serie

Primero de todos hemos de saber que la transmisión de datos de un sistema


electrónica a otro se efectúa a través de un conductor llamado bus de datos.
La forma más rápida de transmitir los datos sería en transmisión en paralelo, con
un cable para cada dato. Pero el problema es que saldría muy caro, por lo tanto,
se ha creado la transmisión en serie. Esta transmisión lo que hace es pasar por el
mismo cable todos los datos, de uno en uno, aunque sea más lento en paralelo.

Por lo tanto, podemos decir que cualquier multiplexor pasa de un número de


entradas en paralelo a una salida en serie, seleccionando cada vez una.

Aplicaciones:

La función de un multiplexor da lugar a diversas aplicaciones.


 Serializador: Convierte datos desde el formato paralelo al formato serie.

 Transmisión multiplexada: Utilizando las mismas líneas de conexión, se


transmiten diferentes datos de distinta procedencia.

 Realización de funciones lógicas: Utilizando inversores y conectando a 0 ó


1 las entradas según interese, se consigue diseñar funciones complejas, de
un modo más compacto que con las tradicionales puertas lógicas.

Tipos de multiplexores:

Dentro de la gran variedad de multiplexores que existen en el mercado, hay


varios tipos que se destacan por su gran utilidad en circuitos digitales:
 Multiplexor de 8 entradas.

 Multiplexor de 16 entradas.

 Doble multiplexor de 4 entradas.

Dentro del primer tipo podemos hacer la distinción entre tener la entrada de
“strobe” o no. La tecnología utilizada para su diseño es TTL, de alta integración, y
la potencia que disipan suele ser de unos 150 mW. El tiempo de retardo típico es
de unos 25 nanosegundos y tienen un "fan - out" de 10. Normalmente, estos
circuitos suelen dar dos tipos de salida: una afirmada y la otra negada.
En cuanto al segundo tipo de multiplexores, se diferencian de los primeros en el
número de entradas, que es el doble, y que no existe la posibilidad de tener dos
salidas, sino que sólo se puede optar por la negada y, en consecuencia, a la salida
únicamente se tendrán los datos de la entrada complementados. La potencia de
disipación para estos multiplexores viene a ser de aproximadamente unos 200
mW. El tiempo de retardo y el "fan - out" son más o menos iguales que en el caso
del multiplexor de 8 entradas.
En el último de los tipos, dentro del mismo encapsulado del circuito integrado, se
tienen dos multiplexores de cuatro entradas de datos: dos de control y una señal
de “strobe” cada uno.

Doble multiplexor de cuatro entradas

Las entradas de control son comunes para ambos multiplexores. Al igual


que los anteriores, se suelen realizar con tecnología TTL de alta integración,
y tienen una disipación media de unos 180 mW.
Con estos tres tipos de multiplexores trabajaremos habitualmente, incluso
en el caso de tener que emplear algún otro de orden superior, es decir, con
mayor número de entradas. Para ello, necesitaremos utilizar más de un
multiplexor de los descritos anteriormente.

Multiplexor de 32 entradas

Está construido a partir de cuatro multiplexores de 8 entradas y uno de 4


entradas. La forma de conectarlos entre sí depende de la aplicación
concreta de que se trate, pero siempre habrá que disponer de más de una
etapa de multiplexores, lo cual acarrea un tiempo de retardo. Así, por
ejemplo, para seleccionar un dato de entre las 32 entradas de que se
dispone, se debe diseñar un sistema análogo al representado en la figura
correspondiente. El primer multiplexor de 8 entradas sitúa secuencialmente
los datos de entrada I0 a I7 en la línea de salida de éste, a medida que el
código de las señales de control va variando. Análogamente, el segundo
multiplexor, también de 8 entradas, transmitirá los datos I8 a I15 a su línea
de salida, dependiendo de las señales de control.
Estas entradas de control están unidas entre sí de manera que cuando, por
ejemplo, aparece en la línea de salida del primer multiplexor I1, en la salida
del segundo estará I9, en la del tercero I17 y en la del último I25. Si se
quiere sacar a la salida del conjunto de multiplexores cualquiera de las
líneas de salida anteriormente citadas, se necesitará utilizar un multiplexor
de 4 entradas y, con sus señales de control, se activará la entrada deseada.
Así, por ejemplo, para tener en la salida final la línea de entrada I1, habría
que poner en el último multiplexor de 4 entradas la combinación 00 en sus
señales de control. Otras aplicaciones importantes
 La conversión paralelo - serie. Al conducir la salida en paralelo de un
ordenador hacia un terminal remoto a través de una línea de transmisión
serie.

 La generación de funciones para lógica combinatoria.

Implementación:

 Una de las principales aplicaciones de los multiplexores es que permite


implementar ecuaciones correspondientes al funcionamiento de una función
lógica, reemplazando con un solo chip gran cantidad de cableado y de
circuitos integrados.
 Para lo que se debe seguir el siguiente protocolo:
 Se conectan a las entradas de selección las variables de entrada del
problema.
 Se conectan las entradas de dato a 1 o a 0, según convenga a la
configuración escogida con la entrada de selección.
 Cuando no disponemos de suficientes entradas de selección en un
multiplexor para conectar con las entradas del problema, podemos
continuar empleándolos, estableciendo en las entradas de dato los valores
adecuados correspondientes con ayuda de lógica adicional y en función de
la variable que no conectamos a la entrada de selección.
 Ejemplo: Empleo de multiplexores de igual número de entradas de control
que de variables a implementar.
 Supongamos, por ejemplo, que queremos implementar la siguiente función:
 La función tiene cuatro variables de entradas, A, B, C, D, con lo que existen
combinadas, dan lugar a 16 combinaciones posibles. Empleando un
multiplexor de 4 entradas de control, se dispondrán de 16 canales de datos,
por lo tanto uno por cada posible combinación de las variables de entrada
de la función lógica.
 Cada término que constituye la función corresponde a las de cada
combinación de las variables de entrada que hacen 1 dicha función, por lo
que si aplicamos las variables de la función a las entradas de selección y
conectamos a 1 los canales de entrada que se corresponden con las
combinaciones que intervienen en la función, poniendo a 0 el resto de los
canales, tendremos la función implementada.
 Ejemplo: Empleo de multiplexores en funciones con un número de entradas
de control inferior en una unidad al de variables de la función a
implementar.
 Es posible implementar funciones lógicas de n variables con multiplexores
de n-1 entradas de control, lo que producirá el consiguiente ahorro
económico.
 Con el ejemplo del apartado anterior, confeccionamos la siguiente tabla,
donde se agrupan por columnas todas las posibles combinaciones de tres
de las variables de entrada b, c y d, dejando en las filas las posibilidades de
la variable que resta A.

 Por tanto, la implementación del circuito se consigue aplicando las variables


b, c y d a las tres entradas de selección del multiplexor y conectando las
entradas de los canales de la siguiente forma:
 Canales 0 y 2 conectado a 0.
 Canales 1, 4 y 6 conectado a 1.
 Canales 3, 5 y 7 a través de un inversor a la variable a, ya que su valor
es siempre el contrario del de dicha variable.

 4.-Demultiplexores

Los demultiplexores o demux son circuitos combinacionales que hacen la


función contraria al multiplexor, es decir, pasa una entrada de 8 salidas
dependiendo del selector. Siempre tiene una entrada de datos, unas entradas de
selección E y unas salidas S, donde se cumple que S=2ᴱ.

Hay diferentes tipos de demultiplexores de 2, 4, 8… salidas, hemos de tener en


cuenta que se tratan de salidas, no entradas como en el multiplexor.

Otro tipo de demultiplexores es el convertidor serie-paralelo, que podría ser


cualquier de los dichos anteriormente, ya que su principal función es pasar los
datos que les llegan a través de un solo cable de varias salidas, dependiendo de
los selectores. Un ejemplo sería conectar un codificador donde le llegan 4 datos,
con dos salidas (S0 y S1) que conectadas en serie con un demultiplexor en las
entradas de selección (E0 y E1) y una entrada de datos (una palabra de 4 bits D0,
D1, D2, D3 uno cada vez) saldrían los mismos datos pero en las distintas salidas
del demultiplexores.

Demultiplexores de dos salidas:

La selección de E determina el camino que toma el dato que hay en D.

Podemos observar que en las salidas (S1 y S0), si E toma el valor 0 o 1


independientemente de lo que haya en D, saldrá en la salida seleccionada, es
decir, si tenemos un 0 en D cuando E=O en S0 saldrá un 0, mientras que en la
otra salida no seleccionada se podrá observar un 0. Observando la tabla vemos:

Sǫ=E-D; S ˆE-D.

Demultiplexore de cuatro salidas:


Un demultiplexor de cuatro salidas tiene dos selectores y como todos los
demultiplexores una sola entrada. En la tabla solo tendría valor la salida que
marca el selector en cada momento, mientras que las otras están a cero.

Aplicaciones:

Resulta que algunas veces un circuito diseñado para cierto fin suele ser de gran
utilidad en la resolución de problemas que no fueron exactamente para el que
fueron diseñados. El multiplexor es una de esos ejemplos. Una poderosísima
utilidad de los multiplexores está en la implementación de funciones lógicas.
Vamos a estudiar algunas posibilidades de implementación de funciones lógicas
mediante multiplexores. Veamos el siguiente ejemplo:

F(x2, x1, x0 ) = ∑(2,5,6)

Sabemos que esta función es uno para los términos mínimos 2, 5 y 6. Esta función
tiene 3 variables que pueden formar 8 combinaciones. La forma más sencilla de
implementación, que es la que veremos a continuación, es a través de un
multiplexor de 8 a 1. Dado que se trata de una función de tres variables, el método
acabado de sugerir implica en principio utilizar un multiplexor de 8 canales (es
decir,con 3 entradas de control). Hay que conectar las variables x2, x1 y x0 a las
entradas de selección e introducir en cada uno de los canales el valor ("0" o "1")
que toma la función para cada combinación de dichas variables. De esta forma se
garantiza que para las combinaciones de las variables X (quien se colocó en las
líneas de selección) para los que se requiere que la función sea uno harán f=1.

Veamos otro ejemplo. F(A,B,C)=∑(3,5,6,7). Con un multiplexor de 8 entradas se


vería:

Es fácil de observar el porque de ésto, así que no lo analizaremos más. Llegados


a este punto, una pregunta que podemos hacernos es si es posible implementar
funciones de n variables mediante multiplexores con menos de n entradas de
control. La respuesta es afirmativa, aunque para ello será necesario en general
añadir algún módulo o conjunto de puertas. Siempre podremos implementar
funciones lógicas de 2n combinaciones con multiplexores de 2n-1 entradas. O lo
que es lo mismo, siempre podremos implementar funciones lógicas de n variables
con un multiplexor de n-1 líneas de selección. Veamos el siguiente ejemplo:
F(A,B,C) = ∑(1,3,5,6)

Esta función tiene 8 (23) posibles combinaciones de variables (3 variables) pero


debemos implementarlo con un multiplexor de sólo dos (3-1) entradas de
selección, o sea, un multiplexor de 4 (22) a 1. Llamemos a la entrada del
multiplexor I (I3 I2 I1 I0)

Funcionamiento:
Un demultiplexor realiza la función contraria a la del multiplexor. Toma datos de
una línea y los distribuye a una determinado número de líneas de salida.

Supongamos que disponemos de varias fuentes generadoras de palabras y de


varios posibles receptores, es decir, destinatarios de esas palabras. Supongamos
además que nos proponemos transmitir una palabra de cualquier fuente a
cualquier receptor.

Una forma de realizar la transmisión es suministrar un bus separado de cada


fuente a cada receptor. Una ventaja de esta solución es que se podrían transmitir
muchas palabras simultáneamente. En la práctica no es conveniente utilizar un
bus múltiple. Por consiguiente recurrimos a la multiplexación, que nos permite
utilizar un bus para muchas fuentes diferentes.

La siguiente figura nos muestra un demultiplexor 1 a 4 líneas. Señalamos que la


estructura es un decodificador, con la excepción de que cada compuerta tiene una
entrada adicional, a la que se conecta una línea del bus. Dependiendo de la
dirección que indiquen los bits A1A0. Los datos de entrada se dirigirán a un
destinatario u otro.
El integrado 74HC154 es un decodificador que tiene la aplicación también de un
multiplexor.
5.-CÓDIGO BCD (Binary- Coded Decimal (BCD) o Decimal codificado).

Binario es un estándar para representar números decimales en el sistema


binario, en donde cada dígito decimal es codificado con una secuencia de 4 bits.

Con esta codificación especial de los dígitos decimales en el sistema binario, se


pueden realizar operaciones aritméticas como suma, resta, multiplicación y
división de números en representación decimal, sin perder en los cálculos la
precisión ni tener las inexactitudes en que normalmente se incurre con las
conversiones de decimal a binario puro y de binario puro a decimal.

La conversión de los números decimales a BCD y viceversa es muy sencilla, pero


los cálculos en BCD se llevan más tiempo y son algo más complicados que con
números binarios puros.

El código BCD utiliza 4 dígitos binarios (ver en los dos ejemplos que siguen) para
representar un dígito decimal (0 al 9). Cuando se hace conversión de binario a
decimal típica no hay una directa relación entre el dígito decimal y el dígito binario.

La conversión de los números decimales a BCD y viceversa es muy sencilla, pero


los cálculos en BCD se llevan más tiempo y son algo más complicados que con
números binarios puros.

En BCD cada cifra se representa por un dígito decimal de 0…9, se representa por
un equivalente binario en cuatro bits llamado (nibble o cuarteto)( esto es así
porque es el número de bits necesario para representar el nueve, cabe destacar
que es el número más alto representado en BCD).

BCD tiene algunas características como lo son:

Ponderación:

Cada posición de una secuencia de dígitos tiene asociado un peso, el sistema


binario es un sistema de numeración posicional ponderado, sin embargo, algunos
códigos binarios, como el código Gray, no son ponderados, es decir, no tienen un
peso asociado a cada posición. Otros, como el mismo código binario natural o el
BCD natural sí lo son.

Distancia:

Es solo aplicable a las combinaciones binarias. la distancia entre dos


combinaciones es el número de bits que cambian de una a otra. por ejemplo, si se
tienen las combinaciones de 4 bits 0010 y 0111, correspondientes al 2 y al 7 en
binario natural, se dirá que la distancia entre ellas es igual a dos ya que de una a
otra cambian dos bits.

Continuidad:

Es una característica de los códigos binarios que cumplen que todas las posibles
combinaciones del código son adyacentes, esto quiere decir que cualquier
combinación de código solo cambia un bit, en estos casos se dice que el código es
continuo, cuando la ultima combinación del código es, a su vez, adyacente a la
primera, se trata de un ciclo cíclico.

Auto-complementariedad:
El código binario es auto complementario cuando el complemento a nueve del
equivalente decimal de cualquier combinación del código puede hallarse
invirtiendo los valores de cada uno de los bits (operación lógica unaria de
negación) y el resultado sigue siendo una combinación válida en ese código.

Utilidades:

El BCD es muy común en sistemas electrónicos donde se debe mostrar un valor


numérico, especialmente en los sistemas digitales no programados, esto quiere
decir los que no tienen microprocesador o micro controlador.

Con el código BCD se simplifica la manipulación de los datos numéricos que


deben ser mostrados por ejemplo en un visualizador de siete segmentos. Esto
lleva a su vez una simplificación en el diseño físico del circuito (hardware).

Ejemplo:
La codificación en BCD del número decimal 59237 es:

Decimal 5 9 2 3 7
BCD: 0101 1001 0010 0011 0111
La representación anterior (en BCD) es diferente de la representación del mismo
número decimal en binario puro:
11100111 01100101

6.- CÓDIGO GRAY:

Consiste en una ordenación de <math>2^n</math> números binarios de tal


forma que cada número solo tenga un dígito binario distinto a su predecesor. Esta
técnica de codificación se originó cuando los circuitos lógicos digitales se
realizaban con válvulas de vacío y dispositivos electromecánicos. Los contadores
necesitaban potencias muy elevadas a la entrada y generaban picos de ruido
cuando varios bits cambiaban simultáneamente. El uso de código Gray garantizó
que en cualquier transición variaría tan solo un bit. En la actualidad, el código Gray
se sigue empleando para el diseño de cualquier circuito electrónico combinacional
mediante el uso de un Mapa de Karnaugh, ya que el principio de diseño de buscar
transiciones más simples y rápidas entre estados sigue vigente, a pesar de que los
problemas de ruido y potencia se hayan reducido. Hay varios algoritmos para
generar una secuencia de código Gray (y varios códigos posibles resultantes, en
función del orden que se desee seguir), pero el más usado consiste en cambiar el
bit menos significativo que genera un nuevo código. Este es un código gray de
cuatro bits generado con dicho algoritmo:

Dígito decimal Código Gray Dígito decimal Código Gray

0 0000 8 1100

1 0001 9 1101

2 0011 10 1111

3 0010 11 1110

4 0110 12 1010

5 0111 13 1011

6 0101 14 1001

7 0100 15 1000

El primer uso documentado de un código de estas características fue en una


demostración del telégrafo del ingeniero francés Émile Baudot, en 1878. Pero no
fueron patentados hasta 1953 por Frank Gray (que dio nombre al sistema de
codificación), un investigador de los laboratorios Bell.
Para pasar un número binario al código binario Gray, hay una regla fácil de
implementar en un lenguaje de programación:

Un número en binario siempre empieza en 1 --Los ceros a la izquierda no cuentan-


-; Pues en Gray también. Ej: 1000011110000 en binario se escribe
1xxxxXXXXxxxx.

Ahora nos fijamos en el segundo dígito. Si es igual al dígito anterior se pone un 0


(no cambia); Si es diferente --como es el caso, pues el dígito anterior era un 1 y el
que observamos un 0-- se pondrá un 1 (cambia). Ej: El número del ejemplo
anterior será: 11xxxXXXXxxxx.

En los casos sucesivos se repite el paso anterior, observando en el número binario


'natural' el dígito anterior al que se evalúa. Ej: El número del ejemplo anterior,
pasado a código Gray será: 1100010001000.

Otros ejemplos:

1010 - 1111

111000 - 100100

011001 - 010101

110101010001 - 101111111001

Otra técnica sencilla para pasar de binario a Gray sin usar un lenguaje de
programación es esta:

aplicar un XOR (disyunción exclusiva, también se puede sumar cada bit


individualmente descartando cualquier acarreo) del número a sí mismo pero con
un acarreo a la derecha

Eliminar el bit del extremo derecho

Ej.: Pasar diez (1010) de binario a gray será 1111

1010

1010

-----
1111

7.- CONVERSIÓN DE CÓDIGOS:

Los conversores de códigos son una aplicación de las puertas lógicas en los
sistemas digitales. Los códigos más utilizados son el binario BCD 8421, octal,
hexadecimal y el decimal. Los dispositivos digitales pueden procesar solamente
los bits "1" y "0". Estas largas cadenas de 1 y 0 son difíciles de comprender por las
personas. Por esta razón se necesitan los conversores de códigos para traducir el
lenguaje de la gente al lenguaje de la maquina.

Un ejemplo de conversor de código es una sencilla calculadora manual, la cual


está constituida por un dispositivo de entrada llamado teclado. Entre el teclado y la
unidad central de tratamiento "CPU" hay un codificador, que traduce el numero
decimal pulsado en el teclado a código binario. La "CPU" realiza su operación en
binario y produce un resultado en código binario. El decodificador traduce el
código binario de la CPU a un código especial que hacen que luzcan los
segmentos adecuados en el visualizador de siete segmentos.

Los conversores de códigos se dividen en dos tipos:

Codificador

Decodificador

Vamos a ver un ejemplo de un cambiador de código de BCD (8421) a binario


exceso 3.

La tabla de verdad será:


EJEMPLO:

En resumen se puede decir que un conversor de código es un elemento lógico que


traduce una palabra de “n” bits a otra de “m” bits las cuales se refieren al mismo
valor decimal, pero en distintos códigos
8.- BINARIO A GRAY:

Para pasar un número binario al código binario Gray, hay una regla fácil de
implementar en un lenguaje de programación:

Un número en binario siempre empieza en 1 --Los ceros a la izquierda no cuentan-


-; Pues en Gray también. Ej.: 1000011110000 en binario se escribe
1xxxxXXXXxxxx.

Ahora nos fijamos en el segundo dígito. Si es igual al dígito anterior se pone un 0


(no cambia); Si es diferente --como es el caso, pues el dígito anterior era un 1 y el
que observamos un 0-- se pondrá un 1 (cambia). Ej.: El número del ejemplo
anterior será: 11xxxXXXXxxxx.

En los casos sucesivos se repite el paso anterior, observando en el número binario


'natural' el dígito anterior al que se evalúa. Ej.: El número del ejemplo anterior,
pasado a código Gray será: 1100010001000.

Otros ejemplos:

1010 - 1111

111000 - 100100

011001 - 010101

110101010001 - 101111111001

Otra técnica sencilla para pasar de binario a Gray sin usar un lenguaje de
programación es esta:

Aplicar un XOR (disyunción exclusiva, también se puede sumar cada bit


individualmente descartando cualquier acarreo) del número a sí mismo pero con
un acarreo a la derecha

Eliminar el bit del extremo derecho

Ej: Pasar diez (1010) de binario a gray será 1111

1010

1010

1111
9.- COMPARADORES:
Los circuitos comparadores son sistemas combinacionales que comparan la
magnitud de dos números binarios de n bits e indican cuál de ellos es mayor,
menor o sí existe igualdad entre ellos. Existen varias configuraciones de circuitos
de un nivel sencillo a uno más complejo para determinar relaciones de magnitud.

Comparador de Magnitudes de un Bit:


La comparación de dos bits se puede realizar por medio de una compuerta OR
exclusiva o una NOR exclusiva. La salida del circuito es 1 si sus dos bits de
entrada son diferentes y 0 si son iguales.

Comparador de Magnitudes de Dos Bits:


Los números A y B de dos bits en orden significativo ascendente a descendente
se ordenan de la siguiente forma:

A = A1·A0

B = B1·B0

En un comparador de dos bits se utilizan dos compuertas OR – Exclusiva. El


comparador se muestra en la figura. Los bits más significativos se comparan en la
compuerta 1 y los dos menos significativos en la compuerta 2. En el caso de
números iguales, los bits también son iguales, teniendo como salida en cada XOR
el valor 0. Cada XOR se invierte y la salida de la compuerta AND tendrá un 1. En
números diferentes, los bits serán diferentes y la salida de cada XOR será 1.

Comparador de magnitudes de cuatro bits:


En el diagrama de la figura se muestra un comparador de magnitud de cuatro bits.
Las entradas son A y B y las salidas son las tres variables binarias A>B, A=B y
A<B. Escribiendo los coeficientes de los números A y B en orden significativo de
ascendente a descendente:

A = A3·A2·A1·A0

B = B3·B2·B1·B0

Salida A=B

Los dos números son iguales si todos los números del mismo peso son iguales, es
decir A3=B3, A2=B2, A1=B1 y A0=B0.
Salidas A>B y A<B

La comparación en este caso se comienza desde el bit más significativo. Los


dígitos se comparan uno a uno y si estos son iguales se prueba con el siguiente
par de bits menos significativos. La comparación continua hasta que se encuentra
un par de dígitos desiguales.

10.- SUMADORES:
Son circuitos muy importantes para diferentes tipos de sistemas digitales en los
que se procesan datos numéricos. Para construir un sumador básico es necesario
conocer las reglas de la suma binaria. Simplemente se tienen cuatro posibilidades:

0+0=0

0+1=1

1+0=1

1+1=10

Entonces dada las reglas anteriores se puede construir la siguiente tabla de


verdad.
Entonces con base en las ecuaciones anteriores se hace la siguiente
implementación en la figura

Sumador completo o Full-A A dder (F-A A )

Aritmética en los códigos binarios 5 Un sumador completo es un circuito que suma


dos bits, como el semisumador, pero además tiene en cuenta un posible acarreo
de una suma anterior y lo incorpora a la suma que realiza. Las entradas del
circuito serán tres, una para cada bit a sumar más el acarreo; sean a, b y cj
respectivamente. Las salidas del circuito, funciones de las entradas, serán dos, ya
que la suma puede tener hasta dos bits como resultado; sean s y c0 como en el H-
A. Según las reglas aritméticas, la relación entre los bits de entrada y los de salida
viene dada por la tabla de verdad siguiente:
El esquema del circuito sumador completo será, por lo tanto:

También se puede comprobar (con la ayuda de una tabla de verdad, por ejemplo)
que un sumador completo se puede obtener a partir de dos semisumadores del
modo Siguiente:

Sumador paralelo con acarreo serie:

Un sumador paralelo es un circuito que suma dos números de más de un bit cada
uno, a partir de la disposición simultánea de todos los bits de los operando. Más
adelante se verán los sumadores serie, en los que se va disponiendo de los bits
de los operando de modo secuencial, unos después de otros, desde los de menos
peso hasta los de más peso.

Un sumador paralelo se puede construir a partir de tantos circuitos sumadores


completos como bits tengan los operando. Cada sumador completo hace la suma
parcial de un bit de cada operando (entradas a y b) más el bit de acarreo (entrada
ci ) de la suma parcial anterior, y proporciona un bit de resultado para la suma
(salida so ) más un acarreo de salida para la suma de los bits siguientes (salida
s0). Además, se tendrá en cuenta que:
En el primer par de bits a sumar (los LSB) no existirá acarreo anterior, por lo que
en esa etapa se puede emplear un semisumador o un sumador completo con
entrada ci =0.

En el último par de bits a sumar (los MSB) el acarreo no se suma a ninguna etapa
siguiente, por lo que este acarreo será el último bit de la suma.

SUMADOR BINARIO EN PARALELO

Un sumador en paralelo de 4 bits se implementa mediante 4 sumadores


completos. Los bits menos significativos, se implementan a la derecha. Los bits de
orden más alto, se introducen en el sumador completo que está más a la derecha,
aplicando los bits más significativos de cada número al sumador que está más a la
izquierda (ver figura). La salida de acarreo de cada sumador se conecta a la
entrada de acarreo del siguiente sumador de orden superior. Estos se denominan
acarreos internos.

El circuito integrado 74LS283, contiene un sumador en paralelo de 4 bits. La


siguiente figura 4.4 muestra la distribución de pines de este circuito.
11.- RESTADOR BINARIO:

Las reglas para la resta o sustracción binaria de dos bits son similares a las de la
suma. En un problema de sustracción, recordando a la anotación matemática, el
número de arriba se denomina minuendo y el de abajo sustraendo, el resultado de
la operación será la diferencia.

Reglas de sustracción:

0-0=0

1-0=1

1-1=0

0 - 1 = 0 (y se toma 1; se llama borrow)

El borrow:
Es un concepto análogo al carry de la suma.

Estas reglas de sustracción permiten la resta de dos números cualesquiera,


siempre que el minuendo sea mayor que el sustraendo.

Existe otro método. Se basa en sumar el complemento de un número a otro, en


ved de realizar la resta de los números directamente.

Semirrestador binario

La función lógica de la diferencia corresponde a la función OR-Exclusive; es la


misma que para la salida suma de un semisumador. La función lógica del borrow
se puede representar por la siguiente expresión B = A * B; puede implementarse
mediante un inversor y una puerta AND de 2 entradas.

Restador total binario


El circuito semirrestador no considera el borrow anterior; para ello necesitaremos
realizar un montaje un poco más complejo que denominaremos circuito restador
completo.

DESARROLLO PRÁCTICO:

Montar el circuito semirrestador, comprobar la tabla de la verdad

Minuendo Sustraendo Diferencia Borrow

ABDB

0000

0111

1010

1100

Implementar el circuito semirrestador con puertas NAND, montar el circuito,


comprobar la tabla de la verdad.

Minuendo Sustraendo Diferencia Borrow

ABDB

0000

0111

1010

1100

Montar el circuito restador total, comprobar la tabla de

La verdad

Minuendo Sustraendo Borrow Diferencia Borrow

A B anterior B´ D B

00000

00111
01011

01100

10010

10100

11000

11111

12.- SUMADOR-RESTADOR:

La forma más simple de realizar una operación aritmética electrónicamente, es


usando un circuito llamado semis-sumado (Haft Adder). Este dispositivo permite
que sean aplicados 2 bits de entradas (A, B) para producir dos salidas: uno
correspondiente a resultado de la suma (S) y la otra correspondiente a acarreo (C)

El 74LS283 es un circuito aritmético, en esencia, es un sumador hexadecimal de 4


bits,

Por lo tanto, acepta como entradas dos números de 4 bits de cada uno, A y B, y
un bit de acarreo previo, CO.

Los 4 bits correspondientes al número A se conectan a las entradas Al, A2, A3 y


A4. Las cuatro entradas del dato B se conecta de manera similar.

El sumador genera como resultado un número de 4 bits correspondientes a la


suma de los dos datos, A y B, además de un bit de acarreo, C4.

La operación del circuito integrado puede describirse en forma resumida de la


siguiente manera:

Si la suma de los dos datos de entrada más el acarreo previo arroja un resultado
entre O y 15, la suma aparecerá en las salidas de suma y el bit de acarreo de
salida, C4 se hace igual a cero.

Si el resultado de la suma se sitúa entre 16 y 31, el bit de acarreo C4 se pone en 1


y las salidas correspondientes a los bits de suma se hacen iguales al valor del
resultado menos 16. Observe que en el sumador de 4 bits, el bit de acarreo
resultante posee un peso binario igual a 16.
LA OPERACIÓN DE RESTA

(Circuito Integrado 74LS283)

El mismo circuito integrado descrito anteriormente puede ser utilizado para llevar a
la práctica operaciones de resta.

Más aún, tanto la suma como la resta son, desde el punto de vista digital, muy
similares, por lo cual resulta fácil implementar. En la figura se muestra la forma
como podría alambrarse, con la ayuda de 4 compuertas XOR auxiliares, un
circuito sumador que permita, según la posición de un conmutador de selección,
ejecutar la suma o la resta de dos datos binarios de 4 bits cada uno.

Aplicación:
Existe el problema que al restar números menores de mayores, el resultado sale
incorrecto, pero más que incorrecto, lo que ocurre es que sale el resultado, pero
sin complementar ni sumar el “1” que se llevaba, por ende para obtener el
resultado en ese caso, es necesario realizar una nueva implantación de otros
integrado sumador.

Este tipo de circuito acepta 3 bits de entrada por separado, llamados sumando,
consumando y acarreo de entrada A, B y C “in” respectivamente, mientras que las
salidas son S y C “C out”.

Ya que 1-1 = 0-0 = 0, 1-0=1 y, el más interesante, es 0-1 que debe pedir 1
prestado para formar la resta 10-1 = 1 y por esa razón en este caso la resta es 1 y
el bit de préstame también es 1.

Como se puede notar, la salida “S” es el resultado de una EX-OR entre A y B


como entradas: por otro lado C es el resultado de una AND entre las mismas
entradas.

Para realizar un complemento a 2 de un número en base


binaria, matemáticamente se expresa como:
Donde:
a = Número de bits del valor binario.
n = Base binaria (2).
b = Número binario a complementar

Así por ejemplo para encontrar el complemento a 2 de


1010(2), se tiene lo siguiente:

Luego el complemento a dos será:

Otro método más sencillo para complementar a 2 un número


binario es reemplazar los 1 por los 0 y los 0 por los 1, y por
último sumar un 1, por ejemplo, para el mismo número binario
anterior el complemento a dos será:
A este valor numérico se le suma un 1 quedando el
complemento a 2 como sigue:

Una vez que sabemos qué es un complemento y cómo se


realiza, pasemos a revisar cuál es el algoritmo a seguirse
para una sustracción con complemento a 2 de números
binarios. Dada una resta como la siguiente:

1) Debemos obtener el complemento a 2 del Sustraendo.


2) Hay que sumar el Minuendo con el valor del Sustraendo
complementado a 2.

3) Se debe verificar el resultado del paso (2) y de acuerdo con


el acarreo final tome una de las siguientes decisiones.
3.1 - Si se presenta un acarreo final, descártelo y tome el
valor de la operación como el residuo de la resta.
3.2 - Si no se presenta un acarreo final, tome el complemento
a 2 del valor resultante de la operación como el residuo de la
resta y agrégale un signo negativo.
Por ejemplo, restar los valores:

Para saber cómo se hace, vea la tabla 1: Siguiendo el


algoritmo anterior, se tiene un acarreo al final, por lo que el
resultado de la resta es:

13.- LOGICA DE PARIDAD:


El sistema de chequeo de error por paridad es muy utilizado en las
comunicaciones seriales de datos. El método consiste en establecer un tipo de
paridad (par o impar) en el sistema de comunicación y generar en el transmisor,
un bit adicional de modo que el peso del dato corresponda con la paridad (par o
impar) establecida. Por lo general, este bit se agrega en la posición más
significativa del dato.

Ejemplo: En los datos a, b, y c generar el bit de paridad par e impar en la posición


más significativa (MSB).

a) 1010; b) 1110101; c) 00001

Solución par: El bit, hay que generarlo en el MSB de forma que el peso sea par;

a) 01010; b) 11110101; c) 100001

Solución impar: El bit, hay que generarlo en el MSB de forma que el peso sea
impar;

a) 11010; b) 01110101; c) 000001


Ejemplo: Un sistema de comunicación ha recibido los siguientes caracteres ASCII:
I) 01000001; II) 10111000; III) 11111110; y se desea saber si hay error. El
protocolo de paridad es par. Indicar, en caso de ser correcto, el carácter enviado.

Solución (I): El peso de este dato es par (dos), por lo tanto, es correcto y
corresponde al carácter ASCII 41H = 'A'.

Solución (II): El peso de este dato es par (cuatro), por lo tanto, es correcto y
corresponde al carácter ASCII 38H = '8'.

Solución (III): El peso de este dato es impar (siete), por lo tanto, hay error de
transmisión. En estos casos no es posible reconstruir el dato.

DETECCIÓN Y CORRECCIÓN DE ERRORES MEDIANTE EL CÓDIGO


HAMMING.

El método de paridad con un solo bit es eficiente en la detección de errores


cuando hay confiabilidad en el sistema de comunicación. De hecho, el peso del
dato queda determinado con m=n+1 bits, donde n es el número de bits que
contiene la información. Este método solamente puede detectar errores de dos
datos que difieran en un bit; ósea, tengan distancia uno y que cambie, por error del
sistema, solamente un bit. Sin embargo, no los corrige y a lo sumo, puede
señalizar error y/o solicitar que vuelvan a enviar el byte, dato, palabra, o bloque de
información que presentó el problema de comunicación.

De la misma forma, si hay cambios de distancias pares (2,4, 6,...), el método no


detectará error. Sin embargo, en las distancias impares señaliza los errores.
Ejemplo de esto se puede ver comparando, en el punto anterior, los casos (D1 -
D'1) y (A - A').

En 1950 R.W. Hamming introdujo un método para detectar y corregir errores de


datos en los sistemas de comunicación donde las distancias pueden ser mayores
a la unidad. Este código trabaja con una distancia mínima de tres y puede detectar
errores con cambios de 1 o 2 bits y corregir, cambios de un solo bit.

Los bits necesarios para el código Hamming se dividen en dos grupos; m bits
de información y k bits de chequeo o paridad, por lo que, el tamaño del dato a
transmitir debe ser n=m+k bits. Éste debe cumplir con la siguiente ecuación:

La paridad del código puede ser par o impar, sin embargo, toda la información
relacionada está dada en paridad par. Por lo tanto, los ejemplos se realizaran
tomando como referencia codificación Hamming de paridad par con el número de
bits n igual a siete. En la figura 1.7 se observa la distribución de paridades para los
bits de chequeo con formato de siete bits de dato. De esta forma, al aplicar la
Ec.1.9 se determina que m=4 y k=3, por lo tanto la información que se puede
transmitir va desde 00002 hasta 11112; éstos están distribuidos, en la figura 1.7
como I7, I6, I5, I3 y deben mezclarse con los de chequeo C4, C2, C1. Estos
últimos ocupan las posiciones de la potencia en base 2 indicada por los subíndices
dos, uno y cero respectivamente.

14.- SIMBOLOGÍA:
La simbología es el estudio de los símbolos o el conjunto de éstos. Un símbolo,
por otra parte, es la representación sensorial de una idea que guarda un vínculo
convencional y arbitrario con su objeto.

La noción de simbología se utiliza para nombrar al sistema de los símbolos que


identifican a los diferentes elementos de algún ámbito. En este sentido puede
hablarse, por ejemplo, de la simbología electrónica (con los iconos o
representaciones gráficas que permiten reconocer cada elemento interviniente).

La electricidad, la química y la mecánica, entre otros ámbitos del conocimiento,


tienen su propia simbología. Quien conoce la simbología de una especialidad,
puede expresarse mediante los símbolos e interpretar diagramas o esquemas que
apelen a los símbolos en lugar de las palabras.
CONCLUSIÓN

Al finalizar el trabajo de investigación, concluimos que los sistemas digitales


son una combinación de dispositivos diseñados para manipular cantidades físicas
representadas en forma digital, es decir que solo pueden tomar valores discretos.

Dichos sistemas son los destinados a la generación, transmisión,


procesamiento o almacenamiento de señales digitales, los cuales están diseñados
para manipular cantidades físicas o información que esté representada en forma
digital; que los decodificadores tienen como función detectar la presencia de una
determinada combinación de bits en sus entradas y señalar la presencia de este
código mediante un cierto nivel de salida; Un codificador es un circuito
combinacional con un conjunto de entradas (2N) y un número de salidas N cuyo
propósito es mostrar en la salida el código binario correspondiente a la entrada
activada.

Un multiplexor es un circuito combinacional que permite que, teniendo varias


entradas, podamos a través de un selector transmitir en la salida el dato que
queramos. Los demultiplexores son circuitos combinacionales que hacen la
función contraria al multiplexor, es decir, pasa una entrada de 8 salidas
dependiendo del selector.

El código BCD utiliza 4 dígitos binarios. Código gray Consiste en una


ordenación de números binarios de tal forma que cada número solo tenga un
dígito binario distinto a su predecesor.

Los conversores de códigos son una aplicación de las puertas lógicas en los
sistemas digitales. Para pasar un número binario al código binario Gray, hay una
regla fácil de implementar en un lenguaje de programación. Sumadores son
circuitos muy importantes para diferentes tipos de sistemas digitales en los que se
procesan datos numéricos. El sistema de chequeo de error por paridad es muy
utilizado en las comunicaciones seriales de datos. Esta investigación es muy
importante porque es parte de nuestra preparación personal.
BIBLIOGRAFÍA

Mandado, Enrique (1998) Sistema Electrónicos Digitales 8va edición – Barcelona,


España.

https://tuslibrosdigital.blogspot.com/2017/03/sistemas-electronicos-digitales-
8va.html

Mandado, enrique (2016) Sistemas electrónicos Digitales (tomo 1 Circuitos


Combinacionales y Secuenciales) - Barcelona

https://librosysolucionarios.net ›...

Ronald J. Tocci (2014) Sistemas digitales y aplicaciones Editorial


Hispanoamericana

https://www.casadellibro.com/libro-sistemas-digitales-principios-y-
aplicaciones/.../557...

S-ar putea să vă placă și