Sunteți pe pagina 1din 15

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 52, NO.

5, MAY 2017 1245

A 94-GHz 4TX–4RX Phased-Array FMCW Radar


Transceiver With Antenna-in-Package
Andrew Townley, Student Member, IEEE, Paul Swirhun, Diane Titz, Member, IEEE,
Aimeric Bisognin, Member, IEEE, Frédéric Gianesello, Romain Pilard,
Cyril Luxey, Fellow, IEEE, and Ali M. Niknejad, Fellow, IEEE
Abstract— A 94-GHz phased-array transceiver IC for The development of mature low-cost SiGe and CMOS
frequency modulated continuous wave (FMCW) radar with technologies with f t and f max of 150 GHz and beyond has
four transmitters, four receivers, and integrated LO generation brought down the cost of such driver-assist technology and
has been designed and fabricated in a 130-nm SiGe BiCMOS
technology, and integrated into an antenna-in-package module. with it, widespread adoption.
The transceiver, targeting gesture recognition applications for More recently, mm-wave radar has also received increasing
mobile devices, has been designed using phased-array techniques attention for short-range applications such as gesture
to reduce the total DC power while still maintaining the required recognition, occupancy detection, and remote heart-rate
link budget for FMCW operation. The complete array achieves monitoring [2]–[5]. However, existing mm-wave radar
state-of-the-art for W-band per-element power consumption of
106 mW per TX element and 91 mW per RX element, and solutions intended for automotive use are power hungry and
measurements indicate a per-element output power of 6.4 dBm often bulky. These drawbacks pose a problem for mobile
and single-sideband noise figure of 12.5 dB at 94 GHz. The power-constrained applications. Toward this goal, in this
array is able to achieve a beam steering range of ±20° while paper, a compact antenna-in-package frequency modulated
maintaining at least 3 dB main lobe to side lobe levels. The continuous wave (FMCW) radar phased-array solution
complete chip-antenna module has been tested to characterize
basic FMCW radar functionality. Initial radar experiments at 94 GHz with record-low per-element power consumption
suggest a sub-5-cm range resolution is possible with 3.68 GHz RF is proposed and demonstrated.
sweep bandwidth, which is in line with theoretical predictions. Although some promising progress has been made on
Index Terms— 94 GHz, antenna-in-package, millimeter-wave gesture recognition radar at 60 GHz [5], the higher frequency
radar, phased arrays, SiGe BiCMOS. at 94 GHz allows the possibility for larger sweep bandwidths
(which improves depth resolution of the radar) and smaller
I. I NTRODUCTION antenna sizes. However, the higher frequency also presents a
challenge from the circuit design point of view, which has a

H IGHLY integrated millimeter-wave (mm-wave)


transceivers, enabled by advances in CMOS and
SiGe BiCMOS process technology over the last decade,
negative impact on efficiency and achievable SNR.

A. Phased-Array Techniques
have found what is seemingly a perfect niche in automotive
A key means to improve energy efficiency is to leverage
radar. With many gigahertz of absolute bandwidth available,
phased-array techniques to reduce the total transceiver DC
and a compact antenna size due to the small wavelength at
power [6]. For an N-element phased array, transmitter EIRP is
mm-wave, the W-band matches up well with the requirements
increased by a factor of N 2 , since electric and magnetic fields,
for adaptive cruise control and similar technologies [1].
not power, are summed, and power density is proportional
Manuscript received August 18, 2016; revised January 9, 2017; accepted
to E × H . Due to reciprocity, for the receiver array, there
February 13, 2017. Date of publication March 30, 2017; date of cur- will also be a benefit of N 2 in conversion gain.1 Receiver
rent version April 20, 2017. This paper was approved by Guest Editor SNR will increase as well, but only proportional to N: since
Danilo Manstretta. This work was supported by the NSF-EARS program.
(Corresponding Author: Andrew Townley.)
the noise in each receiver element is uncorrelated,2 the total
A. Townley is with the Berkeley Wireless Research Center, University noise at the output will increase proportional to N, resulting
of California, Berkeley, CA 94720 USA, and also with Nokia Labs, San in an SNR increase of N 2 /N = N. Because these system-
Francisco, CA 94105 USA.
P. Swirhun was with the Berkeley Wireless Research Center, University
level performance metrics are improved in a phased array
of California, Berkeley, CA 94720 USA. He is now with Google, Mountain compared with the single-element case, it is possible to reduce
View, CA 94043 USA. performance (and correspondingly, DC power) while still
D. Titz and C. Luxey are with the EpOC Lab, University of Nice-Sophia
Antipolis, 06000 Nice, France.
meeting system requirements derived from the link budget.
A. Bisognin is with STMicroelectronics, 38920 Crolles, France, and also
1 This assumes that the signals can be summed in voltage or current via an
with the EpOC Lab, University of Nice-Sophia Antipolis, 06000 Nice, France.
F. Gianesello is with STMicroelectronics, 38920 Crolles, France. active combining network. If signals are combined using a passive network
R. Pilard was with STMicroelectronics, 38920 Crolles, France. He is now such as a Wilkinson combiner, the increase in conversion gain will only be
with e2v Semiconductors, Grenoble, France. proportional to N .
A. M. Niknejad is with the Berkeley Wireless Research Center, University 2 In general, correlated noise across receiver elements will mitigate some of
of California, Berkeley, CA 94720 USA. this SNR improvement (refer to [8] for a more detailed discussion). However,
Color versions of one or more of the figures in this paper are available if the receiver elements are well isolated, and the noise of the combiner is
online at http://ieeexplore.ieee.org. small relative to the noise from the individual receivers, the factor of N scaling
Digital Object Identifier 10.1109/JSSC.2017.2675907 will hold.
0018-9200 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
1246 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 52, NO. 5, MAY 2017

Fig. 1. Complete phased-array transceiver block diagram. mm-wave IOs use a single-ended GSG pad configuration. Ground pads are shared between adjacent
phased-array elements to reduce die area.

Consider an RF power amplifier output stage, designed to amplifiers close to saturation, or even nonlinear switching
operate close to saturation, and optimized to drive a load power amplifiers, either of which will improve the overall
impedance of Z 0 . If the device sizes in the power amplifier transmitter efficiency. Also, because the modulated LO signal
are reduced by half, the power amplifier should be able to is constant envelope, it can be generated at a low frequency and
achieve the same efficiency at saturation while driving a load scaled up to a higher frequency using a nonlinear frequency
impedance of 2Z 0 , and delivering half of the power to that multiplier, without negative impacts from the nonlinearity of
load. This scaled-down power amplifier can be used along with the multiplier. So, in a phased-array system, the modulated
a matching network with an impedance transformation ratio LO signal can be generated centrally, scaled in frequency, and
of 2 (or if a matching network is already present, modifying routed out to all elements.
its impedance transformation ratio) to drive the original load 2) Simple Modulation: Second, because the modulation is
impedance of Z 0 , while delivering half of the power at the simple and shared across all elements, the frequency modu-
same efficiency. With a real matching network, there will be lation can be incorporated into the phase-locked loop (PLL)
some additional losses, so the efficiency and output power will that is likely present in the system anyway. In most types of
in practice be degraded somewhat. In a phased-array system, radar systems, the distance resolution is inversely proportional
this strategy can be used to reduce DC power and per-element to the bandwidth of the radar signal: a signal with larger
performance without sacrificing efficiency. bandwidth can better resolve two close-together targets [9].
A similar scaling approach can be used on the receiver side. For a pulsed radar system, a high-bandwidth modulation
Consider an LNA designed for power and noise matching to requires fast ON / OFF times to achieve a short pulsewidth [10],
an impedance Z 0 . Because the current density for minimum which means the circuit creating the modulation needs to be
noise figure is largely invariant of emitter length [7] (or carefully designed to support that bandwidth. In an FMCW
similarly, transistor width in CMOS technologies), the LNA radar system, although large overall bandwidth is needed in the
device sizes can be reduced by half, resulting in an LNA RF transmit and receive chains (as in the pulsed radar case), a
with the same N Fmin matched to an impedance of 2Z 0 . As large instantaneous bandwidth is not necessarily needed, since
in the transmitter case, a matching network can be used to it is the overall bandwidth of the sweep itself that determines
match the LNA back to the original Z 0 input impedance. the resolution. So, a slowly modulated signal can be used,
The new LNA has half of the DC power consumption, and as long as the frequency of the signal varies across the full
slightly higher noise figure due to the added matching network bandwidth over time.
losses. Of course, due to matching network complexity, added Several works have demonstrated state-of-the-art synthe-
losses, and the bandwidth narrowing effect of high-Q matching sizers with integrated frequency modulation using a digital-
networks, it is not possible to continue this scaling arbitrarily: PLL-based architecture [11]–[14]. The focus of this paper is
architecture or circuit topology changes must then be used to on energy-efficient array implementation and FMCW radar
reduce power consumption further. demonstration, so an external synthesizer is used to generate
the frequency-modulated LO waveform. The chip includes
a 47-GHz VCO and 32× frequency divider, and the PLL
B. FMCW and Millimeter Wave
feedback is completed externally using a discrete off-the-
Linear FMCW radar is an attractive radar modulation shelf IC with a phase-frequency detector and charge pump,
scheme for energy-efficient mm-wave applications for a few along with an on-board active loop filter. Most of the power
main reasons. consumption of the PLL is likely to come from the high-speed
1) Constant Envelope Modulation: First, because FMCW dividers, so if the PLL were fully integrated, the added power
is a constant-envelope modulation scheme, transmitter linear- consumption would be fairly small and have little impact on
ity is not a concern. This allows for use of linear power the per-element power.
TOWNLEY et al.: 94 GHz 4TX–4RX PHASED-ARRAY FMCW RADAR TRANSCEIVER WITH ANTENNA-IN-PACKAGE 1247

Fig. 2. Three-stage power amplifier schematic. R5 is chosen to result in an emitter voltage of about 100 mV under small-signal bias conditions. The annotated
DC currents correspond to the operating points in small-signal (left of arrow) and saturated large-signal (right of arrow) conditions.

C. Proposed System Architecture


As it is critical to minimize TX–RX leakage for an FMCW
radar, an architecture with separate TX and RX antennas
was selected. Although it is possible to use an integrated
isolating coupler to achieve some degree of isolation [15], [16],
even an ideal coupler will have 3 dB insertion loss due to the
power-splitting nature of the coupler. The block diagram of the
full 4TX–4RX phased-array transceiver is shown in [Fig. 1.]
To simplify routing in the antenna-in-package module, a small
four-element array size was selected, for both the transmit
and receive arrays. LO generation circuitry is shared between
the transmit and receive elements, and consists of a VCO,
frequency multiplier, and integrated frequency dividers. A PLL Fig. 3. Full 3-D EM model of PA interstage and output transformers.
was implemented off-chip for LO tuning and to enable FMCW
ramp generation. A single combined differential receiver out- driven close to saturation. The amplifier core uses a differential
put is fed off-chip. topology to reduce sensitivity to modeling errors associated
For phase shifting, LO path phase shifters are used [17]. with the impedance seen at the cascode node. The bases
LO path phase shifting is attractive here because it removes of the cascode devices in a differential pair can be shorted
phase shifter degradations such as nonlinearity and noise from directly together using local routing only, and therefore present
the signal path. This increases efficiency because amplifiers on a virtual short circuit in differential mode. In common mode,
the LO path can be designed to operate close to compression, gain is not a concern, so low-Q bypass capacitors are used to
as the LO signal is constant envelope. Baseband phase shifting prevent any common-mode stability problems associated with
is also attractive from a power consumption perspective, but the impedance at the base of the cascode device.
requires two mixers for complex downconversion. This is To get both high gain and moderate efficiency, two cascode
not necessary for a linear FMCW system; since the TX and driver stages are used to drive a common-source output stage
RX frequencies are always slightly offset, the mixer strictly (see Fig. 2). A minimum supply voltage of 1.8 V is needed
speaking is not truly operating as a direct conversion mixer, to get good cacsode performance, but is slightly above the
and therefore power can be saved by only using a single mixer. open-base VC E breakdown voltage of a single device. For the
noncascoded output stage, a moderate impedance is provided
II. B LOCK -L EVEL D ESIGNS to the base via the bias network to extend the VC E break-
down range beyond the open-base limit of BVC E O and allow
A. Power Amplifier operation from a single 1.8-V PA supply [18]. For additional
To meet link budget requirements, a power amplifier was robustness to VC E breakdown with the 1.8-V supply voltage,
designed to provide approximately +9 dBm of output power a small series emitter degeneration resistor is added at the tail.
to a single-ended 50- antenna port. A single-ended antenna This helps improve reliability issues and has no impact on gain
interface was selected to minimize mm-wave IO count, since it appears only in common mode.
which keeps the die area small and relaxes routing constraints It is difficult to power match at the output of the cascode
within the antenna module. due to the high real part of the output impedance, on the order
The main PA gain stage is based on a cascode amplifier. of 1 k. Additionally, the real part of the input impedance of
Because of the high output impedance of the cascode, it is the cascode amplifiers is fairly small (tens of ohms), leading
hard to achieve a good power-added efficiency (PAE) using a to a large required transformation ratio. The available area
cascode output stage and the load-line impedance is signifi- for matching networks is constrained due to the phased-array
cantly different from the small-signal impedance. However, the element pitch and the internal power-supply flip-chip bumps
per-stage gain is still quite high relative to a simple common in between the phased-array lanes, making it impossible to
emitter amplifier, which has high PAE, but low gain when fit a transmission-line based matching network into the small
1248 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 52, NO. 5, MAY 2017

Fig. 5. Simulated PA saturated output power, power gain, and peak PAE
versus frequency.
Fig. 4. Simulated PA power gain and PAE at 94 GHz, plotted versus output
power. B. Receiver
The number of LNA stages in the receiver was limited
area available for the PA. So, for a moderate impedance trans- to keep DC power consumption low. Because of this, no
formation ratio given the area constraints, coupling between inductive degeneration was used in the receiver input device
PA gain stages is best achieved using 2:1 transformers with (Q1 in Fig. 6), as this would reduce the gain of the LNA
moderate to low coupling factors. and increase the noise contribution of the mixer to the overall
Because of the low coupling factor, the effective turns ratio receiver noise figure. Effectively, this means the input of the
is slightly less than 2:1 in practice, reducing the impedance LNA is designed for a power match, rather than a noise match.
transformation ratio. However, the leakage inductance of the This adds a small amount (0.3 dB) to the overall noise figure
transformer primary can be used to increase the impedance but limits the mixer noise figure contribution [see Fig. 10(b)].
transformation ratio of the transformer, by treating it as an Since the current density for minimum noise figure is
additional inductance in series with the transformer, which acts typically six to ten times smaller than the current density for
to increase the impedance seen at the ports of the primary peak f T [7], biasing for N Fmin does have a gain penalty.
transformer. The output stage does not use neutralization As a compromise between gain and noise figure, the LNA
because of the extra capacitive load it would present to the input stage is biased at about half of the current density
output balun, which is also a 2:1 transformer. The output for peak f T . This leads to an increase of 0.5 dB above the
balun also provides ESD protection to the signal pad, as at estimated minimum noise figure of 3.2 dB, but also allows the
low frequencies, it provides a low impedance path to ground device to operate at nearly peak f T .
for the signal pads through the center tap of the secondary. The input matching network uses a series inductor, a DC
A 3-D HFSS model of the full PA, including the output blocking capacitor, and a quarter-wavelength transmission line
ground–signal–ground (GSG) pads and the three stages of shunted to ground. Nearly all of the impedance matching
transformers, is shown in Fig. 3. The individual transformers is provided via the series base inductor. Because of the
were first designed separately using HFSS. As a final verifi- series inductor’s capacitance to ground, it acts more like a
cation, all three transformers were simulated together along transmission line than a simple series inductor. So, on a Smith
with the output pads. When incorporated back into circuit- chart, this looks like a rotation, rather than moving on a line
level simulations, this PA-scale EM model predicted nearly of constant resistance [Fig. 7(a)]. The DC-block capacitor is a
identical performance when compared with the simulations small series impedance at RF and is only needed to separate
using separately modeled transformers. the bias points at the signal pad and LNA input [Fig. 7(b)].
At the intended carrier frequency of 94 GHz, simulations The shunt transmission line provides a low-impedance path
show a small-signal power gain of 31 dB and a peak PAE of from the pad to ground at low frequencies for ESD robust-
15% at an output power of 9.6 dBm (Fig. 4). If the DC power ness, and contributes a small amount of shunt inductance at
of the phase shifter driving the PA is included in the efficiency RF [Fig. 7(c)c), Fig 8].
calculation, the PAE of the full chain drops to 12%. Because The first LNA stage has an inductor load designed to
of the high small-signal gain of the cascode amplifiers, the resonate with its output capacitance, and is AC-coupled to the
gain starts to compress well before the output stage is fully input of the second stage (Q2). The AC coupling capacitor
saturated. As a result, the peak PAE is reached well beyond between the first and second stages is implemented using
the P−1 dB of the amplifier. the standard MIM capacitor offered in the process. Its value
Large-signal simulations show that the saturated output is large so that it contributes a negligible series reactance,
power and efficiency are relatively flat across frequency reducing design sensitivity to the modeling accuracy of the
(Fig. 5) with Psat above 10 dBm from 85 to 98 GHz, and capacitor. The second LNA stage connects to the differential
the peak PAE of the PA is nearly a constant 15% from mixer input using a transformer, which provides single-ended
85 to 95 GHz. The peak small-signal gain is 30.8 dB at to differential conversion.
93 GHz, and the small-signal 3 dB bandwidth is 12 GHz (from The mixer itself is a double-balanced switching
86 to 98 GHz). core (Q3–Q6), with RF signals coupled in at the emitters,
TOWNLEY et al.: 94 GHz 4TX–4RX PHASED-ARRAY FMCW RADAR TRANSCEIVER WITH ANTENNA-IN-PACKAGE 1249

Fig. 6. Receiver schematic.

Fig. 7. Input impedance locus of the LNA, at various stages of the matching network. (a) Adding series base inductor. (b) Adding series DC-blocking
capacitor. (c) Adding shunt transmission line.

Fig. 8. Receiver HFSS model.


Fig. 9. Simulated receiver conversion gain and noise figure versus mixer
LO frequency, for all five gain control settings.
and LO signals at the bases. Because headroom is limited,
the mixer is implemented as a pseudodifferential rather than Simulations show a receiver conversion gain of 25–38 dB
differential pair, and there is no RF transconductor device in and a noise figure ranging from 11.1 to 11.3 dB (single side-
the mixer stack. The limited headroom also makes it difficult band) at 94 GHz, depending on gain control settings (Fig. 9).
to use a high-impedance active load that is sufficiently Since the gain control is implemented at the IF amplification
saturated. A resistive load is used instead, largely to set the stage, it has little impact on noise figure because of the front-
bias point, and a transimpedance amplifier (TIA) is used to end gain in the preceding stages. As can be seen in Fig. 10(a),
provide a low impedance at the mixer baseband output. Using approximately 54% of the total noise at the receiver output is
this topology, we are able to improve the voltage gain of the due to the LNA, 30% from the mixer, 6% from the baseband
mixer, while the headroom is constrained. Additionally, the amplification, and 12% from the reference noise of the input
resistive load has improved noise performance over the active port.
load, and suffers from less capacitive parasitics: the PMOS f t
is low relative to that of the NPN devices, and no high-speed
PNP is available in this technology. Gain control is achieved C. LO Generation and Distribution
by varying the feedback resistance in the baseband TIA The LO generation circuitry includes an integrated VCO,
via switched resistor segments. The TIA itself consists of a frequency multiplier, frequency dividers, and LO buffers.
high-speed op-amp using SiGe NPN devices. The integrated VCO is designed to operate at half of the
1250 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 52, NO. 5, MAY 2017

Fig. 10. (a) Percentage contributions of different blocks to total output noise. The contributions are separated by sideband. (b) Noise circles

Fig. 11. Schematic of LO generation and distribution circuitry.

RF frequency, and is buffered and sent to a frequency doubler


to generate the RF carrier waveform. The frequency-doubled
LO waveform is buffered and distributed to the phased-array
elements.
There is a routing penalty in distributing the LO signal
after the frequency multiplier, rather than before, since the
absolute losses per millimeter are worse at higher frequency.
However, it can be more efficient overall to accept those losses,
since the alternative is to have equally many power-hungry
frequency multipliers as there are phased-array elements. Since
frequency multipliers typically have poor (if any) conversion
gain, low output power, and low efficiency, it makes sense to
have as few as possible, as long as a moderately efficient LO Fig. 12. LO distribution network and power divider, based on lumped-element
buffer can be placed afterward to overcome the LO routing artificial transmission line. Each artificial quarter-wavelength line consists of
a 1.5 turn inductor in series with a 1.25 turn inductor, which interfaces with
and distribution losses. This approach does not work as a 50  transmission line.
the multiplier output frequency approaches the fmax of the
technology, since it becomes impossible to get any more power
gain, but this is not the case at 94 GHz. 1) 47 GHz VCO: The VCO core is a capacitively cross-
The integrated frequency divider chain has five cascaded coupled NPN pair. Rather than using a tail current source to
divide-by-two stages for a 32× total division, resulting a bias the VCO, a series tail resistor is used instead. This greatly
nominal output frequency of 1.46875 GHz if the VCO is improves the simulated 1/ f 3 phase noise corner.
operated at 47 GHz. A discrete fractional-N PLL chip is used 2) Frequency Dividers: The first few stages are bipolar-
along with an active loop filter on the test PCB to complete based static CML dividers for robust high-speed performance.
the LO chain externally. No inductive peaking was used for the high-speed bipolar
TOWNLEY et al.: 94 GHz 4TX–4RX PHASED-ARRAY FMCW RADAR TRANSCEIVER WITH ANTENNA-IN-PACKAGE 1251

Fig. 13. Power splitter topologies considered for LO distribution. (a) Isolating 2:1 splitter (Wilkinson). (b) Nonisolating 2:1 splitter. (c) Lumped-element-based
nonisolating 2:1 splitter.

dividers. The last two stages are CML dividers that use 130 nm termination resistor is needed to provide this isolation, but
CMOS devices and consume much less power. A final NPN requires that the outputs of the Wilkinson are physically
buffer amplifier is used to drive the LO signal off chip. close. Because the inputs of the phased-array channels are
3) Frequency Doubler: The frequency doubler uses a push– spaced apart by the array element pitch (300 μm), additional
push topology with an inductive load [19]. A common-mode routing is required to distribute the Wilkinson outputs to the
tail resistor is used instead of a current source, as simula- phased-array elements [Fig. 13(a)], which requires additional
tions showed it provided slight enhancement of the second area and increases losses.
harmonic output current. Simulations also indicated common- If a nonisolating network is used, it can also provide the
mode stability problems when using a tail current source in required routing to the input of each array element [Fig. 13(b)].
the frequency doubler, related to the high-Q capacitance that Since the LO distribution network is terminated in the passive
it presents at the tail node, which resonates with the common- quadrature hybrid load whose input impedance is constant ver-
mode inductance of L 2 . The common-mode stability issues sus phase code, there is no opportunity for crosstalk between
are ameliorated by using the tail resistor (R2 in Fig. 11) elements even though a nonisolating splitter is used.
since it has significantly less capacitance. At typical operat- However, the splitter must fit within the array element pitch
ing conditions, simulations show a conversion loss of 6 dB of 300 μm, but the length of a single quarter-wavelength
with an input power of −9.5 dBm at 47 GHz and DC line on-chip is approximately 400 μm. Clearly, a straight
power consumption of 7.7 mW, resulting in a drain efficiency transmission line will not be able to fit at this array element
of 0.36%. pitch—the transmission line would need to be meandered to
4) LO Distribution Amplifiers: After the frequency fit. Instead of using a meandered transmission line, the splitter
doubler, the 94 GHz LO waveform must be distributed to instead uses a lumped-element artificial transmission line to
the TX and RX phased-array elements. Separate distribution reduce the area of the network [Fig. 13(c)].
networks are used for the TX and RX elements, so that the The insertion loss for an ideal 1–4 splitter would be
power levels can be separately controlled. Even a lossless LO 6 dB—for this design, EM simulations show excess insertion
power-splitting network will inherently represent a reduction losses of 7.1–7.4 dB (1.1–1.4 dB higher than an ideal power
in power level, since the input power is divided equally to splitter) from 80 to 100 GHz. The simulated amplitude mis-
all output paths. If LO buffers are used after the LO splitting match is less than 0.04 dB, with the outer ports receiving
network, the efficiency of any LO buffers will be quite poor, slightly more power than the inner ports, and less than
since the signal level will be very small due to the splitting 0.4° phase mismatch up to 100 GHz.
loss. To avoid suffering that efficiency penalty, moderate-
power LO buffers are used drive the input of the power
splitting network. This results in the same power levels at the D. Phase Shifter
output, but a higher efficiency and reduced overall DC power. Passive reflection type phase shifters are an attractive option
The LO distribution amplifiers were designed by reusing the for low-power design, as they do not consume any DC power
first PA gain stage (for both amplifier stages of the LO buffers) and multiple stages can be cascaded to achieve the desired
and redesigning the interstage matching networks. The output phase-steering range [21], [22]. However, this also implies the
matching network is a transformer balun, to drive the single- insertion loss trades off with phase shift range. To overcome
ended LO distribution network. this loss, an additional amplifier stage is needed, which con-
5) Lumped-Element Power Divider Network: To simplify sumes DC power.
the design of the divider network, two nested 1:2 power split- Instead, in this paper, a Cartesian architecture is used to
ters are used [Fig. 12]. The 1:2 splitter uses quarter-wavelength ensure a full 360◦ of phase shift. Weighted combinations of
Z 0 = 70.7  lines to enable use in a cascade; when terminated the I and Q LO waveforms are current-combined at the phase
with 50  loads, the input impedance of the splitter is also shifter output, meaning that the phase should be the same
50 . Typically, a Wilkinson power splitter is used as a regardless of process variations (provided the input quadrature
1:2 power splitter at millimeter wave [20]. The Wilkinson matching is sufficiently accurate). The VGA functionality
splitter is an isolating power divider, which will prevent is achieved by current steering using the cascode devices
potential crosstalk between elements. A differential-mode (Q5–Q8) rather than the gm devices of the Gilbert cell. This
1252 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 52, NO. 5, MAY 2017

Fig. 14. Phase shifter constellation points, showing combinations of phase and amplitude settings (a) and the phase, (b) and amplitude. (c) Error for a desired
phase angle.

Fig. 17. Quadrature hybrid IQ mismatch.

Fig. 15. Phase shifter schematic.

Fig. 18. Levels of integration of radar IC. (a) Bare die is flip-chip chip
Fig. 16. Quadrature hybrid HFSS model. The dimensions of the simulated packaged onto (b) BGA antenna module, which is integrated onto a (c) test
region are 197 μm × 124 μm. PCB. The test PCB is 10.2 cm×10.2 cm, sized to accommodate connectors to
a separate FPGA board. If a microcontroller were used instead of an FPGA,
the board area could be reduced to a much smaller size.
ensures a more constant input impedance versus code. As the
hybrid is single ended, not differential, a balun stage is 2) Phase Interpolator Simulated Results: The phase shifter
needed to drive the phase shifter LO inputs. A single-ended and transmitter power amplifier were simulated together to
cascode amplifier with transformer load provides single ended characterize the effects of gain compression on the phase
to differential conversion, and further isolates the hybrid from shifter resolution. The phase shifter control voltages are driven
any variations in phase shifter input impedance. by differential voltages on the I and Q input terminals.
1) Quadrature Coupler: The quadrature coupler is designed Each I and Q voltage is controlled by a 4-b DAC, so there
based on the approach in [23] to provide good phase accuracy are 256 possible codes that can be used in the phase shifter.
over a broad bandwidth. It consists of three high-impedance In practice, only the largest amplitude codes will be used.
transmission lines connected in parallel at each end with The constellation of available gain and phase combinations
MIM capacitors. The complete structure, including MIM at the PA output is shown in Fig. 14(a). Gain and phase
capacitors, was EM simulated to verify the final design [Fig. errors are then computed for each possible desired phase angle.
16]. Simulations show a quadrature phase accuracy within The worst case phase error is about 4.5°, and the worst-case
5◦ of 90◦ from 87 to 105 GHz [Fig. 17]. The amplitude amplitude error is 0.55 dB, which both occur when both the
imbalance is within ±1 dB from 85 to 102 GHz. differential I and Q voltages are at their largest (45° phase
TOWNLEY et al.: 94 GHz 4TX–4RX PHASED-ARRAY FMCW RADAR TRANSCEIVER WITH ANTENNA-IN-PACKAGE 1253

Fig. 19. HFSS simulations of antenna module. (a) Radiation pattern at various beam steering angles. (b) Main and grating lobe levels versus desired beam
angle. (c) Simulated TX–RX coupling.

of the package show a TX–RX isolation of 60 dB from 90


to 98 GHz [Fig. 19(c)], which is sufficient given the input
linearity simulations of the receiver.

IV. M EASURED R ESULTS


The 3.7 × 2.2 mm chip was fabricated in a 130 nm SiGe
BiCMOS process (Fig. 22). The TX and RX arrays are on
Fig. 20. Photograph of 1.2 cm × 1.2 cm BGA antenna module with
opposite sides of the chip. At the TX and RX arrays, the GSG
die-attached chip (left) and antenna (right) sides. mm-wave IO pads are placed vertically running up the sides of
the chip. Adjacent ground pads are shared between elements
shift). This is because at the highest/lowest DAC codes, the to reduce die size. Shared LO generation circuitry is at the
differential pairs (Q5–Q8 in Fig. 15) are no longer in the linear center of the chip and feeds in to the power divider networks,
range. which in turn feed directly in to the phase shifters for the
transmitters and receivers. The chip was tested both using mm-
III. A NTENNA M ODULE wave probes in a chip-on-board configuration, and using the
packaged antenna module for wireless measurements.
The die was flip-chip packaged using stud bumps onto
an antenna module fabricated using an organic HDI sub-
strate [24]. The antenna module is based on a standard BGA A. Probe Station Measurements
footprint and low-frequency connections fan out to BGA balls 1) LO: The measured VCO tuning range is 11%, from
for integration onto a larger test PCB (Fig. 18). The substrate, 44 to 49 GHz [Fig. 23(a)]. The VCO center frequency is
1.2 cm × 1.2 cm, contains two linear arrays of four patches about 5% lower than simulated, but due to the large designed
each—one array for the transmitter elements and one array for tuning range, it still covers the desired center frequency of
the receiver elements [Fig. 20]. For high TX to RX isolation, 47 GHz. The PLL locking range is from 89 to 95 GHz, slightly
the TX and RX antenna arrays are located on opposite sides reduced from the VCO tuning range. It is limited by kVCO at
of the module. The antennas themselves are aperture-coupled low tuning voltages, and by the output swing of the active
patch antennas with linear polarization. To reduce element- loop filter at high tuning voltages. At 94 GHz, the closed-
to-element coupling, the antenna spacing within each array loop phase noise is −76 dBc/Hz at 1 MHz offset, measured
is set to 0.8λ at 94 GHz. The spacing between antennas at the PA output [Fig. 23(b).
was chosen as a compromise between best isolation, cavity 2) Transmitter: Probe station measurements were used to
dimensions (to avoid substrate modes), and the desired peak characterize the output power of individual PAs, along with a
gain of 12 dBi. Isolation between elements was constrained W-band power meter. At 94 GHz, the output power varies over
to be at least 25dB; the isolation increases with the antenna a range of about 0.5 dB across elements, from 6.3 to 6.8 dBm
pitch. Conversely, the usable beam steering range decreases (Fig. 24). The outer TX elements (1 and 4) have the high-
with the antenna pitch, due to the presence of grating lobes. est output power, and the inner elements have lower out-
Because the antenna spacing is greater than half-wavelength, put power. The output power was lower than the simulated
grating lobes will appear for large beam steering angles. HFSS Psat = +10.5 dBm of the PA, as well as the simulated +9 dBm
simulations of the antenna array predict that a beam steering output power with expected LO signal levels. The decrease in
range of ±27° is possible for a grating lobe level of 3 dB output power for inner TX elements suggests IR drop in the
below the main lobe (Fig. 19). At a simulated beam steering supply network may be a partial cause. Additionally, the output
angle of ±34°, the grating lobe level is the same as that of power decreases beyond 90 GHz, whereas in simulations,
the main lobe. The simulated 3 dB beam width of the main it decreased after about 94 GHz. Unfortunately, due to the
beam is about 16° in the E-plane (XY plane in Fig. 21) and integrated LO chain and limited VCO tuning range, it is
90° in the H-plane (X Z plane in Fig. 21). HFSS simulations not possible to measure outside of the 89–95 GHz frequency
1254 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 52, NO. 5, MAY 2017

Fig. 21. Measurement setup at the University of Nice-Sophia Antipolis. The test PCB is placed with the radiating side downward, and the arm with the receive
antenna is swept across phi and theta angles. The measured radiation pattern data are imported into HFSS and plotted. The axes shown in (a) correspond to
the axes in the HFSS plots (b) and (c)

Fig. 23. VCO tuning curve (a) and measured phase noise of PLL at
94GHz (b).
Fig. 22. Die photograph of the fabricated chip.

range, so it cannot be verified if the output power increases


further at lower frequencies. The decreased output power, and
trend of power versus PA element, was consistently observed
across multiple chips. The measured PA output impedance
matches closely with simulation [Fig. 25(b)]. The average
output power of 6.5 dBm results in a transmitter efficiency
of 6.3% (PTX,RF /PTX,DC )
Fig. 24. Measured transmitter output power versus frequency.
3) Receiver: The receiver noise figure was measured with
the hot/cold method, using an Agilent N8974A noise figure
B. Packaged Measurements
meter and W-band WR-10 noise source, connected to the
receiver input via a W-band GSG probe (Fig. 26). In the RX 1) Array Characterization: To verify phased-array
case, element-to-element mismatch in noise figure is quite operation, the TX radiation pattern was measured at various
small. We do not see a consistent trend of increased noise beam steering angles. First, the TX radiation pattern was
figure in the interior elements, which makes sense because characterized in the lab at BWRC, by using a W-band power
noise figure should not be as sensitive to IR drop. We also meter head (connected to a horn antenna) manually placed at
see that the RX noise figure is improving versus frequency, different angles relative to the broadside of the array. Because
unlike in the TX case where the best performance was at the measurement was done manually, the measurement points
lower frequency. The measured 12.5 dB (SSB) noise figure are in 5° increments, over a range of −60° to 60° from the
at 94 GHz is higher than the simulated 11.1 dB (SSB) at broadside of the array. The RX conversion gain pattern was
94 GHz. Below 94 GHz, the noise figure increases quite also characterized using a similar approach, but instead using
drastically. This is potentially due to insufficient LO power at a 94 GHz source and horn antenna placed at various angles,
the RX mixer, as the LO distribution network is tuned slightly instead of the power meter. However, this improvised setup led
high due to EM modeling error and its output power drops to very slow measurements, required manual intervention to
off below 93 GHz. The measured LNA impedance matches move the power meter or source from angle to angle, and was
somewhat closely with simulation, although detuned slightly only capable of doing measurements along a single cut plane.
[Fig. 25(a)]. The measured P−1dB of the receiver is −19 dBm An indirect phase shifter characterization was completed
at 94 GHz. based on beamforming and power-combining measurements
TOWNLEY et al.: 94 GHz 4TX–4RX PHASED-ARRAY FMCW RADAR TRANSCEIVER WITH ANTENNA-IN-PACKAGE 1255

Fig. 25. Measured (a) LNA input impedance and (b) PA output impedance showed good agreement with simulation.

Fig. 26. Measured receiver single-sideband noise figure versus frequency.

Fig. 29. EIRP measurement along the H-plane.

Fig. 27. Measured phase shifter constellation points, with circle showing
amplitude level with least average amplitude error.

Fig. 30. EIRP measurement along the E-plane.

setup first described in [25] and extended to 90–140 GHz


in [26]. The digitally controllable arm can move along both φ
and θ angles, so it is possible to capture nearly a full hemi-
sphere of the radiation pattern with single-degree-level steps.
An F-band subharmonic mixer is placed on the end of the
moveable arm and the IF output connected to a spectrum
Fig. 28. 3-D radiation pattern measurements at various beam steering angles. analyzer. The power level is measured by observing the peak
level of the downconverted signal level on the spectrum
at different phase shifter codes (Fig. 27). Because the PA analyzer. Due to the large size of the F-band signal source,
is not fully driven into saturation, amplitude error is not it was not possible to measure the RX beam steering pattern.
suppressed. The measured peak phase and amplitude error However, the results should be similar as the antenna arrays
are 9◦ and 1.4 dB, respectively. and phase shifters are identical for both the TX and RX.
The TX radiation pattern was also characterized at the The measured 3-D radiation pattern for various beam
University of Nice Sophia-Antipolis, using the measurement steering angles is plotted in Fig. 28. Similar to the HFSS
1256 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 52, NO. 5, MAY 2017

TABLE I
P ERFORMANCE C OMPARISON OF P UBLISHED W-BAND P HASED -A RRAY T RANSCEIVERS

Fig. 32. Measured DC power consumption by supply domain.

performed using a metal reflector at different distances. A tri-


Fig. 31. Radar measurements with a single target, at various distances. angular frequency modulation was applied to the reference
(a) With wide RF sweep bandwidth. (b) With narrower RF sweep bandwidth.
signal of the PLL, with both 4% and 2% sweep bandwidths.
simulations of the array, there are significant side lobes at At 4% sweep bandwidth (3.68 GHz RF bandwidth), the fast
the 30° beam steering angle. The beamforming measurements Fourier transform of the IF waveform shows distinct peaks
show a steering range of about ±20° while maintaining in different range bins when the object is moved by 5 cm
3 dB main lobe to grating lobe levels. The beam dropoff is [Fig. 31(a)]. At 2% sweep bandwidth (1.86 GHz RF band-
about 2-3 dB at +20° and 4 dB at −20° (Fig. 29). This width; for the 2% sweep, the center frequency was retuned
is slightly worse than predicted by HFSS simulations of the slightly higher), the peaks are still present, but in some cases,
antenna array, which showed only 1–1.5 dB of beam dropoff. the reflected signal occupies multiple range bins [Fig. 31(b)].
Measurements along the E-plane of the array show little vari- According to the range resolution equation, there should be a
ation in radiation pattern versus beam steering angle (Fig. 30). range resolution of (c/2B) = 4.1 cm in the 4% sweep case
2) Radar Measurements and Characterization: As a basic and 8.1 cm in the 2% sweep case. This is consistent with the
demonstration of radar capability, a simple experiment was measured results of the radar experiment.
TOWNLEY et al.: 94 GHz 4TX–4RX PHASED-ARRAY FMCW RADAR TRANSCEIVER WITH ANTENNA-IN-PACKAGE 1257

V. C ONCLUSION [12] Y. Wang et al., “A Ku-band 260mW FMCW synthetic aperture radar
TRX with 1.48GHz BW in 65nm CMOS for micro-UAVs,” in IEEE
A comparison of this paper with state-of-the-art shows Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, San Francisco,
that this transceiver has achieved the lowest per-element CA, USA, Jan. 2016, pp. 240–241.
DC power, including LO overhead power, while maintaining [13] W. Wu, R. B. Staszewski, and J. R. Long, “A 56.4-to-63.4 GHz multi-
rate all-digital fractional-N PLL for FMCW radar applications in 65 nm
comparable per-element performance and demonstrating CMOS,” IEEE J. Solid-State Circuits, vol. 49, no. 5, pp. 1081–1096,
a high level of integration (Table I). Further reduction in May 2014.
DC power could easily be achieved by using injection-locked [14] H. Sakurai, Y. Kobayashi, T. Mitomo, O. Watanabe, and S. Otaka,
“A 1.5GHz-modulation-range 10ms-modulation-period 180kHzrms-
frequency dividers instead of static BJT dividers (Fig. 32). frequency-error 26MHz-reference mixed-mode FMCW synthesizer for
In summary, a compact highly integrated FMCW radar mm-wave radar application,” in IEEE Int. Solid-State Circuits Conf.
phased-array transceiver module has been demonstrated. The (ISSCC) Dig. Tech. Papers, San Francisco, CA, USA, Feb. 2011,
pp. 292–294.
integrated antenna-in-package allows for a small form factor [15] M. Jahn et al., “A four-channel 94-GHz SiGe-based digital beamforming
of 1.2 cm × 1.2 cm for the complete module, including all FMCW radar,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3,
TX and RX antennas. Beam steering has been demonstrated pp. 861–869, Mar. 2012.
across a ±20° range, and FMCW experiments show ranging [16] H. P. Forstner et al., “A 77GHz 4-channel automotive radar transceiver
in SiGe,” in Proc. RFIC, Jun. 2008, pp. 233–236.
functionality in line with theoretical resolution limits. [17] H. Hashemi, X. Guan, A. Komijani, and A. Hajimiri, “A 24-GHz
SiGe phased-array receiver-LO phase-shifting approach,” IEEE
Trans. Microw. Theory Techn., vol. 53, no. 2, pp. 614–626,
ACKNOWLEDGMENT Feb. 2005.
The authors would like to acknowledge the support of [18] H. Hashemi and S. Raman, mm-Wave Silicon Power Ampli-
V. Srini and K. Doppler at Nokia Labs project supervision. fiers and Transmitters. Cambridge, U.K.: Cambridge Univ. Press,
2016.
The authors would also like to thank the staff and students at [19] S. A. Maas, Nonlinear Microwave and RF Circuits. Norwood, MA,
the BWRC for countless helpful discussions, and F. Devillers USA: Artech House, 2003.
for the fabrication of the foam support of the radar board [20] M. Tabesh et al., “A 65 nm CMOS 4-element sub-34 mW/element
60 GHz phased-array transceiver,” IEEE J. Solid-State Circuits, vol. 46,
and the mechanical arrangements of the measurement setup no. 12, pp. 3018–3032, Dec. 2011.
for the 3D radiation pattern measurements. Special thanks [21] A. Natarajan, A. Valdes-Garcia, B. Sadhu, S. K. Reynolds, and
to Orange Labs in La Turbie, France, for assisting with the B. D. Parker, “W -band dual-polarization phased-array transceiver front-
pattern measurements. end in SiGe BiCMOS,” IEEE Trans. Microw. Theory Techn., vol. 63,
no. 6, pp. 1989–2002, Jun. 2015.
[22] M. Tabesh, A. Arbabian, and A. Niknejad, “60GHz low-loss compact
R EFERENCES phase shifters using a transformer-based hybrid in 65nm CMOS,” in
Proc. IEEE Custom Integr. Circuits Conf. (CICC), San Jose, CA, USA,
[1] J. Hatch, A. Topak, R. Schnabel, T. Zwick, R. Weigel, and Sep. 2011, pp. 1–4.
C. Waldschmidt, “Millimeter-wave technology for automotive radar [23] J. A. Hou and Y. H. Wang, “A compact quadrature hybrid
sensors in the 77 GHz frequency band,” IEEE Trans. Microw. Theory based on high-pass and low-pass lumped elements,” IEEE
Techn., vol. 60, no. 3, pp. 845–860, Mar. 2012. Microw. Wireless Compon. Lett., vol. 17, no. 8, pp. 595–597,
[2] P. Molchanov, S. Gupta, K. Kim, and K. Pulli, “Short-range FMCW Aug. 2007.
monopulse radar for hand-gesture sensing,” in Proc. IEEE Radar Conf. [24] R. Pilard et al., “HDI organic technology integrating built-in antennas
(RadarCon), Arlington, VA, USA, May 2015, pp. 1491–1496. dedicated to 60 GHz SiP solution,” in Proc. APSURSI, Jul. 2012,
[3] F.-K. Wang, M.-C. Tang, Y.-C. Chiu, and T.-S. Horng, “Gesture sensing pp. 1–2.
using retransmitted wireless communication signals based on Doppler [25] D. Titz, F. Ferrero, and C. Luxey, “Development of a millimeter-wave
radar technology,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 12, measurement setup and dedicated techniques to characterize the match-
pp. 4592–4602, Dec. 2015. ing and radiation performance of probe-fed antennas [measurements
[4] T. Kiuru et al., “Movement and respiration detection using statistical corner],” IEEE Antennas Propag. Mag., vol. 54, no. 4, pp. 188–203,
properties of the FMCW radar signal,” in Proc. Global Symp. Millim. Aug. 2012.
Waves (GSMM) ESA Workshop Millim.-Wave Technol. Appl., Espoo, [26] A. Bisognin et al., “Probe-fed measurement system for
Finland, Jun. 2016, pp. 1–4. F-band antennas,” in Proc. 8th Eur. Conf. Antennas
[5] I. Nasr et al., “A highly integrated 60 GHz 6-channel transceiver with Propag. (EUCAP), The Hague, The Netherlands, Apr. 2014,
antenna in package for smart sensing and short-range communications,” pp. 722–726.
IEEE J. Solid-State Circuits, vol. 51, no. 9, pp. 2066–2076, Sep. 2016. [27] A. Valdes-Garcia et al., “A fully-integrated dual-polarization 16-element
[6] A. Puglielli et al., “Design of energy- and cost-efficient massive MIMO W-band phased-array transceiver in SiGe BiCMOS,” in Proc. RFIC,
arrays,” Proc. IEEE, vol. 104, no. 3, pp. 586–606, Mar. 2016. Jun. 2013, pp. 375–378.
[7] S. P. Voinigescu et al., “A scalable high-frequency noise model for [28] S. Shahramian et al., “A 70–100 GHz direct-conversion transmitter
bipolar transistors with application to optimal transistor sizing for low- and receiver phased array chipset demonstrating 10 Gb/s wireless
noise amplifier design,” IEEE J. Solid-State Circuits, vol. 32, no. 9, link,” IEEE J. Solid-State Circuits, vol. 48, no. 5, pp. 1113–1125,
pp. 1430–1439, Sep. 1997. May 2013.
[8] A. Valdes-Garcia et al., “A fully integrated 16-element phased-array [29] F. Golcuk, T. Kanar, and G. M. Rebeiz, “A 90–100-GHz 4 × 4 SiGe
transmitter in SiGe BiCMOS for 60-GHz communications,” IEEE BiCMOS polarimetric transmit/receive phased array with simultaneous
J. Solid-State Circuits, vol. 45, no. 12, pp. 2757–2773, Dec. 2010. receive-beams capabilities,” IEEE Trans. Microw. Theory Techn., vol. 61,
[9] M. Skolnik, Introduction to Radar Systems. New York, NY, USA: no. 8, pp. 3099–3114, Aug. 2013.
McGraw-Hill, 2002. [30] P.-N. Chen, P.-J. Peng, C. Kao, Y.-L. Chen, and J. Lee, “A 94 GHz
[10] A. Arbabian, S. Callender, S. Kang, B. Afshar, J.-C. Chien, and 3D image radar engine with 4TX/4RX beamforming scan technique in
A. M. Niknejad, “A 90 GHz hybrid switching pulsed-transmitter 65 nm CMOS technology,” IEEE J. Solid-State Circuits, vol. 50, no. 3,
for medical imaging,” IEEE J. Solid-State Circuits, vol. 45, no. 12, pp. 656–668, Mar. 2015.
pp. 2667–2681, Dec. 2010. [31] P.-N. Chen, P.-J. Peng, C. Kao, Y.-L. Chen, and J. Lee, “A 94GHz
[11] J. Lee, Y.-A. Li, M.-H. Hung, and S.-J. Huang, “A fully-integrated 3D-image radar engine with 4TX/4RX beamforming scan technique in
77-GHz FMCW radar transceiver in 65-nm CMOS technology,” IEEE 65nm CMOS,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig.
J. Solid-State Circuits, vol. 45, no. 12, pp. 2746–2756, Dec. 2010. Tech. Papers, San Francisco, CA, USA, Feb. 2013, pp. 146–147.
1258 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 52, NO. 5, MAY 2017

Andrew Townley (S’11) received the B.S. and M.S. Aimeric Bisognin (S’12–M’15) was born in
degrees in electrical engineering from the University Toulouse, France, in 1989. He received the engi-
of Pennsylvania, Philadelphia, PA, USA, in 2011. neering degree in electronics from Polytech Nice
He is currently pursuing the Ph.D. degree in elec- Sophia, Biot, France, in 2012, the M.S. degree in
trical engineering with the University of California, telecommunications from ED STIC, Sophia Antipo-
Berkeley, CA, USA, specializing in mm-Wave inte- lis, France, in 2012, and the Ph.D. degree in elec-
grated circuit design. tronics engineering (with hons.) from the University
During his Ph.D., he held internship positions of Nice Sophia Antipolis, Nice, France, in 2015.
at Nokia, Berkeley, Analog Devices, Beaverton, During his Ph.D. work, he was with the Electron-
OR, USA, and Google, Mountain View, CA, USA. ique pour Objets Communicants (EpOC) laboratory
His current research interests include broadband and at STMicroelectronics, Crolles, France. He is
mm-Wave circuit design, mm-Wave packaging and antenna technologies, and currently a Post-Doctoral Researcher at the EpOC Laboratory. He has authored
mm-Wave integrated systems for sensing and communication applications. or co-authored nine publications in journals and 19 publications in inter-
national conferences. His current research interests include millimeter-wave
communications, especially in the field of the design and measurement of
antenna in package, lens, and reflector antennas for the 60-, 80-, and 120-GHz
frequency bands.

Paul Swirhun received the B.S. degree (summa cum


laude) in electrical and computer engineering from
Cornell University, Ithaca, NY, USA, in 2011, and
the M.S. degree from the University of California,
Berkeley, CA, USA, in 2013.
While at the University of California, Berkeley, his
research interests included RF and millimeter-wave
circuit design for radar applications, a joint effort
between the Berkeley Wireless Research Center and
the Nokia Research Center, Berkeley. From 2013 to Frédéric Gianesello received the B.S. and M.S.
2014, he was with the Linear Products Group of degrees in electronics engineering from the Grenoble
Analog Devices, San Jose, CA, USA, where he was involved in precision Institute of Technology, Grenoble, France, in 2003,
analog integrated circuit design. In 2014, he joined Google Inc. as a Hardware and the Ph.D. degree in electrical engineering from
Engineer, designing RF and mixed-signal integrated circuits, systems, and Joseph Fourier University, Grenoble, in 2006.
software. He is currently with STMicroelecetronics, Crolles,
France, where he leads the team responsible for the
development of electromagnetic devices (inductor,
balun, transmission line, and antenna) integrated
on advanced RF CMOS/BiMOS (down to 14 nm),
Silicon Photonics, and advanced packaging tech-
nologies (3-D integration and FOWLP). He has authored or co-authored more
than 150 refereed journal and conference technical articles.
Dr. Gianesello has served on the TPC for the International SOI Conference
from 2009 to 2011 and is currently serving as a reviewer for several
conferences or journals.
Diane Titz (S’11–M’12) received the M.S. degree
in telecommunications (with hons.) from the Uni-
versity of Paris-Sud (XI), Orsay, France, and ENS
de Cachan, Paris, France, in 2009, and the Ph.D.
degree in electrical engineering (with hons.) from the
University of Nice Sophia Antipolis, Nice, France,
in 2012.
While doing her Ph.D., she was at LEAT and CRE-
MANT, a joint laboratory between the University
of Nice Sophia Antipolis and Orange Labs, France.
She is currently an Associate Member of the EpOC
Team, University of Nice Sophia Antipolis, and a Full Teacher in Physics
and Chemistry at the Lycée Jules-Ferry, Paris. Her current research interests
include antenna designs, measurements, and passive circuits, especially at
millimeter wave frequencies. She has authored or co-authored two book Romain Pilard received the B.S. and M.S. degrees
chapters, more than 20 publications in journals, and 40 publications in in electronics engineering from the École Polytech-
international conferences. nique de l’Université de Nantes, Nantes, France,
Dr. Titz has been in the 2017 European Conference on Antennas and in 2006, and the Ph.D. degree in electrical engineer-
Propagation local organizing team and a TPC Member of the 2015 European ing from Telecom Bretagne, Brest, France, in 2009.
Conference on Antennas and Propagation. She is a reviewer for the IEEE From 2010 to 2015, he was with STMicroelec-
T RANSACTIONS ON A NTENNAS AND P ROPAGATION, the IEEE A NTENNAS tronics, Crolles, France, where he was involved
AND W IRELESS P ROPAGATION L ETTERS , the IEEE T RANSACTIONS ON in the development of integrated antennas, high
M ICROWAVE T HEORY AND T ECHNIQUES , IET Microwave, Antennas, and performance passive components in advanced bulk
Propagation, and several international conferences. She has participated in the and SOI RF CMOS technologies, and the millime-
European COST (ASSIST and VISTA) actions and the French-Singaporean ter wave antenna design and packaging technology
Merlion Project with NTU. She was a recipient of the APWC 2014 Young development. He is currently with e2v semiconductors, Grenoble, France,
Scientific Best Paper Award, the ISSCC IEEE Jack Kilby Award 2013, and where he is an Application Engineer, focusing on broadband data converters
the LAPC 2012 Best Paper Award. (ADCs and DACs).
TOWNLEY et al.: 94 GHz 4TX–4RX PHASED-ARRAY FMCW RADAR TRANSCEIVER WITH ANTENNA-IN-PACKAGE 1259

Cyril Luxey (M’05–SM’09–F’17) was born in Ali M. Niknejad (S’93–M’00–SM’10–F’13)


Nice, France, in 1971. He received the Ph.D. received the B.S. degree in electrical engineering
degree in electrical engineering from University from the University of California, Los Angeles,
Nice-Sophia Antipolis, Nice, in 1999. During his CA, USA, in 1994, and the M.S. and Ph.D. degrees
thesis, he focused on several antenna concepts for in electrical engineering from the University of
automotive applications such as printed leaky-wave California (UC), Berkeley, CA, USA, in 1997 and
antennas, quasi-optical mixers, and retrodirective 2000, respectively.
transponders. He is currently a Full Professor with the Electrical
From 2000 to 2002, he was with Alcatel, Mobile Engineering and Computer Science Department,
Phone Division, Colombes, France, where he was UC Berkeley, and the Faculty Director of the
involved in the design and integration of internal Berkeley Wireless Research Center. He is the
antennas for commercial mobile phones. In 2003, he was an Associate inventor of the REACH technology, and a co-founder of HMicro, a wireless
Professor at the Polytechnic School, University of Nice Sophia Antipolis. healthcare company, and RF Pixels, a mm-wave technology company.
Since 2009, he has been a Full Professor at the IUT Réseaux et Télécoms, His research interests include wireless and broadband communications and
Biot, France. He is the Vice-Deputy of the EpOC Laboratory, France, where he biomedical imaging (RF, mm-wave, and sub-THz), including the
is involved in research. In 2010, he has been appointed as a Junior Member of implementation of integrated communication systems in silicon using
the Institut Universitaire de France, Paris, for five years. He collaborates with CMOS, SiGe, and BiCMOS processes. The focus areas of his research
the Berkeley Wireless Research Center, Berkeley, CA, USA, and Stanford include analog, RF, mixed-signal, mm-wave circuits, device physics and
University, Stanford, CA, USA, focusing on mm-wave front-end transceivers compact modeling, and numerical techniques in electromagnetics.
at mm-wave frequencies. He is involved in electrically small antennas, Prof. Niknejad was the recipient of the 2012 ASEE Frederick Emmons
multiantenna systems for diversity, and MIMO techniques. He has authored Terman Award for his textbook on electromagnetics and RF integrated circuits.
or co-authored more than 300 papers in refereed journals, international and He was a co-recipient of the 2013 Jack Kilby Award for the Outstanding
national conferences, and book chapters. He has given more than 15 invited Student Paper for his work on an efficient quadrature digital spatial modulator
talks. His current research interests include the design and measurement of at 60 GHz, and the 2010 Jack Kilby Award for Outstanding Student Paper for
millimeter-wave antennas, antennas-in-package, plastic lenses, and organic his work on a 90-GHz pulser with 30-GHz of bandwidth for medical imaging,
modules for mm-wave and sub-mm wave frequency bands. and also a co-recipient of the Outstanding Technology Directions Paper at the
Dr. Luxey is an Associate Editor of the IEEE A NTENNAS AND W IRELESS ISSCC 2004 for co-developing a modeling approach for devices up to 65 GHz.
P ROPAGATION L ETTERS , and a reviewer for the IEEE T RANSACTIONS
ON A NTENNAS AND P ROPAGATION , the IEEE A NTENNAS AND W IRELESS
P ROPAGATION L ETTERS , the IEEE T RANSACTIONS ON M ICROWAVE
T HEORY AND T ECHNIQUES , the IEEE M ICROWAVE AND W IRELESS
C ONFERENCE L ETTERS , the IET Electronics Letters, the IET Microwave
Antennas and Propagation journals, and several European and U.S.
conferences in the field of microwave, microelectronics, and antennas.
He and his students received the H.W. Wheeler Award of the IEEE Antennas
and Propagation Society for the Best Application Paper of the year 2006.
He is a co-recipient of the Best Paper of the EUCAP2007 conference, the
Best Paper Award of the International Workshop on Antenna Technology
in 2009, the Best Paper Award at LAPC 2012, the Best Student Paper at
LAPC 2013 (third place), the Best Paper of the ICEAA 2014 conference,
and the Best Paper of the innovation contest of the iWEM 2014 conference
(second place). He is also a co-recipient of the Jack Kilby Award 2013 of the
ISSCC conference. He is a recipient of the University Nice Sophia Antipolis
Medal (2014) and the University Côte d’Azur Medal (2016). He has
been the General Chair of the Loughborough Antennas and Propagation
Conference 2011, the Award and Grant Chair of EuCAP 2012, and the
invited paper Co-Chair of EuCAP 2013. He is currently the main TPC Chair
of the EuCAP 2017 conference in Paris. Since 2015, he has been a member
of the IEEE AP-S Education Committee.

S-ar putea să vă placă și