Documente Academic
Documente Profesional
Documente Cultură
Student Workbook
This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors and is subject to license terms. No part of this document may be photocopied, reproduced,
translated, distributed, disclosed or provided to third parties without the prior written consent of Mentor Graphics.
The terms and conditions governing the sale and licensing of Mentor Graphics products are set forth in written agreements between Mentor Graphics and its customers. No representation or other
affirmation of fact contained in this publication shall be deemed to be a warranty or give rise to any liability of Mentor Graphics whatsoever.
MENTOR GRAPHICS MAKES NO WARRANTY OF ANY KIND WITH REGARD TO THIS MATERIAL INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY
AND FITNESS FOR A PARTICULAR PURPOSE.
MENTOR GRAPHICS SHALL NOT BE LIABLE FOR ANY INCIDENTAL, INDIRECT, SPECIAL, OR CONSEQUENTIAL DAMAGES WHATSOEVER (INCLUDING BUT NOT LIMITED TO LOST
PROFITS) ARISING OUT OF OR RELATED TO THIS PUBLICATION OR THE INFORMATION CONTAINED IN IT, EVEN IF MENTOR GRAPHICS HAS BEEN ADVISED OF THE POSSIBILITY
OF SUCH DAMAGES.
U.S. GOVERNMENT LICENSE RIGHTS: The software and documentation were developed entirely at private expense and are commercial computer software and commercial computer software
documentation within the meaning of the applicable acquisition regulations. Accordingly, pursuant to FAR 48 CFR 12.212 and DFARS 48 CFR 227.7202, use, duplication and disclosure by or for
the U.S. Government or a U.S. Government subcontractor is subject solely to the terms and conditions set forth in the license agreement provided with the software, except for provisions which are
contrary to applicable mandatory federal laws.
TRADEMARKS: The trademarks, logos and service marks ("Marks") used herein are the property of Mentor Graphics Corporation or other parties. No one is permitted to use these Marks without
the prior written consent of Mentor Graphics or the owner of the Mark, as applicable. The use herein of a third- party Mark is not an attempt to indicate Mentor Graphics as a source of a product, but
is intended to indicate a product from, or associated with, a particular third party. A current list of Mentor Graphics’ trademarks may be viewed at: www.mentor.com/trademarks.
The registered trademark Linux® is used pursuant to a sublicense from LMI, the exclusive licensee of Linus Torvalds, owner of the mark on a world-wide basis.
End-User License Agreement: You can print a copy of the End-User License Agreement from:
www.mentor.com/eula.
Create
Interface Flow diagram topic that has links to
Project Contents the reference document(s) and the
topic video
Document Video
Create
Environment Practice lab topic that has links to the
Practice Lab VLAB instance, the lab document and
the complete lab video
Lab instructions Lab video (new approach only)
Create Bench
Knowledge Check
Complete the
Bench
Create
Interface
Create
Environment
Create Bench
Complete the
Bench
Start
Verification
Create
Environment Create the
Output Protocol Practice Lab 1
Interface
Reference Video Instructions
Create Bench
Complete the
Bench
Start
Verification
Create
Interface
Create Bench
Complete the
Bench
Start
Verification
Create
Interface
Create
Environment
Complete the
Bench
Start
Verification
Predict the
Practice Lab 6
Start Results
Verification
Reference Video Instructions
Create a New
Start Configuration
Test and Practice Lab 7
Verification and Sequences
Transaction
Reference Video Reference Video Instructions
● Scripts
— Auto generation of components and test bench
— Makefiles with common tool flow operations
● Examples
— Block and chip level benches
— Technology integrations
● Documentation
— Users Guide and Reference Manual
Class Definitions
UVMF
Description Package Declarations
Generator
Interface Definitions
UVMF
Templates Sim Support Files
● ALU tutorial
$UVMF_HOME/docs/generator_tutorial
● Examples:
$UVMF_HOME/base_examples
$UVMF_HOME/templates/python/examples
● VerificationAcademy.com
— "UVMF One Bite at a Time" videos
// RTL code
...
endmodule
class alu_out_transaction
bit [7:0] result;
...
class alu_in_transaction
rand ALU_IN_OP_T opcode;
rand bit [7:0] a, b;
...
● Scoreboard compares
— Expected vs. actual
— In-order vs. out-of-order
— Number of inputs
● With YAML
— YAML Ain't a Markup Language
$UVMF_HOME/docs
www.yaml.org
uvmf:
benches:
bench_name:
properties
© Mentor Graphics Corporation. All rights reserved.
Interface Description # alu_in_interface.yaml
uvmf:
interfaces:
How to describe a protocol? alu_in:
clock: clk
reset: rst
● For each interface reset_assertion_level: "False"
— clock and reset (required) Indent ...
consistently
hvl_typedefs: []
Unused YAML parameter:
omit, or use [] parameters: []
...
interface_packages
alu_in_pkg
src
alu_in*.svh
© Mentor Graphics Corporation. All rights reserved.
# alu_in_interface.yaml
Dive into Generated Code uvmf:
interfaces:
alu_in:
...
verification_ip/interface_packages/alu_in_pkg/src
verification_ip/interface_packages/alu_in_pkg
class
package
alu_in_agent
alu_in_pkg;extends uvmf_parameterized_agent ...
import uvm_pkg::*;
class alu_in_monitor
`include extends uvmf_monitor_base ...
"src/alu_in_transaction.svh"
`include "src/alu_in_configuration.svh"
class alu_in_driver
`include extends uvmf_driver_base ...
"src/alu_in_monitor.svh"
`include "src/alu_in_driver.svh"
class alu_in_configuration
`include extends uvmf_configuration ...
"src/alu_in_agent.svh"
...
endpackage
cov mon
(COVERAGE_T) (MONITOR_T) mon BFM
cfg
sqr drv
TRANS_T drv BFM
(DRIVER_T)
© Mentor Graphics Corporation. All rights reserved.
DUT Output Description # alu_out_interface.yaml
uvmf:
interfaces:
How to describe the ALU output? alu_out:
clock: clk
reset: rst
● For each interface
reset_assertion_level: "False"
— clock and reset (required)
— HDL & HVL types hdl_typedefs: []
— SystemVerilog parameters hvl_typedefs: []
parameters: []
...
interface_packages
alu_out_pkg alu_in_pkg
src src
alu_out*.svh alu_in*.svh
© Mentor Graphics Corporation. All rights reserved.
DUT Input and Output Descriptions
How to describe the ALU connections?
test_top
seq seq
alu_out_transaction
alu_in_transaction
Can have multiple
analysis connections
scoreboards:
- name: alu_sb
sb_type: uvmf_in_order_scoreboard
trans_type: alu_out_transaction
...
actual
expect in
interface_packages environment_packages
src
alu*.svh
© Mentor Graphics Corporation. All rights reserved.
# alu_environment.yaml
Dive into Generated Code uvmf:
environment environments:
alu:
alu_out agents: ...
analysis_components: ...
alu_in scoreboards: ...
tlm_connections: ...
verification_ip/environment_packages/alu_env_pkg/src
alu_sb_ap.write(alu_sb_ap_output_transaction);
endfunction
endclass
© Mentor Graphics Corporation. All rights reserved.
# alu_environment.yaml
Dive into Generated Code uvmf:
environment environments:
alu:
alu_out agents: ...
analysis_components: ...
alu_in scoreboards: ...
tlm_connections: ...
verification_ip/environment_packages/alu_env_pkg/src
function connect_phase(...);
super.connect_phase(...);
alu_in_agent.monitored_ap.connect(alu_pred.alu_in_agent_ae);
...
endfunction
endclass
© Mentor Graphics Corporation. All rights reserved.
Course Flow
What are the UVMF steps?
● "UVMF bench":
everything above the env
active_passive:
- bfm_name: alu_in_agent
value: ACTIVE
- bfm_name: alu_out_agent
value: ACTIVE
interface_packages
alu dut2 dutN
environment_packages
uvmf_template_output/project_benches/alu
— docs: User created documentation
— registers: UVM Register Layer code
— rtl: RTL, both Verilog and VHDL
— sim: Simulation specific code
— tb:
– parameters
– sequences
– src/alu_bench_sequence_base.svh
– testbench
– hdl_top.sv
– hvl_top.sv
– tests
– src/test_top.sv
Synchronize
Synchronizesw/DUT
with
through agentconfig
DUT through config
verification_ip project_benches
What has been generated?
interface_packages environment_packages
● Environment
● Bench
4. Create testbench
verification_ip project_benches
How to complete the system?
interface_packages environment_packages
● Modify
— Connect DUT
— BFMs
— Predictor
● Add new
— Tests
— Sequences
● Work incrementally
UVMF_Code_Generator_YAML_Reference.pdf App_Note_Regeneration.pdf
● Compile the system from Lab 3 with Questa, looking for warnings and errors
1. Update hdl_top.sv
2. Update Makefile
reset deasserted
ack_in driven
ack_in&driven
result rdy_out driven
● Edit hdl_top.sv
● Edit Makefile
● Compile, simulate, and examine signals
mon BFM
drv BFM
uvmf_template_output
verification_ip clk
rst
interface_packages 1
a, b, opc
1 alu_in_pkg
rdy_in 2
2
ack_in 3
src
3
alu_in_driver_bfm.svh
% make debug
NO_OP?
opcode
rdy_in A operand
ack_in B operand
verification_ip/interface_packages/alu_in_pkg/src/alu_in_driver_bfm.sv
drv BFM
mon BFM
● UVM monitor sends transaction object for analysis
# alu_environment.yaml
uvmf:
environments:
alu:
agents:
- name: alu_in_agent
mon BFM
type: alu_in
initiator_responder: initiator drv BFM
- name: alu_out_agent
type: alu_out
initiator_responder: responder
...
verification_ip
5 4
interface_packages
4
6 5
alu_out_pkg
6
src
alu_out_driver_bfm.svh
5 4
4
5
uvm_error
uvm_error
uvm_error
ADD 0xD6, 0x7A XOR 0x25, 0x77 ADD 0x25, 0xCB
Mismatches!
No predictor!
uvm_error
uvm_error
uvm_error
● Check the markers
at the top of the
waveform display
Fed by
monitors
environment_packages
alu_env_pkg
src
alu_predictor.svh
Reference
Model
No mismatches
No errors
Success!
● Edit alu_predictor.sv
alu
tb
tests
src
alu_accum_test.svh
● 19 ADC transactions
● 6 CLC transactions
Always send
25 transactions
Make these
configurable!
Always wait
400 clock cycles
Default value
© Mentor Graphics Corporation. All rights reserved.
Agent Configuration
How to add new control to an agent configuration?
verification_ip/interface_packages/alu_in_pkg/src/alu_in_configuration.svh
● Add number of transactions
Default value
Env config
has drain time
2: Control the test length and drain time through the configuration
● Edit alu_in_configuration.svh to control the number of transactions
● Edit alu_env_configuration.svh to control the drain time
● Create alu_cfg_bench_sequence.svh to switch to new controls
● Update alu_accum_test.svh to run the new virtual sequence and set controls
● Simulate the new test and observe the new transactions
● When you define a new class in UVMF, what else do you always need to do?
— Import it into a package.
— Include it into a package.
— Define it in the YAML code.
— Override it in the test class.
— Add it to the configuration class.