Sunteți pe pagina 1din 71

2013

ELECTRONICA DIGITAL

GLORIA LIZBETH
ELECTRONICA DIGITAL
01/01/2013
pág. 1
MATERIA:

PRINCIPIOS ELECTRICOS Y APLICACIONES DIGITALES

CATEDRATICO:

ING. ROSEMBERG JIMENEZ LOPEZ

TEMA:

UNIDAD 2

ALUMNA:

CITALAN LUIS GLORIA LIZBETH

CARRERA:

ING. EN SISTEMAS COMPUTACIONALES

TAPACHULA DE CORDOVA Y ORDOÑEZ CHIAPAS A 24 DE ABRIL DEL 2013

pág. 2
INDICE DE DOCUMENTO

INDICE DE DOCUMENTO.......................................................................................3
INTRODUCCION......................................................................................................5
UNIDAD 2: ELECTRONICA DIGITAL......................................................................6
2.1 TABLAS DE VERDAD Y COMPUERTAS LOGICAS.........................................7
2.1.1 NOT, OR Y AND................................................................................................................... 9
2.1.2 Otras (NOR, NAND, XOR, etc.)......................................................................................11
2.1.3 EXPRESIONES BOOLEANAS................................................................................................... 13

2.2 DISEÑO DE CIRCUITOS COMBINACIONALES.............................................15


2.2.1 METODOLOGÍA DE DISEÑO..................................................................................................... 16
2.2.2 MINITÉRMINOS Y MAXITÉRMINOS............................................................................................ 18
2.2.3 TÉCNICAS DE SIMPLIFICACIÓN................................................................................................ 20
2.2.3.1 Teoremas y postulados del algebra de Boole.............................................................21
2.2.3.2 Mapas Karnaugh......................................................................................................... 27
2.2.4 IMPLEMENTACIÓN Y APLICACIÓN DE CIRCUITOS COMBINACIONALES..........................................33

2.3 LÓGICA SECUENCIAL...................................................................................36


2.3.1 FLIP- FLOP CON COMPUERTAS............................................................................................... 37

2.3.2 FLIP-FLOP JK, SR, D....................................................................................38


FLIP- FLOP JK............................................................................................................................ 38
FLIP-FLOP TIPO T......................................................................................................................... 41
FLIP- FLOP SR........................................................................................................................... 42
FLIP FLOP D............................................................................................................................... 45
2.3.3 EL DISEÑO DE CIRCUITOS SECUENCIALES.................................................................47

2.4 APLICACIONES DE SISTEMAS SECUENCIALES.........................................51


2.4 FAMILIAS LÓGICAS.........................................................................................53
2.4.1 TTL.................................................................................................................55
2.4.1.1 FAMILIAS TTL INICIALES..................................................................................................... 55
2.4.1.2 Familias TTL Schottky................................................................................................. 56
2.4.1.3 Características de las familias TTL.............................................................................57
2.4.1.4 Una hoja de datos TTL................................................................................................57
2.4.2 ECL.................................................................................................................................... 58
2.4.3 MOS................................................................................................................................... 60
2.4.4 CMOS................................................................................................................................. 62
2.4.5 BAJO VOLTAJE (LVT, LV, LVC, ALVC).................................................................................65

pág. 3
CONCLUSION........................................................................................................69
BIBLIOGRAFIA.......................................................................................................71

pág. 4
INTRODUCCION

La base de la electrónica digital radica que se dispone de un número finito de


estados fácilmente diferenciales, extendiéndose por estado un nivel fijo de
tensión de una duración determinada.

El mínimo número de estados es dos y es el método por el que se rigen los


sistemas binarios. A este sistema solo se le pueden asignar dos estados
diferentes durante su funcionamiento, aunque admiten cambiar de estado durante
el tiempo.

Los números que representan estos dos estados son el 0 y el 1. En lógica positiva
el nivel lógico 0 equivale a cero volts de tensión y el nivel lógico 1, a un nivel de
tensión positivo previamente establecido.

Así, una señal de salida, o de entrada, puede estar enviando (o recibiendo) una
serie de estados, ceros y unos, que serán función de su desarrollo interno.

pág. 5
UNIDAD 2: ELECTRONICA DIGITAL

La Electrónica Digital se puede decir que es la rama (o especialidad) de la


electrónica más moderna y que evoluciona más rápidamente, contando cada vez
con un número mayor de aplicadores. En ellas se basan, por ejemplo, los
ordenadores, calculadoras, automatismo de control industrial, etc.

Hoy en día difícilmente nos encontramos con aparatos electrónicos en los cuales
no intervengan las técnicas digitales. Hasta en los aparatos más típicos de
electrónica lineal (o analógica), como son, por ejemplo, los televisores y equipos
de sonido, intervienen en gran parte las técnicas digitales. Algunos aparatos,
incluso, sin la electrónica digital ni siquiera existirían; es el caso del compact disc.

En cuanto al control industrial, sin las técnicas digitales electrónicas todavía nos
encontraríamos en la era de los equipos con relés, y no contaríamos con:
autómatas programables, robótica, control numérico, ordenadores, etc.

Todos estos equipos están basados en un componente denominado


microprocesadores que no es más que un sistema digital programable integrado
en un solo chip; es decir, un circuito integrado digital.

Existe un teorema matemático (teorema de muestreo de Nyquist) que nos


garantiza que cualquier señal se puede representar mediante números, y que con
estos números se puede reconstruir la señal original.

De esta manera, una señal digital, es una señal que está descrita por números.
Es un conjunto de números. Y la electrónica digital es la que trabaja con señales
digitales, o sea, con números. Son los números los que se manipulan, almacenan,
recuperan y transportan.

Si analizamos un ordenador, que es un sistema digital, podemos escuchar música


o ver películas. La información que está almacenada en el disco duro son
números.

Fig. Sistema Digital


pág. 6
2.1 TABLAS DE VERDAD Y COMPUERTAS LOGICAS

Una tabla de verdad es un medio para describir como la salida lógica de un


circuito depende de los niveles lógicos presentes en las entradas de un circuito.

Un método para analizar los valores de certeza de las proposiciones es el de


poner todas las posibilidades de certeza o falsedad en forma de una tabla, estas
tablas básicas indican si una proposición molecular es verdadera o falsa y de esta
forma analizar cada una de las posibilidades que aparecen en ella. Este algoritmo
es llamado el método de las tablas de verdad porque puede ser ordenado en la
forma tabular y se considera ineficiente comparado con otros métodos que
permiten analizar las fórmulas proposicionales. Otros más eficientes serán
posteriormente analizados.

El primer paso en la construcción de una tabla de verdad para una fórmula es


conocer cuantas posibles combinaciones de la formula hay, es decir, en cuantas
formas diferentes pueden combinarse los valores de verdad.

Una Tabla de verdad es un medio para describir la manera en que la salida de un


circuito lógico depende de los niveles lógicos que haya en la entrada del circuito.
La figura ilustra una tabla de verdad para un tipo de circuito lógico de dos
entradas. La tabla enumera todas las combinaciones posibles de niveles lógicos
que se encuentren en las entradas A y B con su nivel de salida correspondiente x.
La primera entrada en la tabla muestra que cuando A y B están en el nivel 0, la
salida x esta en el nivel o estado 1, de manera que A=0 y B=1, la salida x se
convierte en 0. En forma análoga, en la tabla se muestra que ocurre al estad de
salida con cualquier grupo de condiciones de entrada.

FIG. Tabla de Verdad para un


circuito de dos
pág.entradas.
7
Las computadoras digitales utilizan el sistema de números binarios, que tiene dos
dígitos 0 y 1. Un dígito binario se denomina un bit.

La información binaria se representa en un sistema digital por cantidades físicas


denominadas señales, Las señales eléctricas tales como voltajes existen a través
del sistema digital en cualquiera de dos valores reconocibles y representan una
variable binaria igual a 1 o 0. Por ejemplo, un sistema digital particular puede
emplear una señal de 3 volts para representar el binario "1" y 0.5 volts para el
binario "0". La siguiente ilustración muestra un ejemplo de una señal binaria.

Una manera generalizada de representar las funciones lógicas es el uso de


símbolos o bloques lógicos denominados puertas o compuertas lógicas.

La lógica binaria tiene que ver con variables binarias y con operaciones que
toman un sentido lógico. La manipulación de información binaria se hace por
circuitos lógicos que se denominan Compuertas Lógicas.

Las compuertas son bloques del hardware que producen señales en binario 1 ó 0
cuando se satisfacen los requisitos de entrada lógica. Las diversas compuertas
lógicas se encuentran comúnmente en sistemas de computadoras digitales. Cada
compuerta tiene un símbolo gráfico diferente y su operación puede describirse por
medio de una función algebraica. Las relaciones entrada - salida de las variables
binarias para cada compuerta pueden representarse en forma tabular en una
tabla de verdad.

Compuertas Logicas

Las compuertas lógicas son circuitos electrónicos que operan con una o mas
señales de entrada para poducir una señal de salida. En los sistemas digitales,
las señales eléctricas, que podrían ser voltaje o corriente, existe con una de dos
valores reconocibles. Los circuitos operados por voltaje responden a dos niveles
de voltaje distinto que representan una variable binario cuyo valor es 1 lógico o 0
lógico. Por ejemplo, un sistema digital dado podría definir el 0 lógico como una
señal de 0 volts, y el 1 lógico, como una señal de 4 volts.

pág. 8
2.1.1 NOT, OR Y AND
COMPUERTA NOT: Un inversor es una puerta de solamente una entrada y su
salida es el complemento lógico de la entrada. Es decir, cuando a la entrada de
una puerta NOT hay un 1 su salida será 0, y de lo contrario cuando su entrada es
0, su salida será 1. La operación NOT difiere de las operaciones OR y AND en
que se puede realizar en una sola variable de entrada.

A x=Ᾱ

0 1

1 0

Fig. a) Tabla de Verdad


Fig. b) Símbolo del

COMPUERTA OR: La compuerta OR produce la función sumadora, esto es, la


salida es 1 si la entrada A o la entrada B o ambas entradas son 1; de otra
manera, la salida es 0.

El símbolo algebraico de la función OR (+), es igual a la operación de aritmética


de suma.

Las compuertas OR pueden tener más de dos entradas y por definición la salida
es 1 si cualquier entrada es 1.

pág. 9
A B X

0 0 0

0 1 0

1 0 1

1 1 1

Fig. b) Símbolo de OR

Fig. a) Tabla de Verdad

COMPUERTA AND: La compuerta AND produce la multiplicación lógica AND,


esto es, la salida es 1 si la entrada A y la entrada B están ambas en el binario 1;
de otra manera, la salida es 0.

Estas condiciones también son especificadas en la tabla de verdad para la


compuerta AND. La tabla muestra que la salida x es 1 solamente cuando ambas
entradas A y B están en 1. El símbolo de operación algebraico de la función AND
es el mismo que el símbolo de la multiplicación de la aritmética ordinaria (*).

Las compuertas AND pueden tener más de dos entradas y por definición, la salida
es 1 si todas las entradas son 1.

A B X

0 0 0

0 1 0

1 0 0

1 1 1

pág. 10
Fig. b) Símbolo de AND

Fig. a) Tabla de Verdad

2.1.2 Otras (NOR, NAND, XOR, etc.)


COMPUERTA NOR: La compuerta NOR es el complemento de la compuerta OR
y utiliza el símbolo de la compuerta OR seguido de un círculo pequeño (quiere
decir que invierte la señal). Las compuertas NOR pueden tener más de dos
entradas, y la salida es siempre el complemento de la función OR.

A B X

0 0 1

0 1 0

1 0 0

1 1 0

Fig. b) Símbolo de NOR

Fig. a) Tabla de Verdad

COMPUERTA NAND: Es el complemento de la función AND, como se indica por


el símbolo gráfico, que consiste en una compuerta AND seguida por un pequeño
círculo (quiere decir que invierte la señal).

pág. 11
La designación NAND se deriva de la abreviación NOT - AND. Una designación
más adecuada habría sido AND invertido puesto que es la función AND la que se
ha invertido.

A B X

0 0 1

0 1 1

1 0 1

1 1 0
Fig. b) Símbolo de NAND

Fig. a) Tabla de Verdad

COMPUERTA XOR: La compuerta OR vista anteriormente realiza la operación


lógica correspondiente al O inclusivo, es decir, una o ambas de las entradas
deben estar en 1 para que la salida sea 1.

A B X

0 0 0

0 1 1

1 0 1

1 1 0

Fig. b) Símbolo de XOR

Fig. a) Tabla de Verdad

pág. 12
COMPUERTA NXOR (NO O EXCLUSIVO):
No hay mucho para decir de esta compuerta. Como se puede deducir de los
casos anteriores, una compuerta NXOR no es más que una XOR con su salida
negada, por lo que su salida estará en estado alto solamente cuando sus
entradas son iguales, y en estado bajo para las demás combinaciones posibles.

A B X

0 0 1

0 1 0

1 0 0

1 1 1

Fig. b) Símbolo de NXOR

Fig. a) Tabla de Verdad

2.1.3 Expresiones Booleanas

Desarrollada por George Boole, una expresión booleana es la interpretación de


un Logigrama, es decir, es una función lógica.

Por ejemplo si tenemos una compuerta AND de dos entradas en donde las
entradas son A, B y la salida esta denotada por la letra X. Se puede concluir la
siguiente expresión booleana: X=AB; así como si fuera una OR, seria X=A+B, y
así para expresar la salida de una compuerta NOT donde la entrada es A, será
X=Ᾱ, así de una expresión booleana podremos pasar a un Logigrama y viceversa.

pág. 13
EXPRESIONES BOOLEANAS

• Uso de variables booleanas (cuyos valores son 1 ó 0).

PROPIEDADES DE LAS EXPRESIONES BOOLEANAS

a) Formadas con variables booleanas

b) Valores de 1 (verdadero) ó 0 (falso)

c) Puede tener constantes booleanas (1 ó 0)

d) Puede tener operadores lógicos: AND (&, ^), OR (V) y NOT (¬, ‘, -, ~)

Multiplicación lógica: AND

xy = x ∙ y = (x)(y)

Suma lógica: OR

x+y

Complemento (negación): NOT

x’

e) Se puede obtener el resultado lógico de una expresión booleana aplicando las


tablas de verdad (valores de certeza)

f) Se puede aplicar la Ley de Morgan

LEYES DEL ÁLGEBRA BOOLEANA

1.- Existencia de neutros

x+0=x

x∙1=x

2.- Conmutatividad

x+y=y+x

x∙y=y∙x

3.- Asociatividad

x + (y + z) = (x + y) + z

pág. 14
x ∙ (y ∙ z) = (x ∙ y) ∙ z

4.- Distributiva

x + (y ∙ z) = (x + y) ∙ (x + z)

x ∙ (y ∙ z) = (x ∙ y) ∙ z

5.- Complementos

x + x’ = 1

x ∙ x’ = 0

2.2 DISEÑO DE CIRCUITOS COMBINACIONALES

Los circuitos lógicos para sistemas digitales pueden ser combinacionales o


secuenciales. Un circuito combinacional consiste en compuertas lógicas cuyas
salidas en cualquier momento están determinadas por la combinación actual de
entrada. Un circuito combinacional realiza una operación que se puede
especificar lógicamente con un conjunto de funciones booleanas.

Cuando el nivel de salida deseado en un circuito lógico está determinado para


todas las condiciones de entradas posibles, los resultados se pueden representar
convenientemente en una tabla de verdad. Entonces la expresión booleana para
el circuito requerido se puede derivar a partir de la tabla de verdad.

Un circuito combinacional consiste en variables de entrada, compuertas lógicas y


variables de salida. Las compuertas lógicas aceptan señales de las entradas y
generan señales para las salidas. Este proceso transforma información binaria, de
los datos de entrada dados a los datos de salida requeridos. En la figura siguiente
se presenta un diagrama de bloques de un circuito combinacional. Las n variables
binarias de entrada provienen de una fuente externa; las m variables de salida
van a un destino externo.

Cada variable de entrada y de salida existe físicamente como una señal binaria
que representa 1 lógico y 0 lógico. En muchas aplicaciones el origen y el destino
son registros de almacenamiento. Si los registros se incluyen con las puertas
combinacionales, el circuito total se considera como un circuito secuencial.

En el análisis de un circuito combinacional comenzamos con un diagrama lógico y


procedemos hasta una descripción formal de la función que realiza el circuito, tal
como una tabla de verdad o una expresión lógica. En la síntesis hacemos lo

pág. 15
contrario, comenzamos con una descripción formal y procedemos hasta un
diagrama lógico.

Con n variable de entrada, hay 2n posibles combinaciones de entrada binaria.


Para cada una de esas combinaciones, hay un posible valor de salida. Por tanto,
es posible especificar un circuito combinacional con una tabla de verdad que
presenta los valores de salida para cada combinación de variables de entrada.
También es factible describir un circuito combinacional con m funciones
booleanas, una para cada variable de salida. Cada función de salida e expresa en
términos de la n variables de entrada.

Hay varios circuitos combinacionales que se usan ampliamente en el diseño de


sistemas digitales. Estos circuitos pueden conseguirse en circuitos integrados y
se clasifican como componentes estándar. Efectúan funciones digitales
especificas que se necesitan a menudo en el diseño de sistemas digitales. En
este tema se presentan los circuitos combinacionales estándar más importantes,
como los sumadores, restadores, comparadores, decodificadores, codificadores y
multiplexores.

Estos componentes se fabrican como circuitos MSI (de integración a mediana


escala), y también se usan como celdas estándar en circuitos VLSI complejos
como los circuitos integrados para aplicaciones específicas (ASIC). Las funciones
de celdas estándar se interconectan dentro del circuito VLSI del mismo modo que
se usan en el diseño MSI de múltiples CI.

Fig. Diagramas de bloques en un circuito


combinacional

2.2.1 Metodología de diseño


El diseño de circuitos combinacionales parte de la especificación del problema y
culmina en un diagrama lógico de circuitos o un conjunto de funciones booleanas
a partir de las cuales se puede obtener el diagrama lógico. El procedimiento
implica los pasos siguientes:

pág. 16
1. Deduzca la tabla de verdad que define la relación requerida entre las
entradas y las salidas.

2. Obtenga las funciones booleanas simplificadas para cada salida en función


de las variables de entrada.

3. Dibuje el diagrama lógico y verifique que el diseño sea correcto.

La tabla de verdad de un circuito combinacional consta de columnas de entrada y


columnas de salida. Las columnas de entrada se obtienen de los 2 n número
binarios para la n variable de entrada. Los valores binarios de las salidas se
deducen de las especificaciones planteadas. Las funciones de salida
especificadas en la tabla de verdad dan la definición exacta del circuito
combinacional.

Es importante interpretar correctamente las especificaciones verbales en la tabla


de verdad. Tales especificaciones suelen ser incompletas, y cualquier
interpretación errónea podría dar pie a una tabla de verdad incorrecta.

Las funciones binarias de salida enumeradas en la tabla de verdad se simplifican


con cualquier método disponible, como manipulación algebraica, el método de
mapa o un programa de simplificación para computadora. En muchos casos
habrá diversas expresiones simplificadas para escoger. En cada aplicación dada,
ciertos criterios servirán como guía para escoger una implementación.

La obtención de la función de conmutación a partir de unas especificaciones no


tiene una metodología establecida sino que depende de la pericia del diseñador y
de la precisión de las especificaciones dadas.

Se utilizan las siguientes técnicas de diseño en:

 La forma canónica de las funciones: Es el producto de sumas o la suma de


productos, en los que en cas término aparecen todas las variables de la
función.

• Tipos:

o Mimterms o suma de productos lógicos.

Ejemplo: f=(A•Ḃ•C)+(A•Ḃ•C)+(Ᾱ•B•C)

o Maxterms o producto de sumas lógicas.

• Deducción de la forma canónica

pág. 17
o Apartir de una función canónica: Aplicando las leyes de Boole
toda función no canónica se puede pasar a Minterms o
Maxterms.

o A partir de la tabla de la verdad: De la Tabla de la Verdad de uan


función lógica se pueden deducir las formas canónicas de una
función.

 Deducción de la primera forma canónica o Mimterms a partir de la tabla de


verdad: Se suman todos los productos lógicos que tienen salidas “1” a la
variable directa.

 Deducción de la segunda forma canónica o Maxterms, a partir de la tabla


de verdad:Se multiplica todas las sumas lógicas que tiene salida “0” en la
tabla de verdad.

2.2.2 Minitérminos y maxitérminos

Una variable binaria podría aparecer en su forma normal (x) o en su forma


complementada (x´). Consiste ahora dos variables binarias x y y que se
combinan con una operación AND. Puesto que cada variable podría aparecer en
cualquiera de sus formas, hay combinaciones posibles: xý, xý, xy´ y xy. Cada uno
de estos cuatro términos AND es un minitérmino o producto estándar.

pág. 18
Minitérminos Maxitérminos

X Y Z Términos Designación Términos Designacion

0 0 0 xý´z´ m0 x+y+z M0

0 0 1 xý´z m1 x+y+z´ M1

0 1 0 xýz´ m2 x+y´+z M2

0 1 1 xýz m3 x+y´+z´ M3

1 0 0 xy´z´ m4 x´+y+z M4

1 0 1 xy´z m5 x´+y+z´ M5

1 1 0 xyz´ m6 x´+y´+z M6

1 1 1 xyz m7 x´+y´+z M7

Tabla: Minitérminos y Maxitérminos para tres variables

De manera similar, podemos combinar n variables para formar 2n minitérminos.


Esto podrían obtenerse con un método similar al que se encuentra en la tabla
anterior para tre variables. Se enmuran los números binarios de 0 a 2n -1 bajo las
n variables. Cada minitérmino se obtiene de un término AND de las n variables,
poniendo un apóstrofo a cada variable si el bit correspondiente del número binario
es un 0 y sin apóstrofo si es 1. En la tabla también se muestra la simbología para
cada minitérmino designado.

Asimismo, n variable que forman un término OR, donde cada variable puede tener
apóstrofo o no, dan pie a 2n posibles combinaciones, llamadas maxitérminos o
sumas estándar.

Otro concepto de los términos serian:

Un término producto en el que aparecen todas las variables sólo una vez de
forma complementada o no se llama minitérmino. Una variable se encuentra
complementada cuando su valor es 0. Cada minitérmino tiene la característica de
que tiene un valor de 1 para la combinación de variables y un valor de 0 para
todas las demás. El símbolo utilizado para designar un minitérmino es mj donde j
denota el equivalente decimal de la combinación binaria.

pág. 19
Para su parte, un maxitérmino es un término de suma que contiene a todas las
variables de forma complementada o no, además de tener un valor todas las
variables de forma complementada o no, además de teer un valor de 0 para la
combinación dada y un valor de1 para todas las demás. Una variable se
encuentra completada cuando su valores 1. Los maxitérminos se denotan con el
símbolo Mj donde al igual que los minitérminos la j es el equivalente decimal de la
combinación binaria.

Suma de Miniterminos:

Como sabemos cualquier función booleana puede expresarse como una suma de
miniterminos. La suma de estos elementos que son los que definen una función
booleana son aquelloe que dan los 1’s de la función en una tabla de verdad.

Algunas veces es conveniente expresar la función booleana en la forma de suma


de miniterminos. Si no puede hacerse en esta forma entonces puede realizarse
primero por la expansión de la expresión en una suma de los términos AND.

Producto de los maxitérminos.

Para expresar una función booleana como un producto de maxitérminos, primero


debe llevarse a una forma de términos OR.

2.2.3 Técnicas de simplificación

Una vez obtenida la expresión para un circuito lógico, podemos reducir a una
forma más simple que contenga menos términos, o menos variables en uno o
más términos. La nueva expresión se puede usar para implementar un circuito
que sea equivalente al circuito original, pero que tenga menos compuertas y
conexiones.

pág. 20
En la siguiente figura se muestra como ambos circuitos realizan la misma lógica,
es obvio que el circuito más simple es el mejor porque contiene menos
compuertas y entonces será más pequeños y barato que el original. Además, la
confiabilidad del circuito mejorará debido a que hay menos interconexiones que
pueden ser fallas potenciales de circuitos.

Fig. Circuito Lógico

Criterio: Debemos de obtener una función que tenga un número de términos y


con el menor número de posible de variables.

Los diferentes métodos para simplificar las funciones booleanas, se pueden


resumir en:

Métodos algebraicos

Métodos numéricos, como el método de Quine-McCluskey

Método gráfico de Karnahugh

Método Algebraico: La simplificación matemática no es nada sistemática y por lo


tanto, dependerá de la habilidad del diseñador, además el proceso se dificulta
enormemente para funciones como más de tres variables, no siendo posible
asegurar que el resultado obtenido sea una expresión irreducible.Método de
Tabulación de QUINE-Mc CLUSKEY: Se utiliza para simplificar funciones que
tienen cinco o más de cinco variables.

2.2.3.1 Teoremas y postulados del algebra de Boole

El álgebra de Boole fue desarrollado por George Boole (de ahí su nombre) a
mediados del siglo XIX. Es un principio que solo se aplicaba a los razonamientos
lógicos que admitían sólo dos posibilidades; si, no, es cierto, falto, etc. En 1938,
Claus Shannon la adoptó y se extendió su aplicación a los circuitos de
conmutación, ya que éstos también operan sólo con dos estados: contacto

pág. 21
abierto, contacto cerrado, relé activo, relé desactivado, lámpara encendida,
lámpara apagada, etc.

El álgebra de Boole es el soporte matemático de los sistemas digitales,


que,

Fig. Simbología normalizada de la función inversión

Como ya se sabe, se basa en sólo tres tipos de operaciones: suma, producto y


complementación. Opera con variables que sólo puede tomar dos estados, por lo
cual también es conocida como álgebra binaria. Se basa en una serie de reglas
sencillas, que se suelen conocer por postulados, propiedades, teoremas o
simplemente leyes del álgebra de Boole.

La peculiaridad del álgebra de Boole es precisamente esta característica de


operaciones con elementos que sólo pueden tomar dos estados, elementos
binarios.

Normalmente, como ya se sabe, los dos estados con que opera se expresan con
los dígitos 0 y 1, que no expresan cantidades si no estados de un dispositivo.

Variable binaria: Se entiende por variable binaria algo que puede tomar sólo dos
estados.

Función Lógica: Una función lógica es también una variable binaria, cuyo estado
depende de otras variables binarias relacionadas por medio de operaciones
lógicas.

pág. 22
Tabla de verdad: la tabla de verdad expresa el estado lógico de la función
correspondiente a cada una de las diferentes combinaciones que se pueden dar
con las variables. El número de combinaciones, N que se pueden dar con n
variables es: N=2n

Expresión lógica: La representación algebraica de una función lógica es lo que se


llama expresión lógica.

Un ejemplo práctico de función lógica es la que realiza el circuito de la figura


anterior b). La bombilla constituye la variable binaria de salida, que es función de
la operación entre los tres interruptores; la bombilla sólo se encenderá cuando el
interruptor A esté activado y también B o C. Esto tiene por expresión lógica:

f=A (B+C)

El álgebra de Boole difiere de manera importante el álgebra ordinaria en que las


constantes y variables Booleanas sólo pueden tener dos valores posibles, 0 y 1.
Una variable booleana es una cantidad que puede, en diferentes ocasiones, ser
igual a 0 o a 1. Las variables booleanas se emplean con frecuencia para
representar e nivel de voltaje presenten un alambre o en las terminales de
entrada y salida de un circuito.

0 LÓGICO 1 LÓGICO

Falso Verdadero

Desactivado Activado

Bajo Alto

No Si

Interruptor Abierto Interruptor Cerrado

pág. 23
Así pues, el 0 y el 1 booleana no representan número si no que en su lugar
representan el estado de una variable de voltaje o bien de lo que se conoce como
su nivel lógico. Se dice que un voltaje digital en un circuito digital se encuentren
en el nivel lógico 0 o en el 1, según su valor numérico real. En el campo de la
lógica Digital se emplean otros términos como sinónimos de 0 y 1. Algunos de los
más comunes se presentan en la tabla siguiente.

El álgebra booleana se utiliza para expresar los efectos que los diversos circuitos
digitales ejercen sobre las entradas lógicas y para manipular variables lógicas con
objeto de determinar el mejor método de ejecución de cierta función de un
circuito.

Teoremas de Algebra de Boole:


El teorema (1 enuncia que, si cualquier variable se opera con AND con un 0, el
resultado tiene que ser cero. Esto es fácil de recordar porque la operación AND
es como la multiplicación ordinaria, en la que cualquier número que se
multiplique por 0 es 0. La salida de la compuerta AND será cero siempre que
cualquier entrada sea 0, independientemente del nivel de la otra entrada.

El teorema (2) también evidente por su comparación con la multiplicación


ordinaria.

El teorema (3) se puede demostrar ensayando cada caso. Si x=0, entonces 0•0=0;
si x=1, entonces 1•1=1. Así x•x=x.

El teorema (4) se puede probar en la misma forma. Sin embargo, también puede
razonarse que en cualquier momento, x o su inversa, tiene que estar en el nivel 0,
d modo que su producto AND siempre tiene que ser 0.

El teorema (5) es directo ya que 0, sumado a cualquier número, no altera su valor


en la suma común o en la adición OR.

El teorema (6) afirma que, si cualquier variable se opera con OR con1, el


resultado siempre será 1, sin importar qué valor tenga la otra.

El teorema (7) puede demostrase verificando los valores de x: 0+0=0 y 1+1=1.

El teorema (8) se puede probar en forma similar, o simplemente se puede razonar


que en cualquier instante x o su inversa tiene que estar en el nivel 1, de manera
que siempre operemos con OR un 0 y un 1, que siempre da como resultado 1.

pág. 24
Antes de representar más teoremas, debemos indicar que al aplicar los teoremas
de (1) al (8), la variable x en realidad puede representar una expresión que
contiene más de una variable.

Los teoremas (9) y (10) se denominan leyes conmutativas. Estas leyes indican
que no importa el orden en que operamos dos variables con OR y AND; el
resultado es el mismo.

Los teorema (11) y (12) son las leyes asociativas,las cuales afirman que podeos
agrupar las variables en una expresión AND o en una OR en la forma que se
desee.

Teorema (13) es la ley distributiva, la cual afirma que una expresan puede
desarrollarse multiplicando términos a términos, como en el álgebra ordinaria.
Este teorema indica así mismo que podemos factorizar una expresión.

Los teoremas (9) y (13) se pueden recordar fácilmente y son de uso sencillo, ya
que son idénticos a los del álgebra ordinaria. Cada uno se puede demostrar
ensayando todos los casos posibles para x y y. Para el caso (14) como sigue:

Caso 1: Para x=0, y=0, x+xy=x

0+0•0=0

0=0

Caso 2: Para x=0, y=1. x+xy=x

0+0•1=0

0+0=0

0=0

Caso 3: Para x=1, y=0. x+xy=x

1+1•0=1

1+0=1

1=1

Caso 4: Para x=1, y=1. x+xy=x

1+1•1=1

1+1=1

pág. 25
1=1

El teorema (14) también se puede demostrar factorizando y usando los teoremas


(6) y (2) como sigue: x =xy=x(1+y)

Todos estos teoremas booleanos pueden ser de utilidad para simplificar una
expresión lógica; es decir, para reducir el número de términos de la expresión.
Cuando se hace esto, la expresión reducida producirá un circuito menos complejo
que el que la expresión original habría generado.

Postulados Fundamentales
Los postulados que siguen, también conocidos por identidades y teoremas, a
pesar de su gran simplicidad, se puede deir que constituye las reglas
fundamentales del álgebra de Boole, y son la base de la siplificación de las
funciones lógicas y, por tanto, de los circuitos.

Postulado 1. Tipo suma

La suma de una variable con 0 es igual a la variable:

A+0=A

pág. 26
Fig. Circuito Eléctrico Correspondiente a la función lógica
f= A (B+C)
Postulado 2. Existencia de Neutros. Existen en B el elemento neutro de la
suma, denominado O y el neutro de la multiplicación, denominado 1, tales que
para cualquier elemento x de s:

(a)x + 0=x (b) x•1 = x

Postulado 3. Conmutatividad. Para cada x, y en B:

(a)x + y = y+x (b) x•y = y•x

Postulado 4. Asociatividad. Para cada x, y, z en B:

(a) x+ (y•z) = (x+y) • (x+z) (b) x• (y+z) = (x•y) + (x•z)

Postulado 5. Distributividad. Para casa x, y, z en B:

(a) x + (y•z) = (x+y) • (x+z) (b) x•(y+z) = (x•y) + (x•z)

Postulado 6. Existencia de Complementos. Para cada x en B existe un


elemento único denotado (también denotado x’), llamado complemento de x
tal que.

(a) +x=1 (b) •x=0

2.2.3.2 Mapas Karnaugh

En 1952 E. W. Veitch definió un método gráfico para simplificar funciones


booleanas, basándose en un diagrama propuesto anteriormente por el arqueólogo
inglés A. Marquand. Posteriormente, el ingeniero de telecomunicaciones
estadounidense Maurice Karnaugh, completó el método de Veitch en 1953,
cuando trabajaba en los laboratorios Bell. La ventaja del método de Karnaugh se

pág. 27
basa en la capacidad del cerebro humano para trabajar mejor con formas graficas
que con ecuaciones.

Un mapa de Karnaugh es una representación gráfica de una tabla de verdad de


la función lógica.El mapa para una función lógica de n entradas es un arreglo con
2n celdas, una por cada posible combinación de entrada o minitérminos.

Los renglones y columnas de un mapa de Karnaugh se etiquetan de tal modo que


la combianción de entrada para cualquier celda se determina fácilmente de los
encabezados de renglón y columna para esa celda. El pequeño número dentro de
cada celda es el correspondiente número de minitérminos en la tabla de verdad,
suponiendo que las entradas de la tabla de verdad se encuentran etiquetadas
alfabéticamente de izquierda a derecha (por ejemplo, X, Y, Z) y los renglones
estén numerados en orden de conteo binario.

Cuando se dibuja el mapa de Karnaugh para una función dada, cada celda del
mapa contiene la información del renglón numerado de la tabla de vedad de la
función: un 0 si la función es 0 para esa combinación de entrada, o un 1 de otro
modo.

Cuando dibujamos un mapa a mano, es mucho más fácil dibujar los corchetes
que escribimos todas las etiquetas. Sin embargo, conservamos las etiquetas en
los mapas de Karnaugh del texto como una ayuda adicional para el aprendizaje.

En cualquier caso, debe estar seguro de etiquetar los renglones y columnas en el


orden adecuado para mantener la correspondencia entre las celdas del mapa y
los números de renglón de la tabla de verdad en seguida.

Para representar una función lógica sobre un mapa de Karnaugh, simplemente


copiamos los unos y los ceros de la tabla de verdad o equivalente a las
correspondientes celdas del mapa. La figura (a) y (b) muestran la tabla de verdad
y el mapa de Karnaugh para una función lógica.

X Y Z F

0 0 0 0
0 0 1 1
0 1 0 1
0 1 1 0
1 0 0 0
1 0 1 1
1 1 0 0
1 1 1 1

(a) Tabla de Verdad

pág. 28
pág. 29
(c) Combinación de Celda
adyacente

La representación de funciones booleanas expresadas en forma de productos de


(b) Mapa de
sumas en mapas de Karnaugh sigue el razonamiento dual del que se ha realizado
Karnaug
en la representación gráfica de sumas de productos.

Así, se definirá celdas -0 como la celda del mapa de karnaugh que contiene un
cero de la función booleana.

De esta forma, la representación gráfica de una función booleana en producto de


maxiterminos corresponderá a una aplicación biunívoca entre cada celda-0 del
mapa de karnaugh y su correspondiente maxiterminos de la función booleana.

pág. 30
Para simplificar una función lógica por el método de Karnaugh se llevan a cabo
los siguientes pasos:

1. Se dibuja el diagrama correspondiente al número de variables de la función a


simplificar.

2. 2. Se coloca un 1 en los cuadros correspondientes a los términos canónicos


que forman parte de la función en el caso de los minitérmino, mientras que
cuando se trabaja con maxitérminos se pone un 0.

3. Se agrupan mediante lazos los 1 de casillas adyacentes siguiendo


estrictamente las siguientes reglas:

a) Dos casillas son adyacentes cuando se diferencian únicamente en el estado de


una sola variable.

b) Cada lazo debe contener el mayor número de 1 posibles, siempre que dicho
número sea potencia de 2 (1, 2, 4, etc.).

c) Los lazos pueden quedar superpuestos y no importa que haya cuadrículas que
pertenezcan a dos o más lazos diferentes.

d) Se debe tratar de conseguir el menor número de lazos con el mayor número de


1 posibles.

4. La función simplificada tendrá tantos términos como lazos posea el diagrama.


Cada término se obtiene eliminando la o las variables que cambien de estado en
el mismo lazo.

En las siguientes figuras se muestran los gráficos para simplificar funciones de


dos tres y cuatro variables representativamente. Los más utilizados son los de
tres y cuatro variables:

Fig. Mapas de Karnaugh para 2, 3 y 4

pág. 31
En resumen el proceso del mapa de Karnaugh tiene varia ventajas sobre el
método algebraico. El mapa de Karnaugh es un proceso más ordenado con
etapas bien definidas en comparación con el proceso de ensayo y error que se
utiliza en la simplificación algebraica. El mapa Karnaugh por lo general requiere
de menos etapas, especialmente para expresiones que contiene muchos término,
y siempre produce una expresión mínima.

Existen otras técnicas más complejas que utilizan los diseñadores para minimizar
el tamaño de los circuitos lógicos. Estas técnicas son adecuadas en especial para
circuitos con un gran número de entradas donde no es factible el empleo de
métodos algebraicos y de mapa de Karnaugh.

pág. 32
2.2.4 Implementación y aplicación de circuitos combinacionales.

La implementación de un sistema combinacional consiste en traducir el enunciado


de un problema concreto a variables y funciones booleanas cuya tabla de verdad
permita encontrar un circuito lógico. Usando álgebra de Boole es posible obtener
una gran variedad de equivalencias entre símbolos de puertas lógicas y
diagramas de alambrado de circuitos lógicos.

Un circuito combinacional, como su nombre lo sugiere es un circuito cuya salida


depende solamente de la "combinación" de sus entradas en el momento que se
está realizando la medida en la salida.

Analizando el circuito, con compuertas digitales, que se muestra (ver el diagrama)


se ve que la salida de cada una de las compuertas que se muestran, depende
únicamente de sus entradas.

Los circuitos de lógica combinacional son hechos a partir de las compuertas


básicas compuerta AND, compuerta OR, compuerta NOT.

También pueden ser construidos con compuertas NAND, compuertas NOR,


compuerta XOR, que son una combinación de las tres compuertas básicas.

Ejemplo de ecuación booleana:

F = A. B + A. B

Implementando por "1":

S = a' ∙ b + a ∙ b' = a Å b

C=a∙b

La suma S responde a una función OR-exclusiva y el acarreo C a una

función AND. Si no deseamos utilizar la puerta OR-Exclusiva por su coste


superior, el semisumador se puede implementar de la siguiente forma:

Implementando por "0": S = (a+b) ∙ (a'+b') =

= ((a+b) ∙ (a'+b'))' ' = ((a+b)' + (a'+b')')' = ((a+b)’ + (a∙b))' =

= (a+b) ∙ (a∙b)'

C=a∙b

pág. 33
De esta forma obtenemos un circuito mucho más simple.

La expresión aceptada como óptima, debe ser implementad. Aquí es donde


interviene el tipo de lógica a utilizar. La más directa es la lógica AND –OR. Sin
embargo, de esta a las lógicas NAND o NOR el paso es muy fácil recordando las
reglas mencinadas en el caso de circuitos combinacionale.

Implementación con puertas NAND:


1. Obtener la función como suma de productos.
2. Realizar el circuito con puertas AND y OR.
3. Cambiar todas las puertas a NAND.
4. Complementar todas las variables que entran en el circuito en un nivel
impar.

Implementación con puertas NOR:


1. Obtener la función como producto de sumas.
2. Realizar el circuito con puertas AND y OR.
3. Cambiar todas las puertas a NOR.
4. Complementar todas las variables que entran en el circuito en un nivel
impar.

Aplicaciones de los circuitos combinacionales


• Codificadores
• Multiplexores
• Decodificadores y Demultiplexores
• Decodificadores excitadores.
• Generadores comprobadores de paridad
• Comparadores Binarios
• Circuitos sumadores
• Circuitos restadores.

Codificadores: Tiene varias líneas de entrada, sólo una de las cuales se activa en
un momento dado, y produce un código de salida de N bits, según la entrada que
se active. Un codificador de octal a binario ( o codificador de 8 a 3 línea) lleva a
cabo la función opuesta; acepta ocho línea de entrada y produce un código de
salida de tres bits que corresponde a las entrada activa.

pág. 34
Fig. Diagrama General de
Decodificador

Multiplexores: Un multiplexor o selector de datos es un circuito lógico que acepta


varías entradas de datos y permite sólo a una de ellas alcanzar la salida. La
dirección deseada de los datos de entrada hacia la salida es controlada por
entradas de SELECCIÓN (que algunas veces se conocen como entradas de
DIRECCIÓN). En el dibujo siguiente se muestra el diagrama funcional de un
multiplexor general (MUX). En este diagrama las entradas y salidas se trazan
como flechas anchas en lugar de líneas, esto indica que éstas pueden ser una o
más líneas de señales.

El multiplexor actúa como un


Fig. Diagrama Funcional de Multiplexor
interruptor de posiciones múltiples
Digital MUX
controlado digitalmente, desde el
código digital que e aplica a las entradas
de SELECCIÓN controla qué entradas
de datos serán trasladadas hacia la
salida.
Otros tipos de multiplexores son los
siguientes:
Multiplexor básico de
dos entradas
Multiplexor de cuatro entradas
Multiplexor de ocho entradas
Multiplexor cuádruple de dos entradas (74157/LS157/HC157)

Decodificadores y Demultiplexores: Se utiliza un decodificador/manejador de BCD


a 7 segmentos para tomar una entrada BCD de cuatro bits y dar las salidas que
pasarán corriente a través de los segmentos indicados para presentar el dígito

pág. 35
decimal. La lógica de este decodificador es más complocada que la que
analizamos anteriormente, debido a que cada salida es activada para más de una
combinación de entrada.

Un demultiplexor efectúa la operación contraria; toma una sola entrada y


distribuye en varias salidas. La figura muestra el diagrama general de un
demultiplexor (DEMUX). Las flechas grandes que corresponden a entradas y
salidas pueden representar a una o más líneas.
En otras palabras, el demultiplexor toma una fuente de datos de entrada y la
distribuye selectivamente a uno de N canales de salida, igual que una interruptor
de posiciones múltiples.

Fig. Demultiplexor General

Otros demultiplexores son:

Demultiplexor de 1 a 8 líneas

Demultiplexor de reloj

2.3 Lógica Secuencial

Los elementos de memos usados en los circuitos secuenciales temporizados se


llaman flip-flops. Estos circuitos son celdas binarias capaces de almacenar un
bit de información. Un circuito flip-flop tiene dos entradas una para el valor normal
y uno para el valor complemento del bit almacenado en el. La información binaria
puede entrar a un flip-flop en una velocidad de formas, hecho este, que determina
diferente tipos de flip-flops y se definen sus propias lógicas.

pág. 36
Los biestables y los flip- flops son los bloques constitutivos de la mayoría de los
circuitos secuenciales. Los sistemas digitales típicos usan biestables y flip- flops
que son dispositivos pre empaquetados y especificados de manera funcional en
un circuito integrado estándar. En el ambiente ASIC (Application Specific
Integrated Circuit), los biestables y los flip- flops son celdas típicamente
predefinidas especificadas por el vendedor de ASIC. Sin embargo, dentro de un
IC Integrated Circuit) estándar o un ASIC, cada biestable o flip- flop está diseñado
como un circuito secuencial retroalimentado mediante compuertas lógicas
individuales y lazos de retroalimentación.

Los contadores son circuitos secuenciales que cambian de estado ante cambio de
una señal de entrada evolucionando cíclicamente entre un número concreto de
estados. En los contadores síncronos la señal que marca el cambio de estado es,
básicamente, la señal de reloj. Existen muy variados tipos de contadores para
aplicaciones muy diversas aplicaciones.

Los circuitos secuénciales, de la misma forma que los combinacionales, están


constituidos por puertas lógicas, y como en estos últimos, la escala de integración
de la mayoría de los circuitos disponibles por los fabricantes en es la MSI

El concepto de circuito secuencial es una expresión que se aplica a aquellos


circuitos lógicos en los que sus valores en el estado presente dependen del
estado anterior y a su vez condicionan los del estado siguiente

2.3.1 Flip- flop con compuertas

En circuito flip- flop puede mantener un estado binario infinitamente (siempre y


cuando se esté suministrando potencia a este circuito) hasta que se cambie por
una señal de entrada para cambiar estados. La principal diferencia entre varios
tipos de flip-flops es el número de entradas que poseen y la manera en la cual las
entradas afectan al estado binario.

pág. 37
Un flip-flop es un circuito con una salida Q que está en uno de dos estados
posibles, que designamos por 0 y 1, estando determinada Q por una o más
entradas o sucesión de señales de entrada de manera apropiada. Los flip-flop se
denominan, en castellano, multivibradores biestables, binarios o interruptores de
presión y se utilizan mucho como bloques constitutivos en los circuitos
contadores, registros por desplazamiento y almacenamiento, y circuitos de
control. Entre las múltiples aplicaciones adicionales se cuentan la decodificación,
comparación y funciones de temporización, incluidas la división de frecuencia y la
generación de pulsos de reloj multifasicos para redes MOS dinámicas.

La salida de un flip-flop está relacionada con la entrada que se aplicó en cierto


momento y esta señal lógica se puede almacenar temporal o permanentemente
aun cuando varié la entrada. El control de datos hacia adentro o hacia afuera de
un flip-flop se logra por medio de pulsos de reloj y puertas de transmisión. El flip-
flop constituye un ejemplo de circuito secuencial, que se defina como un circuito
en el cual la señal lógica a la salida depende de cierta secuencia de señales.

2.3.2 FLIP-FLOP JK, SR, D

FLIP- FLOP JK

Un flip-flop JK es un refinamiento del flip-flop RS ya que el estado indeterminado


del tipo RS se define en el tipo JK. Las entradas J y K se comportan como las
entradas R y S para poner a uno o cero (set o reset) al flip-flop (nótese que en el
flip-flop JK la entrada J se usa para la entrada de puesta a uno y la letra K para la
entrada de puesta a cero). Cuando ambas entradas se aplican a J y K
simultáneamente, el flip-flop cambia a su estado de complemento, esto es, si Q=1
cambia a Q=0 y viceversa.

La salida Q se aplica con K y CP a una compuerta AND de tal manera que el flip-
flop se ponga a cero (clear) durante un pulso de reloj solamente si Q fue 1
previamente. De manera similar la salida Q´ se aplica a J y CP a una compuerta

AND de tal manera que el flip-flop se ponga a uno con un pulso de reloj,
solamente si Q´ fue 1 previamente.

Como se muestra en la tabla característica de la figura, el flip-flop JK se comporta


como un flip-flop RS excepto cuando J y K sean ambos 1. Cuando J y K sean 1,
el pulso de reloj se transmite a través de una compuerta AND solamente; Aquella
cuya entrada se conecta a la salida del flip-flop la cual es al presente igual a 1.

pág. 38
Así, si Q=1, la salida de la compuerta AND superior se convertirá en 1 una vez
que se aplique un pulso de reloj y el flip-flop se ponga a cero. Si Q´=1 la salida de
la compuerta AND se convierte en 1 y el flip-flop se pone a uno. En cualquier
caso, el estado de salida del flip-flop se complementa.

Fig. Flip-flop jk Q’

Es un dispositivo de almacenamiento temporal de dos estados (alto y bajo),


cuyas entradas principales, J y K, a las que debe el nombre, permiten al ser
activadas:

• J: Puesta a uno (set en inglés), pone a 1 ó nivel alto de la salida.


• K: limpiado o puesta a cero (reset en inglés), pone a 0 ó nivel bajo de la
salida.

Si no se activa ninguna de las entradas, el biestable permanece en el estado que


poseía tras la última operación de borrado o grabado. A diferencia del biestable
RS, en el caso de activarse ambas entradas a la vez, la salida adquirirá el estado
contrario al que tenía.

La ecuación característica del biestable JK que describe su comportamiento es:

Q siguiente = JQ’+K’Q

pág. 39
pág. 40
K Q(t+1)

0 0 Q(t) Sin cambio


0 1 0 Restablecer
1 0 1 Establecer
1 1 Q’(t) Complementar

Tabla 4.3 Tabla de excitación del FF tipo JK

Flip-flop JK activo por flanco

Junto con las entradas J y K existe una entrada C de sincronismo o de reloj cuya
misión es la de permitir el cambio de estado del biestable cuando se produce en
él un flanco de subida o de bajada, según sea su diseño. Su denominación es J-K
Flip-Flop disparado por flanco.

Flip-Flop tipo T
Símbolo normalizado: Biestable T activo por flanco de subida.

Dispositivo de almacenamiento temporal de dos estados (alto y bajo). El FF T


cambia de estado cada vez que la entrada de sincronismo o de reloj se dispara.
Si la entrada T está a nivel bajo, la báscula retiene el nivel previo. Puede
obtenerse al unir las entradas de control de un biestable JK, unión que se
corresponde a la entrada T.

La ecuación característica del biestable T que describe su comportamiento es:

Q siguiente= T o bien Q

pág. 41
Q Q siguiente
T
0 0 0

0 1 1

1 0 1

1 1 0

Tabla 4.3 Tabla de excitación del FF tipo T

FLIP- FLOP SR
Fundamentalmente, un cerrojo es una red biestable con una salida logicaQ
determinada por una o más entradas, cuyo estado se mantiene infinitamente
cuando se cortan las entradas de manera permitida, y el acoplamiento entrada-
salida es directo, si retardo de tiempo apreciable. Usualmente, una segunda
salida da el complemento Q de la salida.

El funcionamiento es asíncrono, porque Q cambia siempre que los estados


lógicos de entrada tengan tengan ciertos valores concretos. El cerrojo RS tiene
dos entradas y el estado de salida Q depende de las combinaciones posibles de
las entradas.

a)
b

pág. 42
Fig. Red NI de dos etapas y característica de transferencia .a) Red NI de dos
etapas b) característica estática.

En la figura “ a” se ha representado una red NI de dos etapas. Como las rotuladas


R y S son cero, la red con el interruptor S abierto es, en realidad, una
configuración inversora de dos etapas.

En el estado de salida es el de la entrada. La característica de transferencia de


tensión estática de la figura “b” es cada una de combinación de las características
de transferencia de dos inversorsores en cascada. Supongamos ahora que se
quita la señal de entrada y se conecta al terminal de la salida a la entrada
cerrando el interruptor S. Con ello se hace v1=v0 y la grafica de esta ecuación es
la recta de trazos de la figura “b”. Está claro que el punto de trabajo estático debe
ser uno de los tres puntos de intersección A, B o C.

En el punto de trabajo C es inestable. Supongamos que el funcionamiento


corresponde a C y que una tensión de ruido infinitesimal desplace ligeramente el
punto de trabajo. Las conexiones del circuito hacen que, en todo momento, sean
iguales v0 y v1. Por tanto, el desplazamiento mencionado tendrá lugar a lo largo
de la recta alejándose de C, variando v0 y v1 en la misma cantidad. Aun cuando
el funcionamiento ya no tenga lugar sobre la característica estática de
trasferencia, el hecho de que su pendiente dv0/dv1 en C sea mayor que la unidad
indica que la Variación de v1 tiende a producir una variación mayor v0. En
consecuencia, los transitorios hacen que el punto de trabajo instantáneo siga
moviéndose a lo largo de la recta de trazos alejándose de C hasta alcanzar una
condición estable en A o B.

a) b)

Fig. Cerrojo NIRS y tabla de verdad “a” Red, “b” Tabla de verdad

pág. 43
En A o en B, cualquier pequeño transitorio que desplace el punto de trabajo esta
contrarrestando por transitorios que se desarrollan dentro de las puertas. Ello se
debe a que la pendiente de la característica de transferencia en cada punto es
menor que la unidad. Así pues, hay dos salidas estables: la de A esta en baja y la
de B esta en alta. No hay certeza acerca del estado existente, el cual depende de
los parámetros de la red y los transitorios iníciales.

En todo flip-flop se utiliza cierta forma de cerrojo. Un circuito integrado llamado


cerrojo es el diseñado principalmente para almacenamiento de bits. Una
aplicación típica es la de registro de retención en un sistema multirregistro, en el
cerrojo de tipo D con el reloj solo tiene una entrada con datos de entrada
controlados por pulsos de reloj. Como la salida esta acoplada directamente a la
entrada, no existe el habitual retardo de un bit del flip-flop. Su utilización es,
principalmente, para almacenamiento temporal.

Los cerrojos RSpueden montarse interconectado adecuadamente dos puertas NI


o dos puertas NO.

Un circuito integrado CD4043A es un cerrojo RS CMOS cuádruple de tres


estados. Como un cerrojo almacena un bit, el CI proporciona almacenamiento que
deduzca un pulso de reloj, suponiendo que en un pulso denota un 1 lógico. En
ausencia de pulso reloj, las entradas RS no tienen efecto alguno y las salidas del
flip-flop son constantes. En el sistema digital, un reloj es un generador de pulsos
utilizando para sincronizar la temporización de los circuitos de conmutación.

Fig. Cerrojo PMOS RS

pág. 44
Cuando el pulso de reloj sube y baja a alta, se llevan las entradas RS al interior
del cerrojo y la salida Q podrá cambiar o no, según cuales sean los estados
lógicos de R y S.

Cuando el pulso de reloj cae el nivel de baja, no hay cambio porque las entradas
del cerrojo son ambas cero. Frecuentemente, los diseños son tales que la
operación del flip-flop tienen lugar en una transición negativa de pulso de reloj y
no es una positiva. La elección es optativa. Sin embargo, un flip-flop no puede
cambiar en los dos bordes de un pulso. El diseño no lo permite.

Muchas aplicaciones exigen una cascada de flip-flop diseñada de manera que los
bits almacenados se transmitan de uno al siguiente en un intervalo de tiempo
concreto.

Sin embargo cuando están en cascada flip-flop como se muestra en la figura


anterior se produce un problema de <<carrera>>. Como por ejemplo,
supongamos en cascada cuadro flip-flops que tengan un reloj en común, cada
uno con una salida Q de cero que alimente la entrada R de la etapa siguiente,
siendo CP=0.

A la entrada de la cascada supongamos que R es cero y que S es 1 cuando se


inicia un pulso de reloj largo en el tiempo cero.Supongamos además que todos
los estados conmutan durante un intervalo de tiempo t1.

Entonces en t1 todos los flip-flop habrán conmutado y cada salida Q es 1. En


cambio las entradas S de las tres últimas etapas son ahora ceros lógicos. Por
tanto en el ínstate 2t habrá conmutado por segunda vez dando unas salidas
respectivas, de 1, 0,0 y 0. En el tiempo 3t habrá vuelo a conmutar las dos últimas
etapas y las salidas serán 1, 0,1 y 1. Por último la etapa final conmuta en 4t a un
0 lógico y se alcanza el estado estacionario.

El análisis anterior se ha idealizado. Las distintas etapas no cambian de estado


simultáneamente y además, el pulso de reloj puede terminar antes de que se
alcance el estado estacionario. Por tanto, habrá una incertidumbre en los estados
lógicos.

Está claro que debemos de aislar los flip-flops de manera que los cambios de sus
estados tengan lugar de manera ordenada y controlada.

FLIP FLOP D

Otra configuración importante es el del tipo D, donde D significa delay. La salida


después des uso de reloj es igual a la entrada del pulso. Las entradas borrador-
presensibilidad y en el complemento Q de la salida son optativos. El flip-flop D

pág. 45
puede materializar a partir de un flip-flop JK conectando las entradas J y K,
sirviendo la conexión como entrada de datos. Cuando a la entrada del reloj se
aplican pulsos periódicos, la salida es igual a la entrada retardada en un pulso
reloj. Un cerrojo D temporizado se diferencia de un flip-flop D en que se elimina el
retardo de un bit. La red se diseña de manera que cuando el pulso del reloj
dispare la puerta, la salida se acople directamente a la entrada Dy Q es igual a D.

Fig. FLIP-FLOP D y de Alta


Se mantienen entonces en este estado la característ ica que dispare la puerta el
salida hasta
pulso siguiente. El reloj hace las veces, simplemente, de entrada habilitadora del
cerrojo. Tienen aplicaciones en los registros, especialmente para el
almacenamiento temporal de datos.

Fig. Cerrojo D temporizado CMOS

pág. 46
En esta figura tenemos el esquema lógico de un cerrojo D temporizado CMOS. La
puerta de transmisión TG2 pasa al corte y entonces TG1 se pone en
conducción, durante una subida de baja a alta del pulso de reloj. La razón que
pase al corte TG2 es para evitar la interacción de la salida en Q2 con la entrada
de datos. Cuando TG1 se pone en conducción el bit de entrada entra en el
cerrojo. Este bit almacenado aparece con muy poco retardo en el terminal Q de
salida que este separado. Los retardos de propagación de los inversores son
pequeños frente al periódico del reloj.

Los flip flops son utilizados en circuitos que requieren de una realimentación o de
una memoria, y la utilidad de estos radica en que realizan el cambio a un estado
siguiente en sincronismo con los pulsos de una señal de reloj, lo que no ocurre
con los biestables. Es por esto que nace la necesidad de tener flip flops, ya que
se pueden presentar cambios en el sistema de acuerdo con las entradas, pero al
mismo tiempo con una señal de reloj.

2.3.3 EL DISEÑO DE CIRCUITOS SECUENCIALES

El diseño de los circuitos secuencial con reloj parte de un conjunto de


especificaciones y culmina en un diagrama lógico o una lista de funciones
booleanas de la cual puede obtenerse el diagrama lógico. En contraste con los
circuitos combinacionales, que se especifican cabalmente con una tabla de
verdad, los circuitos secuenciales es la obtención de una tabla de estados o una
representación equivalente, como un diagrama de estados.

Un circuito secuencial síncrono consta de flip-flops y compuertas


combinacionales. El diseño del circuito consiste de escoger los flip-flops y luego
encontrar de puertas combinacionales que, junto con los flip-flops, produzca un
circuito que satisfaga las especificaciones planteadas. El número de flip-flops se
produce del número de estados que se requieren en el circuito. El circuito
combinacional se deduce de la tabla de estados evaluando las ecuaciones de
entrada y de salida de flip-flops. De hecho una vez determinados el tipo de y el
número de flip-flops. El proceso de diseño implica una transformación de un
problema de circuito secuencial a un problema de circuito combinacional. De este
modo, pueden aplicarse las técnicas del diseño de circuitos combinacionales. El
procedimiento para diseñar circuitos secuenciales síncronos se resumen en una
lista de pasos recomendados.

1.- Deduzca, de la descripción textual y las especificaciones del funcionamiento


deseado, un diagrama de estados para el circuito.

2.-Reduzca el número de estados si es necesario.

pág. 47
3.- Asigne valores binarios a los estados

4.- Obtenga la tabla de estados codificada en binario

5.- Escoja el tipo de flip-flops que se usaran

6.- Deduzca las ecuaciones simplificadas de entrada y de salida de los flip-flops.

7.- Dibuje el diagrama lógico

La especificación textual del comportamiento del circuito por lo regular supone


que el lector conoce la terminología de lógica digital . Es necesario que el
diseñador utilice intuición y experiencia para interpretar correctamente las
especificaciones del circuito , porque las descripciones textuales podrían ser
incorporadas y anexadas. Una vez establecida tal especificación , y habiendo
obtenido el diagrama de estados , será posible aplicar procedimientos conocidos
de síntesis para completar el diseño.

Aunque existen procedimientos formales para la reducción y asignación de


estados, los diseñadores experimentados casi nunca los usan.

Los pasos 4 a 7 se implementan con algoritmos exactos y por tanto pueden


automatizarse. La parte del diseño que sigue un procedimiento bien definido se
denomina síntesis.

Fig. Diagrama de estado para detector de


sucesiones

pág. 48
El primer paso es más difícil del diseño. Aquí mostraremos un ejemplo sencillo
para iluminar la forma de obtener un diagrama de estados apartar de le
especificación textual. Queremos diseñar que un circuito que detecte tres o más
unos consecutivos en una cadena de bits que llegan por una línea de entrada. El
diagrama de estados del circuito se muestra en la figura . Se obtiene partiendo del
estado So, si la entrada es cero , el circuito se presenta en el mismo estado pero
si es 1 , pasa al estado S1 para indicar que se detecto el 1.si la siguiente entrada
es 1, el cambio es al estado S2 , para indicar que han llegado dos unos
consecutivos pero si la entrada es cero volvemos al estado So. El tercer uno
consecutivo envía al circuito al estadoS3. Si se detectaban más unos, el circuito
permanecerá en S3 en tanto se hayan recibido tres o más unos consecutivos . Se
trata de circuito secuencial de modelo moore porque la salida es 1 cuando el
circuito esta en el estado S3 y 0 en los demás casos.

Ejemplo: Diseñar un circuito contador que siga la secuencia… 0, 2, 4, 8,… que


no tenga valor inicial ni valor final.

SOLUCION:

Para este problema en particular en que se requiere generar la secuencia 0,2,4,8


en binario, tendremos:

• Si existen valor inicial y valor final


R.- no existen

• Número de entradas de control


R.- no existen

• Numero de Estados requerido


R.- se necesitan 4 estados, para generar cada uno de los valores de 0, 2, 4 y 8

• Numero de FF a emplear
R.- se usaran 2 FF para generar los 4 estados necesarios.

• Numero de bits salidas


R.- Se definen X, Y, Z, y W como bits de salida

Para poder tener el mayor numero 810 = (1 0 0 0)2

• Determinar si será una máquina de estados tipo More o Mealy


R.- Para este ejemplo se propone usar una maquina tipo More, puesto que la
salida está directamente relacionada con el estado.

pág. 49
2.- Diagrama de estados (máquina de Moore)

3.- Construir Tabla de Verdad de diagrama propuesto y realizar la reducción de


ecuaciones.

Para este ejemplo la tabla de estados no contiene la columna de entradas por lo tanto el
tamaño de la tabla será de 22

Estado Estado Salidas Excitación


presente siguiente
A B A B XYZW DA DB
0 0 0 1 0 0 0 0 1
0 1 1 0 0 1 0
1 0 1 1 0 0 1 1 1
1 1 0 0 0 0 0
0 1 0
0
1 0 0
0

pág. 50
Fig. Del Ejemplo. Tabla de verdad

Del diagrama se observa que del estado 00 se va al 01 y que su salida es 0000,


del estado 01 se va al 10 con salida 0010, del 10 al 11 con 0100 de salida y
finalmente del 11 se va al 00 con salida 1000. Lo que se refleja en la tabla.

Finalmente en la columna de excitación se contempla el uso de FF tipo D por lo


que para DA y DB se pondrán los valores de A y B de la columna del estado
siguiente, pues recordemos que a los FF tipo D se les conoce también como de
espejo.

Las ecuaciones que se buscan son

X = AB Z = A’B

Y = AB’ W=0

DA = A’B + AB’

DB = A’B’ + AB

2.4 Aplicaciones de sistemas secuenciales

Como ya hemos comentado, los sistemas secuenciales forman un conjunto de


circuitos muy importantes en la vida cotidiana. En cualquier elemento que sea
necesario almacenar algún parámetro, es necesario un sistema secuencial. Así,
cualquier elemento de programación (o lo que es lo mismo, con más de una
función) necesita un sistema secuencial.

A modo de ejemplo, expondremos el caso de una máquina de refrescos. En esta


máquina iremos introduciendo monedas hasta alcanzar o sobrepasar el valor del
refresco que deseamos sacar. Por lo tanto, en este sistema se debe almacenar
una serie de datos, como pueden ser:

pág. 51
Los precios de los productos ofertados

Fig. Productos ofertados

Los Flips-flops son utilizados para hacer contadores, registros, son las bases de
las memorias actuales, aunque ya no los tengan físicamente incorporados.

Los contadores son circuitos secuenciales que cambian de estado ante cambio de
una señal de entrada evolucionando cíclicamente entre un número concreto de
estados. En los contadores síncronos la señal que marca el cambio de estado es,
básicamente, la señal de reloj. Existen muy variados tipos de contadores para
aplicaciones muy diversas aplicaciones.

En su visión básica un registro paralelo de n bits realiza la misma función que el


biestable D para 1 bit, es decir, ante pulso de reloj cambia el estado para que
refleje el valor de un conjunto de entradas. Dicho estado se mantiene hasta el
siguiente pulso de reloj. En la Figura siguiente se presenta un registro paralelo de
4 bits, ante flanco descendente de reloj, las variables de estado (y salida) Q0 a
Q3 toman los valores de las entradas D0 a D3.
A modo de ejemplo, expondremos el caso de una máquina de refrescos.
En esta máquina iremos introduciendo monedas hasta alcanzar o sobrepasar el
valor del refresco que deseamos sacar.
Por lo tanto, en este sistema se debe almacenar una serie de datos, como
pueden ser:
-Los precios de los productos ofertados.
-Estado de existencia de los mismos.
-Cantidad de dinero que hayamos introducido en la máquina hasta el momento.

Así, vemos que es necesario almacenar temporalmente una serie de datos, por lo
que nos encontramos ante un sistema secuencial.

Generadores de secuencias. En muchas ocasiones se utilizan un oscilador junto


con un contador para generar todas las señales de control que gobiernan un
sistema digital. (Lo veremos en problemas).

pág. 52
•Divisores de frecuencia. La capacidad de división es igual al módulo del
contador.

• Conversión de datos formato paralelo a serie. Para esta aplicación se utiliza el


contador junto con un multiplexor. Las salidas del contadores conectan a las
entradas de selección de canal del multiplexor, y en cada ciclo de reloj aparecerá
en la salida del multiplexor uno de sus canales de entrada.

•Implementación de sistemas secuenciales síncronos. Mediante un contador


podemos generar los estados internos necesarios para implementar cualquier
sistema asíncrono. (Lo veremos en problemas).

2.4 Familias lógicas

No todos los circuitos digitales están concebidos a partir del mismo dispositivo
electrónico base, y aunque esto ocurra, pueden tener distintas características,
dando lugar a las llamadas familias lógicas. Por ejemplo, las familias TTL y ECL
están basadas en el transistor de efecto de campo (MOSFET). Esto va a hacer
que cada familia tenga una característica de tensión y corriente propias, por lo
que la conexión entre familias no van a ser siempre posible.

Los primeros circuitos lógicos controlados eléctricamente, desarrollados en los


Laboratorios Bell en la década de 1930, estaban basados en relevadores. A
mediados de la década de 1940, la primera computadora digital electrónica, la
ENIAC, utilizaba circuitos lógicos basados en tubos de vacío.

Los circuitos integrados digitales se clasifican no sólo por su funcionalidad, sino


también por la tecnología se conoce como la familia lógica digital. Cada una de
las familias tiene sus propios circuitos electrónicos digitales básicos (NAND, NOR,
NOT), a partir de los cuales se desarrollan otros circuitos digitales y funciones
más complejas.

Las familias lógicas de circuitos integrados más importantes son:

RTL (Resistor-Transistor Logic).

DTL (Diode-Transistor Logic).

TTL (Transistor-Transistor Logic).

ECL (Emitter-Coupled Logic).

pág. 53
MOS (Metal-Oxide Semiconductor).

CMOS (Complementary Metal-Oxide Semiconductor).

BICMOS (Bipolar Complementary Metal-Oxide Semiconductor).

De todas estas, las familias más extendidas en el mercado son TTL y CMOS.

Dentro de una misma familia existen subfamilias, las diferencias entre las distintas
subfamilias suelen estar relacionadas con la velocidad, el consumo de sus
circuitos.

Todas estas familias presentan un ciclo de vida que podemos dividir en cinco
estados:

• Obsoleto: poco usando en nuevos diseños


• Declive: cada vez se utiliza menos en nuevos productos
• Madurez: gran presencia en los nuevos diseños.
• Crecimiento: se usan en más diseños cada día.
• Introducción: nuevos productos sin uso extendido.

Una familia lógica es una colección de diferentes chips de circuitos integrados que
tienen características similares en sus entradas, salidas y circuitería interna, pero
que realizan diferentes funciones lógicas. Los chips de la misma familia pueden
interconectarse para que realicen cualquier función lógica deseada.

La familia lógica bipolar con mayor éxito (una basada en transistores bipolares de
unión) es la de lógica transistor-transistor (TTL, transistor-transistor logic).
Presentada por vez primera en la década de 1960, la TTL es en realidad una
familia de familias lógicas que son compatibles con cada una de las otras pero
que difieren en velocidad, consumo de energía y costo.

Características Eléctricas
Cada familia lógica está caracterizada por una serie de parámetros eléctricos y
temporales. Estos parámetros están disponibles en las hojas de características
dadas por los fabricantes y permiten, a la hora de diseñar un sistema digital, la
elección de la familia lógica más adecuada, e incluso la posibilidad de combinar
dispositivos en un mismo diseño pertenecientes a diferentes familias.

Características de Tensión
La atención de alimentación es necesaria para que un circuito digital funcione.

pág. 54
Esta puede variar de una familia a otra, e incluso entre subfamilias. Su valor no es
absoluto, los fabricantes siempre dan un margen de valores para los cuales se
garantiza el buen funcionamiento del circuito.

En una puerta lógica, el “1” y el “0” lógicos no son valores de tensión absolutos,
vienen determinados por un intervalo de valores de tensión. Además este
intervalo no suele ser el mismo a la entrada y a la salida de la puerta. Esto
significa que una puerta lógica será capaz de reconocer un nivel alto o bajo
siempre que la tensión a la entrada esté dentro de unos intervalos de tensión
definidos.

2.4.1 TTL

Las familias TTL han evolucionado a través de los años en respuesta a las
demandas de los diseñadores digitales por un mejor desempeño. Como
resultado, tres familias TTL han llegado y se han marchado, y los diseñadores de
la actualidad tienen cinco familias sobrevivientes de las cuales escoger. Todas las
familias TTL son compatibles en el sentido de que utilizan el mismo voltaje de
alimentación y niveles lógicos, pero cada familia tiene sus propias ventajas en
términos de velocidad, consumo de energía y costo.

2.4.1.1 Familias TTL iniciales

La familia original TTL de compuertas lógicas fue introducida por Sylvania en


1963. Fue popularizada por Texas Instruments, cuyos números de parte “serie
7400” para compuertas y otros componentes TTL se convirtieron rápidamente en
un estándar de la industria.

Como en la serie 7400 CMOS, los dispositivos en una familia TTL dada tienen
números de partes de la forma 74FAM nn, donde “FAM” es un mnemónico de
familia alfabético y nn es una denominación de función numérica.

Los dispositivos en familia diferentes con el mismo valor de nn realizan la misma


función. En la familia TTL original. “FAM” es nula y la familia se conoce como TTL
serie 74.

Los valores de resistores en el circuito TTL original se cambiaron para obtener


dos familias TTL más con diferentes características de rendimiento. La familia
74H (High-speed TTL, TTL de alta velocidad) usaba valores de resistor más bajos
para reducir el retardo de propagación a costa de un incremento del consumo de
energía. La familia 74L (Low-power TTL, TTL de baja potencia) usaba valores de

pág. 55
resistor más altos para disminuir el consumo de energía a costa del retardo de
propagación

Familia

Descripción Símbolo 74S 74LS 74AS 74ALS 74F

Retardo de propagación 3 9 1.7 4 3


máximo (ns)
Consumo de energía por 19 2 8 1.2 4
compuerta (mW)
Producto velocidad-
57 18 13.6 4.8 12
energía(pJ)
Voltaje de entrada de nivel
BAJO (V) VILmax 0.8 0.8 0.8 0.8 0.8
Voltaje de salida de nivel
BAJO (V) VOLmax 0.5 0.5 0.5 0.5 0.5
Voltaje de entrada de nivel
ALTO (V) VILman 2.0 2.0 2.0 2.0 2.0
Voltaje de salida de nivel VOHman
ALTO (V) 2.7 2.7 2.7 2.7 2.7
Corriente de entrada de nivel
IILmax
BAJO (mA) -2.0 -0.4 -0.5 -0.2 -0.6
Corriente de salida de nivel
BAJO (mA)
IOLmax
20 8 20 8 20
Corriente de entrada de nivel
ALTO (µA) IIHmax
Corriente de salida de nivel 50 20 20 20 20
ALTO ( µA) IOHmax
-100 -400 -200 -400 -1000

Fig. Tabla de Familias

2.4.1.2 Familias TTL Schottky


Históricamente, la primera familia en hacer uso de los transistores Schottky fue la
745 (Schottky TTL). Con los transistores Schottky y los valores de resistencia
bajos, esta familia tenía mucho más alta velocidad, pero un consumo de enrgía
más alto, que las serie 74 TTL originales.

La familia TTL más ampliamente utilizada y ciertamente la menos costosa es la


74LS (Low-power Schottky TTL, Schottky TTL de baja potencia), introducida poco

pág. 56
después de la74S. Al combinar los transistores Schottky con valores de
resistencia más altos, la 74LS TTL igualaba la velocidad de la serie 74TTL pero
tenía aproximadamente una quinta parte de su consumo de energía.

2.4.1.3 Características de las familias TTL


Las características importantes de las familias TTL contemporáneas se resumen
en la tabla anterior. Los primeros dos renglones de la tabla enumeran el retardo
de propagación (en nanosegundos) y el consumo de energía (en miliwatts) de una
compuerta NAND de 2 entradas típicas en cada familia.

La cifra de mérito de una familia lógica es su producto velocidad-energía


expresado en el tercer renglón de la tabla.

Los renglones restantes en la tabla describen los párametros de entrada y salida


de las compuertas TTLtípicas en cada una delas familias. Haciendo uso de esta
información, se puede analizar el comportamiento externo de las compuertas TTL
sin conocer los detalles del diseño de circuito TTL interno.

2.4.1.4 Una hoja de datos TTL


Una típica hoja de datos del fabricante para el 74LS00. El 54LS00 mencionado en
la hoja de datos es idéntico al 74LS00, excepto que tiene especificaciones para
funciones sobre el intervalo completo de temperaturas y voltaje para aplicaciones
militares, y su costo es mayor. La mayoría de las partes TTL tiene sus
correspondientes versiones en serie 54 (militares). Se muestran tres secciones de
la hoja de datos:

 Condiciones de operación recomendadas especifican el voltaje de


alimentación, intervalos de voltaje de entrada, carga de salida de CD y
valores de temperatura bajo los cuales el dispositivo funciona
normalmente.

 Características eléctricas, especifican voltaje y corrientes de CD


adicionales que se observan en las entradas y salidas del dispositivo
cuando funciona bajo las condiciones recomendadas:

I1 Máxima corriente de entrada para un voltaje de entrada ALTO muy


intenso.

IOS Corriente de salida con la salida ALTO en corto a tierra.

pág. 57
ICCH Corriente de alimentación cuando todas las salidas (en cuatro
compuertas NAND) están en ALTO.

ICCL Corriente de alimentación cuando todas las salidas (en cuatro


compuertas NAND están en BAJO.

 Características de conmutación proporcionan retardo de propagación


típicos y máximos bajo condiciones de operación “típicas” de Vcc = 5V y
TA=25°C.

También se incluye una cuarta sección en el libro de datos:

 Índices absolutos máximos indican las condiciones en el peor de los casos


para la operación o almacenamiento del dispositivo, sin sufrir daños.

Un libro de datos completos también exhibe circuitos de pruebas que son


empleados para medir los parámetros cuando el dispositivo se fabrica, y gráficas
que ilustran cómo los parámetros típicos varían de acuerdo con las condiciones
de operación tales como el voltaje de alimentación (Vcc), temperatura ambiente
(TA) y carga (RL • CI).

2.4.2 ECL
Se ha desarrollado otra familia lógica bipolar que evita la saturación de
transistores, con lo que se incrementa la velocidad total de conmutación. A esta
lógica se le denomina lógica acoplada en emisor (ECL; emmitercoupled logic) y
opera sobre el principio de la conmutación de corriente, por el cual una corriente
de polarización fija menor que IC (sat) es conmutada del colector de un transistor a
otro. Debido a esta operación, en modo de corriente, esta forma lógica también se
conoce como lógica de modo de corriente (CML, current-mode logic).

Circuito ECL básico El circuito básico para la lógica acoplada en emisor es


esencialmente la configuración del amplificador diferencial. La fuente de
alimentación V££ produce una corriente esencialmente fija I£ que permanece
alrededor de 3mA durante la operación normal.

Características del ECL Las características más importantes de la familia ECL


de circuitos lógicos son las siguientes:

1. Los transistores nunca se saturan, así es que la velocidad de conmutación


es muy alta. El tiempo común de retraso en la propagación es 1 ns, que
hace a la ECL un poco más rápida que la ECL un poco más rápida que la
TTÑ Schottky (serie 74AS).

pág. 58
2. Los niveles lógicos son nominales -0.8 V y -1.70 V para 1 y 0 lógicos,
respectivamente.

3. Los márgenes de ruido ECL en el peor de los casos son aproximadamente


250mV. Estos márgenes de ruidos bajos hacen a ECL un tanto insegura
para utilizarse en medios industriales con mucho volumen de trabajo.

4. Un bloque lógico ECL por lo general produce una salida y su complemento.


Esto elimina la necesidad de inversores.

5. Los factores de carga se encuentra comúnmente alrededor de 25, debido a


las salidas emisor-seguidor de baja impedancia.

6. La disipación típica de potencia de una compuerta básica ECL es de


25mW, valor mayor que el correspondiente a la serie 74AS.

7. El flujo de corriente típica total en un circuito ECL permanece relativamente


constante, sin importar su estado lógico. Esto ayuda a mantener un
consumo de corriente invariable en el suministro de potencia del circuito,
aun durante transiciones de conmutación.

La familia ECL de CI no incluye un amplio rango de dispositivos lógicos de


propósitos generales como lo hacen las familias TTL y CMO. ECL no incluye los
CI complejos, de propósitos especiales, empleados en aplicaciones tales como en
la transmisión de datos a alta velocidad, memorias a alta velocidad y unidades
aritméticas a alta velocidad.

El circuito ECL se basa en el uso de un interruptor de dirección de corriente, que


se puede construir con un par diferencial, que se polariza con un voltaje Vr y de
corriente I cte ambos. La naturaleza diferencial del circuito lo hace menos
susceptible a captar ruido.

Existen 2 formas conocidas, la ECL 100k y la ECL 10K, la 100k es más rápida
pero consume mayor corriente. Además de las familias lógicas ECL I, ECL II, ECL
III, ECL10K y ECL100K, la tecnología ECL se ha utilizado en circuitos LSI:

 Matrices lógicas

 Memorias (Motorola, Fairchild)

 Microprocesadores (Motorola, F100 de Ferranti)

 Para mejorar las prestaciones de la tecnología CMOS, la ECL se incorpora


en ciertas funciones críticas en circuitos CMOS, aumentando la velocidad,
pero manteniendo bajo el consumo total.

pág. 59
2.4.3 MOS

La tecnología MOS (semiconductor-metal-óxido deriva de su nombre de la


estructura básica del electro metálico sobre un oxido aislante que a su vez está
sobre el substrato semiconductor.

Los transistores en la tecnología MOS son del tipo de efecto de campo, por lo que
son llamados MOSFET. La mayor parte de los CI MOS se construyen
completamente de MOSFET y no de otros componentes.

La principal ventaja de los MOSFET es relativamente simple y poco costoso


proceso de fabricación, es pequeño y consume muy poca energía. La fabricación
de CI MOS es del orden de un tercio de la complejidad de la correspondiente a CI
bipolares (TTL, ECL, etc.). Además los dispositivos MOS ocupan muchos menos
espacio en un chip, que un transistor bipolar.

Típicamente, un MOSFET requiere una milésima de área de chip, mientras que


un transistor bipolar requiere aproximadamente 50 milésimas de pulgadas
cuadrada.

Más importante aún, los CI digitales normalmente no usan resistencias que


requieren de mucho espacio dentro del chip, lo que sí sucede en el caso de los CI
bipolares.

Todo esto significa que los CI MOS pueden acomodar un número mucho mayor
de elementos de circuitos en un solo chip que los CI bipolares en el área de la
gran escala de integración (LSI, VLSI).La gran densidad de elementos en los
encapsulados de los CI MOS los hacen especialmente bien adaptados para CI
complejos tales como microprocesadores o chips de memoria.

La principal desventaja de los CI MOS es su relativamente baja velocidad de


operación cuando se les compara con las familias de CI bipolares. En muchas
aplicaciones, esto no representa una consideración prioritaria, por lo que la lógica
MOS ofrece a menudo una alternativa superior a la lógica bipolar.

Los circuitos digitales que emplea MOSFET se dividen en tres categorías:

(1)P-MOS, que utiliza solo MOSFET de enriquecimiento de canales P.

(2)N-MOS, que utiliza solamente MOSFET de enriquecimiento de canales N.

(3)CMOS (MOS complementaria), que usa dispositivos de canales P y N.

pág. 60
 N-MOS: se basa únicamente en el empleo de transistores NMOS para
obtener una función lógica. Su funcionamiento de la puerta lógica es el
siguiente: cuando la entrada se encuentra en el caso de un nivel bajo, el
transistor NMOS estará en su zona de corte, por lo tanto, la intensidad que
circulara por el circuito será nula y la salida estará la tensión de
polarización (un nivel alto); y cuando la entrada se encuentra en el caso de
que esta en un nivel alto, entonces el transistor estará conduciendo y se
comportara como interruptor, y en la salida será un nivel bajo.

 PMOS: El transistor MOS se puede identificar como un interruptor


controlado por la tensión de la puerta, V_G, que es la que determinara
cuando conduce y cuando no.

EL MOSFET En la actualidad existen dos tipos de MOSFET:

 Agotamiento y Enriquecimiento.

Los CI digitales MOS utilizan exclusivamente MOSFET de enriquecimiento, de


modo que sólo este tipo será considerado. Además solo interesa en la operación
de estos MOSFET como interruptor encendido/apagado.

Características de
los Circuitos Lógicos MOS
Fig. Símbolos
En comparación con lasesquemáticos para
familias lógicas el MOSFET
bipolares, las de enriquecimiento
familias lógicas MOS son
más lentas en cuanto a velocidad de operaciones; requiere mucho menos
potencia; tienen un mejor margen de ruido, un mayor intervalo de suministro de
voltaje y un factos de carga mucho más elevado; como mencionamos antes,
requiere de mucho menos espacio.

Las características Principales son:

pág. 61
 Velocidad de operación Una compuerta NAND N-MOS común tiene un
tiempo de retraso en la propagación de 50ns. Esto se debe a dos factores:
la resistencia de salida relativamente alta en el estado ALTO y la carga
capacitiva representada por las entradas de los circuitos lógicos
manejados.

 Margen de ruido Comúnmente, los márgenes de ruido del N-MOS están


alrededor de 1.5 V cuando operan desde V DD =5 v, y serán
proporcionalmente mayores para valores más grandes de V DD.

 Factor de carga Debido a la resistencia de entrada extremadamente alta


en cada entrada de MOSFET, uno esperaría que las capacidades del
factor de carga de la lógica MOS fueran virtualmente ilimitada.

 Consumo de potencia Los circuitos lógicos MOS consumen pequeñas


cantidades de potencia debido a las resistencias relativamente grandes
que se utilizan.

 Complejidad del proceso La lógica MOS es la familia lógica más simple


de fabricar ya que utiliza sólo un elemento básico, un transistor N-MOS (o
bien P-MOS).

 Sensibilidad estática Todos los dispositivos, en mayor o menos cantidad,


son sensibles a daño por electricidad estática.

2.4.4 CMOS

La familia CMOS de circuitos integrados es la competidora directa de TTL en la


integración en el renglón de integración en pequeñas y mediana escala (SSI,
MSI). Dado que la tecnología CMOS ha producido cada vez mejor características
de comportamiento, CMOS ha ocupado en forma gradual el campo que TTL
dominó durante tanto tiempo. Los dispositivos TTL todavía se utilizarán mucho

pág. 62
tiempo, pero cada vez hay más equipos nuevos en los que se emplean los
circuitos lógicos CMOS.

Los CI CMOS no sólo ofrecen las mismas funciones lógicas disponibles en TTL, si
no también algunas funciones de propósito especial no disponible de TTL. Con el
paso del tiempo se han perfeccionado algunas nuevas series CMOS y sus
fabricantes han buscado mejorar las características de comportamiento. Antes de
examinar las diversas series CMOS será útil definir algunos términos que se
utilizan cuando se van a emplear CI de diferentes familias.

Compatible con terminales Hay dos CI compatibles con terminales (pin) cuando
sus configuraciones de terminales son iguales.

Equivalentes funcionalmente Se dice que dos CI son equivalentes


funcionalmente cuando las funciones lógicas que ejecutan son idénticas.

Eléctricamente Compatibles Dos CI son eléctricamente compatibles cuando se


pueden conectar en forma directa entre sí, sin necesidad de acciones especiales
para obtener el funcionamiento correcto.

Serie 4000/14000 Existen varias series compatibles en la familia CMOS de CI


digitales. La serie 4000, que fue introducida por RCA (la serie 14000 de Motorola
Inc.)

Serie 74C Esta serie CMOS es compatible terminal por terminal y función por
función con los dispositivos TTL que tiene el mismo número.

74HC/HCT (CMOS de alta velocidad) Se trata de una versión mejorada de la


serie 74C, que tiene un incremento de 10 veces en la velocidad de conmutación,
comparable con la de los dispositivos 74LS y una capacidad para corriente de
salida mucho mayor que el 74C.

74AC/ACT (CMOS avanzados) Esta serie, la más nueva, de los CMOS es


funcionalmente equivalente con las diversas series de TTL pero no es compatible
con terminales con el TTL.

En un circuito CMOS, la función lógica a sintetizar se implementa por duplicado


mediante dos circuitos: uno basado exclusivamente en transistores pMOS
(circuito de pull-up), y otro basado exclusivamente en transistores nMOS (circuito
de pull-down). El circuito pMOS es empleado para propagar el valor binario 1
(pull-up), y el circuito nMOS para propagar el valor binario 0 (pull-down)

 Cuando la entrada es 1, el transistor nMOS está en estado de conducción.


Al estar su fuente conectada a tierra (0), el valor 0 se propaga al drenado y

pág. 63
por lo tanto a la salida de la puerta logica. El transistor pMOS, por el
contrario, está en estado de no conducción.

 Cuando la entrada es 0, el transistor pMOS está en estado de conducción.


Al estar su fuente conectada a la alimentación (1), el valor 1 se propaga al
drenado y por lo tanto a la salida de la puerta lógica. El transistor nMOS,
por el contrario, está en estado de no conducción.

Otra de las características importantes de los circuitos CMOS es que son


regenerativos: una señal degradada que acometa una puerta lógica CMOS se
verá restaurada a su valor lógico inicial 0 o 1, siempre y cuando aún esté dentro
de los márgenes de ruido que el circuito pueda tolerar.

Ventajas
 La familia lógica tiene una serie de ventajas que la hacen superior a otras
en la fabricación de circuitos integrados digitales:

 El bajo consumo de potencia estática, gracias a la alta impedancia de


entrada de los transistores de tipo MOSFET y a que, en estado de reposo,
un circuito CMOS sólo experimentará corrientes parásitas. Esto es debido
a que en ninguno de los dos estados lógicos existe un camino directo entre
la fuente de alimentación y el terminal de tierra, o lo que es lo mismo, uno
de los dos transistores que forman el inversor CMOS básico se encuentra
en la región de corte en estado estacionario.

 Gracias a su carácter regenerativo, los circuitos CMOS son robustos frente


a ruido o degradación de señal debido a la impedancia del metal de
interconexión.

 Los circuitos CMOS son sencillos de diseñar.

 La tecnología de fabricación está muy desarrollada, y es posible conseguir


densidades de integración muy altas a un precio mucho menor que otras
tecnologías.

Algunos de los inconvenientes son los siguientes:

 Debido al carácter capacitivo de los transistores MOSFET, y al hecho de


que estos son empleados por duplicado en parejas nMOS-pMOS, la
velocidad de los circuitos CMOS es comparativamente menor que la de
otras familias lógicas.

pág. 64
 Son vulnerables a latch-up: Consiste en la existencia de un tiristor parasito
en la estructura CMOS que entra en conducción cuando la salida supera la
alimentación. Esto se produce con relativa facilidad debido a la
componente inductiva de la red de alimentación de los circuitos integrados.
Generalmente es suficiente con espaciar contactos de sustrato y pozos de
difusión con suficiente regularidad, para asegurarse de que esta
sólidamente conectado a masa o alimentación.

 Según se va reduciendo el tamaño de los transistores, las corrientes


parasitas empiezan a ser comparables a las corrientes dinámicas (debidas
a la conmutación de los dispositivos).

Algunas aplicaciones de las familias lógicas:

La familia TTL tiene una lista extensa de funciones digitales y es comúnmente la


familia lógica más popular.

La ECL se usa en sistemas que requieren operaciones de alta velocidad.

Los MOS e I2L se usan en circuitos que requieren alta densidad de componentes.

La CMOS se usa para sistemas que requieren bajo consumo de energía.

2.4.5 Bajo voltaje (LVT, LV, LVC, ALVC)

Características
• Diseñadas para trabajar con VCC pequeña sin perder capacidad de carga ni
empeorar los tiempos de propagación.

pág. 65
• Hay varias subfamilias: LV, LVC, ALVC, LVT, ALVT…

• La tensión de alimentación típica es VCC = 3,3V => pero las hay de tensiones
de alimentación menores

• Cada una de las familias tiene unos determinados circuitos de protección a la


entrada y a la salida.

Los fabricantes de CI buscan continuamente las formas de colocar los


semiconductores (diodos, resistencias, transistores, etc.) más cercana entre si ,
es decir, aumentar la densidad del microcircuito. Esta mas de alta densidad tiene
por lo menos dos beneficios principales primero, siempre permite empacar mas
circuitos en el microcircuito, segundo, con los circuitos cercanos entre sí, se
repudiar el tiempo para que las señales se propaguen de un circuito a otro, con lo
cual se mejora la velocidad total de funcionamiento del circuito.

La densidad más alta del circuito también tiene sus inconvenientes como cuando
se empacan los circuitos más cerca entre sí , el material aislante que hay en un
circuito y otro es más estrecho. Esto reduce la cantidad de voltaje que puede
soportar el dispositivo sin que ocurran interrupciones dieléctricas.

El aumento en la densidad del microcircuito incrementara su disipación total de la


corriente, lo cual puede elevar la temperatura del microcircuito a más de valor
permitido para su funcionamiento confiable.

En la actualidad inconveniente se pueden neutralizar si se hace trabajar el


microcircuito con valores de voltaje más bajos. Los fabricantes de CI lo han hecho
al crear una nueva línea de dispositivos lógicos que funcionan con un voltaje
nominal de suministro de 3.3 ven lugar de la actual norma de 5v. Esta tecnología
de bajo voltaje puede muy bien señalar el comienzo de una transmisión gradual
en el campo del equipo digital que en un momento dado hará que todos los
circuitos digitales funcionen con una nueva forma de 3.3 v.

En la actualidad los dispositivos de LVT se diseñen para aplicaciones que van


desde los juegos electrónicos hasta estaciones de trabajo de ingeniería. Los
microprocesadores mas nuevos son 3.3 v. el funcionamiento con bajo voltaje es
de una partícula valor en el equipo alimentado con baterías, donde el numero
requerido de baterías se reduce de tres a dos.

Hay cierto número de series lógicas de 3.3 v en proceso de perfeccionamiento. La


que tiene las mejores características de comportamiento es la serie 74LVT, que
es compatible con terminales y eléctricamente con TTL. Esta seria se fabrica con
la tecnología BICMOS y tiene las siguientes características:

pág. 66
Vcc 2.7 a 3.6 V

tpd(máx) 4.0 ns

PD 0.33 mW

Valores de voltaje Igual que en la familia TTL


estándar
De entrada y salida
IOH=32 mA; IOL=64mA.
Corriente de salida

Fig. Los circuitos de LVT pueden actuar como interfaz entre circuitos de
3.3 V y 5 V

La otra solución, más adecuada, pasa por utilizar familias lógicas especialmente
diseñadas para funcionar con tensiones de alimentación reducidas, sin que ello
suponga una pérdida de capacidad de carga ni incremento de los tiempos de
propagación. Estas familias lógicas son conocidas como familias lógicas de baja
tensión (low voltaje logic families). Dentro de las familias lógicas de baja tensión
se encuentran: LV, LVC, ALVC, LVT, ALVT, AVC, LVQ, (algunos ejemplos de
estos circuitos son: 74LV165, 74LVC14, 74ALVCH16272, 74LVT18502, etc.).

Cada una de estas familias presenta características de velocidad, margen de


tensión de alimentación, etc. diferentes. En la tabla 2 se resumen las

pág. 67
características más destacables para cuatro de estas familias (LV, LVC, ALVC,
LVT), clasificadas de izquierda a derecha en orden creciente de velocidad de
conmutación.
Obsérvese que el margen de tensiones en el que pueden funcionar, garantizando
un correcto funcionamiento, va desde 2.3 a 3.6V, siendo una tensión típica de
alimentación 3.3V. Las familias LV, LVC y ALVC están realizadas con tecnología
CMOS y la familia LVT con tecnología BiCMOS.

Por tanto, las características de estas familias tienen cierta similitud con sus
homólogas alimentadas con 5.0V. Así, para las familias LV, LVC y ALVC con
alimentaciones en el rango de 2.7-3.6V, se cumple:

• VOH=Vcc -0.2V
• VOL =0.2V
• VIHmín=2.0V
• VILmáx=0.8V
• VTH =0.5AVcc (tensión umbral)

Familia LV LVC ALVC LVT

Low Speed Medium High Speed High Speed


Speed

pág. 68
Technology CMOS CMOS CMOS BiCMOS

Typical 9.0ns 4.0ns 2.0ns 2.5ns


Propagation
Delay -8/8mA -24/24mA -24/24mA -32/64mA

Output “Vcc/0V “Vcc/0V “Vcc/0V “Vcc/0V

2.7V 2.7V 2.3V 2.3V


(Vccmax=3.6V)Algunos (Vccmax=3.6V) Vccmax=3.6V Vccmax=3.6V
pueden llegar a 5.5V

Current No necesita
IOH/IOL No necesita No necesita Si (LVTH)

Si (LVCH) Si (ALVCH) Si (LVTZ y


LVYH)

CONCLUSION

pág. 69
Se puede decir que la electrónica digital se utiliza para un mejor rendimiento en
cada unos de los equipos.

La Electrónica Digital se puede decir que es la rama (o especialidad) de la


electrónica más moderna y que evoluciona más rápidamente, contando cada vez
con un número mayor de aplicadores. En ellas se basan, por ejemplo, los
ordenadores, calculadoras, automatismo de control industrial, etc

Al igual que los temas de esta unidad me dieron a entender muchas cosas
algunas como distinguir entre representación analógica y digital. A ver y realizar
constantes y variables booleanas, a creas tablas de verdad y a verificar las
operaciones de las diferente puertas.

También entendí que cualquier función lógica canónica se puede expresar como
una suma de minterminos en cuyo caso su valor será uno para cualquiera de las
combinaciones de los miniterminos seleccionados, o como producto de
maxiterminos en cuyo caso su valor será cero para cualquiera de las
combinaciones de los maxiterminos seleccionado. Es decir, cualquier función
lógica se puede expresar mediante dos niveles de operación, o producto y suma o
suma y producto.

pág. 70
BIBLIOGRAFIA

(1) Electrónica Digital Fundamental (3a Edición) Hojas 6, 19, 20, 25


Antonio Hermosa Dónate
Marcolo Boixeareu Editorial

(2) Lógica Matemáticas para Ingeniería de Sistemas y Computación


Sergio Gustavo Cardona Torres Hoja: 6, 7
Leonardo Alonso Hernández Rodriguez
Sonia Jaramillo Valbuena
Ediciones Elizcom

(3) Sistemas Digitales Hojas: 7 , 9 10 , 28, 42, 46, 47, 49, 51, 52, 53, 57, 60
Principios y Aplicaciones Sexta Edición
Ronald J. Tocci
Pearson Educación

(4) Tesis de Algebra Booleana Hoja: 12


Ing. Bruno López Takeyas

(5) Diseño Digital, Tercera Edición Hoja: 14, 16, 17


M. Morris Mano
Person Educación, México 2003

(6) Diseño Digital: Principios y Prácticas Tercera Edición Hoja: 25


John F. Wakerly
Pearson Educación, Mexico 2001

(7) Tesis de Diseño de circuito lógico combinatoria usando optimación mediante


cúmulos de partículas
Para obtener el grado de Maestro de Ciencias Hoja: 29
Ingeniería Eléctrica Opción Computación

(8) Lógica Digital y Diseño de Computadoras Hoja: 33, 34


M. Morris Mano
Prentice Hall

pág. 71

S-ar putea să vă placă și