Sunteți pe pagina 1din 20

UNIVERSIDAD NACIONAL DE INGENIERÍA

FACULTAD DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA

INFORME
TERCER LABORATORIO

LABORATORIO DE SISTEMAS DIGITALES


EE-635 O1

ALUMNOS:
● HUAYAMARES DE LA CRUZ CARLOS ALBERTO
● LEÓN VIVANCO JEAN PIERRE
● QUISPE CONTRERAS GUSTAVO ALONSO
● RAMIREZ BACA CARLOS EMANUEL

PROFESOR:
● ING. PURILLA FLORES FELIX ALBERTO

2019-1
INFORME PREVIO

I. OBJETIVOS:

1. Comprobar el funcionamiento de C.I. de sumadores binarios de 4 bits y


comparadores de magnitud 4 bits, empleando compuertas open colector
y tri-state.

2. Comprobar el funcionamiento de un decodificador de 7 de BCD a 7


segmentos, así como el display de 7 segmentos.

3. Implementar circuitos combinacionales utilizando las compuertas


indicadas en cada caso.

II. MATERIALES:

1. Fuente regulada de +5 VDC

2. Circuitos integrados:

7401(3) ,7405(3) ,7407(2), 7409(3), 7417(3), 7433(3), 7447(3), 7448(3),

7485(2), 74125(3), 74126(3), 74138(3), 74151(3), 74173(3), 74LS283(3),

74LS290(3), 74HC00, 74HC04, 4050.

3. Resistencias de 330Ω , 1⁄4 𝑊

4. Diodos LED

5. Display de 7 segmentos.

6. Protoboard

7. Cable telefónico para conexiones

8. Alicate de punta

1
III. FUNDAMENTO TEÓRICO:

Los circuitos lógicos MSI son bloques de circuitos de media escala de integración, que
realizan determinadas funciones lógicas de aplicación general, relativamente complejas.
Los bloques MSI permiten el desarrollo y realización de los Sistemas Digitales de una
forma lógica y estructurada.

Los bloques MSI son:

- Decodificadores (DECODER)
- Codificadores (CODER)
- Multiplexores (MUX)
- Demultiplexores (DEMUX)
- Comparadores
- Sumadores, Restadores
- Unidad Aritmética Lógica

Circuito de media escala de integración:

El esfuerzo de la industria electrónica en la miniaturización de sus equipos se ha visto


compensado ampliamente con el desarrollo de los circuitos integrados, en los que se ha
conseguido construir miles de componentes dentro de la misma cápsula, cuyas
dimensiones son similares a las de un simple transistor. Pero la enorme reducción de
volumen no es la única ventaja por la que los C.I. se han hecho indispensables en
michas industrias de vanguardia, tales como la militar, aeroespacial, medicina, etc.

Atendiendo al nivel de integración (número de componentes), los MSI (Medium Scale


Integration) se componen por 101 a 1000 transistores.

2
 Decodificador (DECODER):

Son circuitos lógicos combinatorios con n salidas de entrada y 2n líneas de salida. Para
cada condición de entrada, una y solo una línea de salida será activada.
Por lo tanto, podemos considerar al decodificador de n a 2n como un generador de min
términos, donde cada salida corresponde precisamente a un min término. Se utilizan en
aplicaciones como interrogar a la memoria a fin de elegir una palabra específica de las
que están disponibles, convertir códigos y direccionar datos.

 Decodificador de BCD a 7 segmentos:

Es un circuito combinacional que permite un código BCD en sus entradas y en sus


salidas activa un display de 7 segmentos para indicar un digito decimal. El display esta
formado por un conjunto de 7 leds conectados en un punto común en su salda. Cuando
la salida es común en los ánodos el display es llamado de ánodo común y, por el
contrario, si la salida es común en los cátodos, llamamos al display de cátodo común.

3
 Codificadores (CODER):

Es un circuito combinatorio que realiza la operación inversa del decoder, es decir, posee
2n entradas y n salidas, proporcionando un código de salida cuando se activa una sola
de sus entradas.

El codificador binario tiene 2n entradas y n salidas. Sólo, una sola de las entradas puede
estar activada. La salida suministra el valor binario correspondiente a la entrada
activada. Este tipo de codificador opera en forma contraria a los decodificadores 2 a 4,
3 a 8, etc.

Codificador sin prioridad:

Los circuitos codificadores pueden ser diseñados con prioridad o sin ella. En los
codificadores sin prioridad con entradas activas altas, la activación de más de una
entrada simultáneamente con valor “uno”, genera un código erróneo en la salida, de
acuerdo con el número de entradas excitadas con el respectivo valor. La solución de
este conveniente se logra empleando codificadores de prioridad

Codificador con prioridad:

Los codificadores de prioridad seleccionan la entrada de mayor prioridad cuando se


presentan varias entradas activas simultáneamente

4
 Compuertas colector abierto (open collector):

Las compuertas de colector abierto son un tipo de compuertas lógicas cuya


salida esta externalizada, es decir abierta o sin resistencia en el colector del
transistor de salida. Al utilizar este tipo de circuito integrado, se deja la posibilidad
de utilizar el valor de resistencia apropiado según sus necesidades.

Las salidas a colector abierto son útiles para:

- Fijar los valores altos y bajos de tensión según las necesidades. Además,
esto permite el acoplamiento entre compuertas lógicas con niveles altos
distintos.

- Garantizar que la corriente de salida sea necesaria para conectar varias


compuertas lógicas.

- La conexión de varias compuertas con salida en colector abierto a un


mismo bus de datos. Al compartir la resistencia externa en el colector se
crea una compuerta “wired” es decir que la función lógica entre las
compuertas conectadas se da en el cable.

- Operar sin la resistencia externa cuando se conecta a las entradas de


otras compuertas, aunque esto no se recomienda debido a la baja

5
inmunidad al ruido encontrada. Sin una resistencia externa, la salida de la
compuerta será un circuito abierto cuando la salida este en estado bajo.

Una salida de colector abierto se suele simbolizar con un “rombo subrayado”.


Con este tipo de salidas se puede implementar “lógica alambrada”, formando un
bus, en el que se debe habilitar siempre solo una compuerta a la vez. Para la
compuerta habilitada, el dato de entrada se refleja en el bus invertido.

Entre las principales aplicaciones que hemos mencionado tenemos:

Lógica alambrada:

En los dispositivos Open Collector se pueden conectar sin peligro, sus salidas
entre sí. Como se muestra en la figura:

La salida de esta conexión equivale a una operación AND. Esta configuración


permite eliminar la necesidad de una compuerta AND real, también ofrece una
mayor velocidad de conmutación sin embargo es más sensible al ruido.

6
Bus común:
Las compuertas de colector abierto pueden ligarse para formar un bus común.
En cualquier momento, todas las salidas de compuerta ligadas al bus, excepto
una, deben mantenerse en su estado alto. La compuerta seleccionada puede
estar ya sea en el estado alto o bajo, dependiendo si se desea transmitir un 1 o
un 0 en el bus.

 Compuertas triestado (tri-state):

Las compuertas de tres estados por su construcción se clasifican en TTL y


CMOS presentan tres estados de salidas diferentes: un estado de bajo nivel (0),
un estado de alto nivel (1), un estado de alta impedancia o estado flotante (HZ).

En este estado, la salida se comporta como si aún no estuviera conectada al


circuito, excepto por una pequeña corriente de fuga que puede fluir hacia adentro
o hacia afuera de la terminal de salida. Una salida puede tener uno de tres
estados: 0 lógico, 1 lógico y de alta impedancia.

7
Una salida con tres estados posibles se conoce como salida de tres estados o
en ocasiones salida triestado. Los dispositivos de tres estados tienen una
entrada extra, la cual se denomina generalmente “Habilitación” o “Enable” para
establecer las salidas del dispositivo en el estado de alta impedancia.

Un bus de tres estados los cuales son muy comunes en equipos


computacionales o control, se producen al conectar entre si varias salidas de
triple estado.

Los dispositivos con salidas de tres estados se diseñan normalmente de modo


que el retardo la habilitación (de HI-Z a bajo o alto) sea un poco más largo que
el retardo de deshabilitación (bajo o alto hacia HI-Z). Esto es debido a que, si un
circuito de control activa la entrada de habilitación de salida del primer
dispositivo, esto garantiza que el segundo dispositivo entrara al estado de alta
impedancia, antes que el primero coloque un nivel Alto o Bajo en el bus.

8
IV. CUESTIONARIO:

1.- Identifique los terminales de su display.

Terminal Segmento
1
2
3
4
5
6
7
8
9
10

2.- Diseñe e implemente un circuito que sume dos números decimales de un


digito cada uno y que permita visualizar en dos displays de 7 segmentos el
resultado.

Display1 (antes Display2 (antes


Suma
del decoder) del decoder)
S4 S3 S2 S1 S0 a3 a2 a1 a0 b3 b2 b1 b0 RESULTADO
0 0 0 0 0 0 0 0 0 0 0 0 0 0
0 0 0 0 1 0 0 0 0 0 0 0 1 1
0 0 0 1 0 0 0 0 0 0 0 1 0 2
0 0 0 1 1 0 0 0 0 0 0 1 1 3
0 0 1 0 0 0 0 0 0 0 1 0 0 4
0 0 1 0 1 0 0 0 0 0 1 0 1 5
0 0 1 1 0 0 0 0 0 0 1 1 0 6
0 0 1 1 1 0 0 0 0 0 1 1 1 7
0 1 0 0 0 0 0 0 0 1 0 0 0 8
0 1 0 0 1 0 0 0 0 1 0 0 1 9
0 1 0 1 0 0 0 0 1 0 0 0 0 10
0 1 0 1 1 0 0 0 1 0 0 0 1 11
0 1 1 0 0 0 0 0 1 0 0 1 0 12
0 1 1 0 1 0 0 0 1 0 0 1 1 13
0 1 1 1 0 0 0 0 1 0 1 0 0 14
0 1 1 1 1 0 0 0 1 0 1 0 1 15
1 0 0 0 0 0 0 0 1 0 1 1 0 16
1 0 0 0 1 0 0 0 1 0 1 1 1 17
1 0 0 1 0 0 0 0 1 1 0 0 0 18

9
3.- Diseñe una unidad aritmética decimal, con dos variables de selección 𝑉1 y 𝑉2
y dos dígitos BCD, A y B. La unidad debe realizar cuatro operaciones aritméticas
que dependen de los valores de las variables de selección, de manera que
verifique la tabla siguiente:

𝑽𝟏 𝑽𝟐 FUNCIÓN DE SALIDA
0 0 A+Complemento a 9 de B
0 1 A+B
1 0 A+Complemento a 10 de B
1 1 A+1

En el diseño utilice bloques MSI, y diseñe el complementador a 9 a nivel de


compuertas.
Diseño con bloques MSI:

10
Diseño del complementador a 9:

b3 b2 b1 b0 c9(b3) c9(b2) c9(b1) c9(b0)


0 0 0 0 1 0 0 1
0 0 0 1 1 0 0 0
0 0 1 0 0 1 1 1
0 0 1 1 0 1 1 0
0 1 0 0 0 1 0 1
0 1 0 1 0 1 0 0
0 1 1 0 0 0 1 1
0 1 1 1 0 0 1 0
1 0 0 0 0 0 0 1
1 0 0 1 0 0 0 0
1 0 1 0 X X X X
1 0 1 1 X X X X
1 1 0 0 X X X X
1 1 0 1 X X X X
1 1 1 0 X X X X
1 1 1 1 X X X X

̅̅̅ 𝑏2
𝑐9(𝑏3) = 𝑏3 ̅̅̅ 𝑏1
̅̅̅

𝑐9(𝑏2) = (𝑏1 ⊕ 𝑏2)


𝑐9(𝑏1) = 𝑏1
𝑐9(𝑏0) = ̅̅̅
𝑏0

11
4.- Diseñe e implemente los siguientes conversores de código, empleando
decodificadores y codificadores, visualizando las salidas en LED’s.
a) Exceso 3 Gray a 84-2-1.
b) BCD 2421 a BCD natural.

Tabla Exceso 3 Gray a 84-2-1


EXCESO 3 GRAY 84-2-1
0 0 1 0 0 0 0 0
0 1 1 0 0 1 1 1
0 1 1 1 0 1 1 0
0 1 0 1 0 1 0 1
0 1 0 0 0 1 0 0
1 1 0 0 1 0 1 1
1 1 0 1 1 0 1 0
1 1 1 1 1 0 0 1
1 1 1 0 1 0 0 0
1 0 1 0 1 1 1 1
1 0 1 1 1 1 1 0
1 0 0 1 1 1 0 1
1 0 0 0 1 1 0 0

12
Tabla BCD 2421 A BCD natural

BCD 2421 BCD NATURAL


0 0 0 0 0 0 0 0
0 0 0 1 0 0 0 1
0 0 1 0 0 0 1 0
0 0 1 1 0 0 1 1
0 1 0 0 0 1 0 0
1 0 1 1 0 1 0 1
1 1 0 0 0 1 1 0
1 1 0 1 0 1 1 1
1 1 1 0 1 0 0 0
1 1 1 1 1 0 0 1

13
5.- Diseñe e implemente un circuito conversor de código, que permita visualizar
en un display de 7 segmentos, el valor de la tecla que se presiona en un teclado
hexadecimal.
6.- Diseñe e implemente un circuito decodificador, que decodifique un número
binario de cuatro bits (16 combinaciones) al sistema de letras que serán
visualizadas en un display de 7 segmentos, donde:

0000 A 1000 I
0001 b 1001 J
0010 C 1010 L
0011 D 1011 n
0100 E 1100 O
0101 F 1101 P
0110 G 1110 q
0111 H 1111 r

14
ENTRADA DISPLAY 7 SEGMENTOS
A B C D a b c d e f g
0 0 0 0 1 1 1 0 1 1 1
0 0 0 1 0 0 1 1 1 1 1
0 0 1 0 1 0 0 1 1 1 0
0 0 1 1 0 1 1 1 1 0 1
0 1 0 0 1 0 0 1 1 1 1
0 1 0 1 1 0 0 1 1 1 1
0 1 1 0 1 0 1 1 1 1 1
0 1 1 1 0 1 1 0 1 1 1
1 0 0 0 0 1 1 0 0 0 0
1 0 0 1 0 1 1 1 1 0 0
1 0 1 0 0 0 0 1 1 1 0
1 0 1 1 1 1 1 0 1 1 0
1 1 0 0 1 1 1 1 1 1 0
1 1 0 1 1 1 0 0 1 1 1
1 1 1 0 1 1 1 0 0 1 1
1 1 1 1 1 0 0 0 1 1 0

𝐹𝑎 = ∑ 𝑚(0,2,4,5,6,11,12,13,14,15)

𝐹𝑏 = ∑ 𝑚(0,3,7,8,9,11,12,13,14)

𝐹𝑐 = ∑ 𝑚(0,1,3,6,7,8,9,11,12,14)

𝐹𝑑 = ∑ 𝑚(1,2,3,4,6,9,10,12)

𝐹𝑒 = ∑ 𝑚(0,1,2,3,4,5,6,7,9,10,11,12,13,15)

𝐹𝑓 = ∑ 𝑚(0,1,2,4,5,6,7,10,11,12,13,14,15)

𝐹𝑔 = ∑ 𝑚(0,1,3,4,5,6,7,13,14)

15
7.- Empleando un multiplexor de 4 a 1 y compuertas externas mínimas,
implementar la siguiente función de Boole:
𝑓(𝐴, 𝐵, 𝐶, 𝐷) = 𝐴𝐵̅ + 𝐵𝐷 + 𝐶𝐷 + 𝐵̅ 𝐷
̅

Usar las variables A y B como variables de control del MUX, además indicar la
especificación decimal de la función, teniendo en cuenta que B debe estar
conectado a 𝑆0 .
A B C D F
0 0 0 0 1
/D
0 0 0 1 0
C+/D
0 0 1 0 1
C
0 0 1 1 1
0 1 0 0 0
D
0 1 0 1 1
D
0 1 1 0 0
D
0 1 1 1 1
1 0 0 0 1
1
1 0 0 1 1
1
1 0 1 0 1
1
1 0 1 1 1
1 1 0 0 0
D
1 1 0 1 1
D
1 1 1 0 0
D
1 1 1 1 1

16
8.- Diseñe e implemente un comparador de magnitud de dos números de tres
bits cada uno, para obtener en la salida 𝐴 > 𝐵, 𝐴 < 𝐵, 𝐴 = 𝐵. Visualizar la salida
en LED’s.
Salida A=B
Los dos números son iguales si todos los números del mismo peso son iguales,
es decir: A0=B0, A1=B1, A2=B2
La igualdad de los números Ai y Bi se determina comparando los coeficientes
según el valor 0 ó 1, para los dos bits. Esto es posible usando una función XNOR.
𝑦𝑖 = ̅̅̅̅̅̅̅̅̅̅
𝐴𝑖 ⊕ 𝐵𝑖
El número A será igual a B si se cumple la condición yi=1 para todos los bits:
(𝐴 = 𝐵) = 𝑦2 . 𝑦1 . 𝑦0
Salida A<B y A>B:
La comparación comienza desde el bit más significativo. Los dígitos se comparan
uno a uno y si estos son iguales se prueba con el siguiente par de bits menos
significativos. La comparación continua hasta que se encuentra un par de digitos
desiguales. Cuando se encuentre un 1 en A y 0 en B se dice que A>B. Por lo
contrario, si A es 0 y B es 1, se dice que A<B.

(𝐴 < 𝐵) = ̅̅̅
𝐴2 𝐵2 + 𝑦2 ̅̅̅
𝐴1 𝐵1 + 𝑦2 𝑦1 ̅̅̅
𝐴0 𝐵0
(𝐴 > 𝐵) = 𝐴2 ̅̅̅
𝐵2 + 𝑦2 𝐴1 ̅̅̅
𝐵1 + 𝑦2 𝑦1 𝐴0 ̅̅̅
𝐵0

17
9.- Diseñe e implemente un circuito (bus de 4 bits) que transmita datos en forma
bidireccional, mostrar en display de 7 segmentos el dato transmitido. Emplee el
C.I. 74125 o 74126 (compuertas de tres estados).
10.- Haciendo uso de la herramienta Quartus (Altera) o ISE (Xilinx), diseñe un
sumador completo, usando el editor gráfico, según el procedimiento siguiente:
a) Crear el esquemático.
b) Asignar pines de entrada y salida.
c) Conectar los símbolos.
d) Editar los nombres de los pines.
e) Asignar número de pines.
f) Compilar el proyecto.
g) Simular el proyecto.
11.- Haciendo uso de la herramienta Quartus (Altera) o ISE (Xilinx), diseñe una
Unidad Aritmética y Lógica, usando el editor gráfico, según el procedimiento
siguiente:
a) Crear el esquemático.
b) Asignar pines de entrada y salida.
c) Conectar los símbolos.
d) Editar los nombres de los pines.

18
e) Asignar número de pines.
f) Compilar el proyecto.
g) Simular el proyecto.

19

S-ar putea să vă placă și