Sunteți pe pagina 1din 15

TRABAJO PRÁCTICO - INFORME

SIMULACIÓN DE MÁQUINA EXPENDEDORA DE BEBIDAS

Presentado por:

GERMÁN HOMERO MORÁN

RICAR DONALDO PATIÑO

UNIVERSIDAD DEL CAUCA

PROGRAMA DE INGENIERIA ELECTRONICA Y TELECOMUNICACIONES

CIRCUITOS DIGITALES I

2015
1. Análisis del problema

En muchos sistemas digitales implementados en aparatos incluyen elementos que


memorizan información, por lo cual requieren circuitos secuenciales y sistemas
combinacionales para su funcionamiento.

En esta ocasión, se requiere simular el funcionamiento de una máquina


expendedora de bebidas, la cual prepara una bebida al recibir un total de $500,
donde la máquina solo recibe monedas de $100 y $200; también genera una
devolución de $100 en el caso de que se ingresen inicialmente $400 y luego una
moneda de $200.

Así, se determinan dos entradas: la moneda de $100 y la de $200; y dos salidas:


entrega de bebida y el posible regreso de $100. Para la simulación se tomará:

Elemento en
Especificación Variable
simulación
Moneda de $100 A Botón o switch
Entradas
Moneda de $200 B Botón o switch
Entrega de bebida S Led verde
Salidas
Regreso de $100 R Led amarillo

Se debe tener en cuenta (tanto para el requerimiento como para la simulación)


que solo se puede ingresar una moneda a la vez, no hay posibilidad de recibir dos
monedas al tiempo.
2. Marco Teórico

Flip-flop

Un bebestible (flip-flop), es un multivibrador capaz de permanecer en uno de dos estados


posibles durante un tiempo indefinido en ausencia de perturbaciones. Esta característica
es ampliamente utilizada en electrónica digital para memorizar información. El paso de un
estado a otro se realiza variando sus entradas. Dependiendo del tipo de dichas entradas
los biestables se dividen en
 Asíncronos: Solo tienen entradas de control. El más
Empleado es el bebestible RS.
 Síncronos: además de las entradas de control posee
Una entrada de sincronismo o de reloj.
Si las entradas de control dependen de la de sincronismo se denominan síncronas y en
caso contrario asíncronas.
Por lo general, las entradas de control asíncronas prevalecen sobre las síncronas.
La entrada de sincronismo puede ser activada por nivel (alto o bajo) o por flanco (de
subida o de bajada).Dentro de los biestables síncronos activados por nivel están los tipos
RS y D, y dentro de los activos por flancos los tipos JK, T y D.

Flip Flop Jk
Es versátil y es uno de los tipos de flip-flop más usados. Su funcionamiento es idéntico al
del flip-flop S-R en las condiciones SET, RESET y de permanencia de estado. La diferencia
está en que el flip-flop J-K no tiene condiciones no válidas como ocurre en el S-R.
Este dispositivo de almacenamiento es temporal que se encuentra dos estados (alto y
bajo), cuyas entradas principales, J y K, a las que debe el nombre, permiten al ser
activadas:
J: El grabado (set en inglés), puesta a 1 o nivel alto de la salida.
K: El borrado (reset en inglés), puesta a 0 o nivel
Bajo de la salida.
Si no se activa ninguna de las entradas, el biestable Permanece en el estado que poseía
tras la última operación de borrado o grabado. A diferencia del biestable RS, en el caso de
activarse ambas entradas a la Vez, la salida adquirirá el estado contrario al que tenía.

COMPUERTA AND (OPERACIÓN).


 La operación AND se realiza de la misma forma que la multiplicación ordinaria
 Una compuerta AND es un circuito lógico que realiza la operación sobre las
entradas
 La salida de la compuerta AND será 1 solo para e caso en que todas las entradas
sean 1, para todos los demás casos la salida será 0
 La expresión 𝑥 = 𝐴𝐵 se lee “ x igual a A AND B”
COMPUERTA OR (OPERACIÓN)
 La operación OR produce un resultado (salida) de 1 siempre que cualquiera de
sus entradas sea 1. En cualquier otro caso, la salida será 0.
 Una compuerta OR es un circuito lógico que realiza la operación OR sobre las
entradas del circuito.
 La expresión 𝑥 = 𝐴 + 𝐵 se lee como “ X es igual a A OR B”

GENERADR DE PULSOS (555)


Es un circuito integrado CHIP que se utiliza para variedad de aplicaciones y se utiliza como
generador de pulsos u oscilaciones. El 555 puede ser utilizado para proporcionar retardos
de tiempo, como un oscilador o como un integrado filp-flop sus derivados proporcionan
hasta 4 circuitos de sincronización en un solo paquete
En casos prácticos el 555 se utiliza como señal de reloj (CLK) para generar as diferentes
transiciones (PGT, NGT).

Máquinas de Estados Finitos


Una máquina de estados es una estructura de programa que nos sirve para determinar el
comportamiento de algo en base al estado en el que se encuentre. Para cada estado por
tanto se tendrá un comportamiento. Las máquinas de estados se pueden utilizar en
muchos aspectos y niveles. Podemos utilizarlas para controlar el estado de la aplicación
que estemos realizando, o utilizarlas sólo para controlar un clip de película etc.

En una máquina de estados se deben tener en cuenta las siguientes variables:

 Estados: Un estado representa el comportamiento de un elemento que hace


algo durante cierto tiempo. Representaremos los estados con rectángulos,
círculos etc.
 Transición: Una transición es el paso de un estado de origen a otro de destino.
Tiene siempre asociada una condición y puede requerir la ejecución de alguna
acción.

Representaremos las transiciones con una flecha a la que añadiremos una línea
horizontal. La flecha va del estado de origen al estado destino de la transición.
Encima de esa línea colocaremos la condición que desencadena la transición.
Debajo de la línea colocaremos las acciones que queremos que se ejecuten en
cada transición.

 Punto de inicio: Además de estados y transiciones nos encontraremos siempre


con un punto de inicio que señala cual es el estado inicial y si se tuvieran que
ejecutar algunas acciones al entrar por primera vez en ese estado.

Punto de fin: Opcionalmente nos podemos encontrar con otro círculo, que representa el final de
la ejecución de la máquina de estados.
3. Explicación Diseño del Circuito

Para el análisis y diseño del circuito, se seguirán ciertos pasos requeridos por toda
máquina de estados.

- Asignación de estados

Las variables de entrada: las cuales serán representados por BA

A = $100

B = $200

Las variables de salida:

S = Bebida

R = Regreso

Tendremos 5 estados posibles: los cuales serán representados por Q2Q1Q0 de


un sistema secuencial formado de 3 flip-flops, donde Qi es la salida de cada
flip-flop

Estado Representación
$0 000
$100 001
$200 010
$300 011
$400 100
- Construcción del diagrama de transición o de estados

13 posibilidades para salida de bebida: S


5 posibilidades para Regreso: R

5 estados posibles y sus transiciones


Se deben agregar 2 estados más para la posible simulación que serán igual a $0
. Estado $500 representado y obtenido por B/1 y A/1
. Estado $600 representado y obtenido por B/11
De estos dos estados (como estados de entrega), solo aplica uno en cada
combinación de las 13 posibles, por lo que se necesitan 6 pulsos o pasos (5 de
estados verdaderos y 1 de estado de transición de salida)
- Elaboración de la tabla de estados

Estado Estado
Entradas Salidas Flip-flops tipo JK
Inicial Siguiente
x y z
Q2 Q1 Q0 B A Q2 Q1 Q 0 S R
J K J K J K
0 0 0 0 0 0 0 0 x 0 x 0 x
0 1 0 0 1 0 0 0 x 0 x 1 x
0 0 0
1 0 0 1 0 0 0 0 x 1 x 0 x
1 1 x x x x x x x x x x x

0 0 0 0 1 0 0 0 x 0 x x 0
0 1 0 1 0 0 0 0 x 1 x x 1
0 0 1
1 0 0 1 1 0 0 0 x 1 x x 0
1 1 x x x x x x x x x x x

0 0 0 1 0 0 0 0 x x 0 0 x
0 1 0 1 1 0 0 0 x x 0 1 x
0 1 0
1 0 1 0 0 0 0 1 x x 1 0 x
1 1 x x x x x x x x x x x

0 0 0 1 1 0 0 0 x x 0 x 0
0 1 1 0 0 0 0 1 x x 1 x 1
0 1 1
1 0 1 0 1 0 0 1 x x 1 x 0
1 1 x x x x x x x x x x x

0 0 1 0 0 0 0 x 0 0 x 0 x
0 1 1 0 1 0 0 x 0 0 x 1 x
1 0 0
1 0 1 1 0 0 0 x 0 1 x 0 x
1 1 x x x x x x x x x x x

0 0 0 0 0 1 0 x 1 0 x x 1
0 1 x x x x x x X X x x X
1 0 1
1 0 x x x x x x X X x x X
1 1 x x x x x x X X x x X

0 0 0 0 0 1 1 x 1 x 1 0 x
0 1 x x x x x x x x x x x
1 1 0
1 0 x x x x x x x x x x x
1 1 x x x x x x x x x x x
- Obtención de ecuaciones o funciones lógicas

Para Jx = 𝑄1 𝐵 + 𝑄1 𝑄0 𝐴
BA/Q2Q1Q0 000 001 011 010 110 111 101 100
̅
𝐵𝐴 ̅ 0 0 0 0 x x x X
̅
𝐵𝐴 0 0 1 0 x x X x
𝐵𝐴 x X X X x x X X
𝐵𝐴̅ 0 0 1 1 x x x x

Para Kx = 𝑄1 + 𝑄0
BA/Q2Q1Q0 000 001 011 010 110 111 101 100
𝐵̅ 𝐴̅ X X X X 1 X 1 0
𝐵̅ 𝐴 X X X X X X X 0
𝐵𝐴 X X X X X X X X
𝐵𝐴 ̅ X X X X X X X 0

Para Jy = 𝐵 + 𝑄0 𝐴
BA/Q2Q1Q0 000 001 011 010 110 111 101 100
𝐵̅ 𝐴̅ 0 0 X X X X 0 0
̅
𝐵𝐴 0 1 X X X X X 0
𝐵𝐴 X X X X X X X X
𝐵𝐴̅ 1 1 X X X X X 1

Para Ky = (𝐵 + 𝑄0 𝐴) + 𝑄2 = 𝐽𝑦 + 𝑄2
BA/Q2Q1Q0 000 001 011 010 110 111 101 100
̅
𝐵𝐴 ̅ X X 0 0 1 X X X
𝐵̅ 𝐴 X X 1 0 X X X X
𝐵𝐴 X X X X X X X X
𝐵𝐴 ̅ X X 1 1 X X X X

Para Jz = 𝑄̅2 𝐴 + 𝑄2 𝐴 = 𝐴
BA/Q2Q1Q0 000 001 011 010 110 111 101 100
𝐵̅ 𝐴̅ 0 X X 0 0 X X 0
̅
𝐵𝐴 1 X X 1 X X X 1
𝐵𝐴 X X X X X X X X
𝐵𝐴̅ 0 X X 0 X X X 0

Para Kz = 𝐴 + 𝑄2
BA/Q2Q1Q0 000 001 011 010 110 111 101 100
𝐵̅ 𝐴̅ X 0 0 X X X 1 X
̅
𝐵𝐴 X 1 1 X X X X X
𝐵𝐴 X X X X X X X X
𝐵𝐴 ̅ X 0 0 X X X X X
Para S = 𝑄2 𝑄1 + 𝑄2 𝑄0
BA/Q2Q1Q0 000 001 011 010 110 111 101 100
𝐵̅ 𝐴̅ 0 0 0 0 1 x 1 0
̅
𝐵𝐴 0 0 0 0 x x x 0
𝐵𝐴 x X X X x x X X
𝐵𝐴̅ 0 0 0 0 x x x 0

Para R = 𝑄2 𝑄1
BA/Q2Q1Q0 000 001 011 010 110 111 101 100
𝐵̅ 𝐴̅ 0 0 0 0 1 x 0 0
𝐵̅ 𝐴 0 0 0 0 x x x 0
𝐵𝐴 x X X X x x X X
𝐵𝐴 ̅ 0 0 0 0 x x x 0

Para las ecuaciones obtenidas, se tuvo en cuenta tanto su reducción como


también la modificación de algunas para que igualen con otras y así en su montaje
reducir tanto tamaño como costo.

- Realización del circuito lógico

Se tendrá cinco partes diferenciables en el circuito:

. El generador de pulsos, con el circuito integrado 555 ó también que puede ser
manual para poder verificar su funcionamiento.

. Entradas, con interruptores. Para identificar A y B (moneda $100 y $200).

. Circuito secuencial, formado por los flip flops.

. Circuito combinacional, formado por compuertas AND y OR. Este interactúa tanto
con entradas y con flip flops.

. Salidas, con leds.

Se utiliza la herramienta de software: Proteus, para la simulación del circuito.


4. Imágenes Diseño del Circuito

5. Plan de pruebas y Resultados

Para el plan de pruebas se realizó además de la revisión minuciosa de tablas y


ecuaciones, con ayuda de la simulación del circuito, se realizó cada una de las 13
posibilidades.

Solo se indicaran los posibles estados, ya sea generado hasta aquel estado por
cualquier posibilidad.
Estado $0

Resultados: Q2 = 0 Q1 = 0 Q0 = 0 S=0 R=0


El generador de pulsos usado para la simulación es manual y activa en bajo.

Estado $100

Resultados: Q2 = 0 Q1 = 0 Q0 = 1 S=0 R=0


Se ingresó una moneda de $100 (A).
Estado $200

Resultados: Q2 = 0 Q1 = 1 Q0 =0 S=0 R=0


Se ingresó una moneda de $200 (B).

Estado $300

Resultados: Q2 = 0 Q1 = 1 Q0 =1 S=0 R=0


Se ingresó una moneda de $100 (A) al estado $200.
Estado $400

Resultados: Q2 = 1 Q1 = 0 Q0 = 0 S=0 R=0


Se ingresó una moneda de $200 (B) al estado $200.

Estado $500

Resultados: Q2 = 1 Q1 = 0 Q0 = 1 S=1 R=0


Se ingresó una moneda de $100 (A) al estado $400.
Estado $600

Resultados: Q2 = 1 Q1 = 1 Q0 =0 S=1 R=1


Se ingresó una moneda de $200 (B) al estado $400.

El siguiente estado al $500 o $600 será nuevamente $0, para obtenerlo solo se
debe dar un pulso de más, teniendo en cuenta que ya no se deben ingresar más
monedas por lo que A = 0 y B = 0.
6. Conclusiones y problemas encontrados

Problemas:

. Visualización de las salidas, por lo que se incorporaron los dos estados de


transición.

. Generador de pulsos, para ello se tomó para simular un generador de pulsos


manual.

. Ecuaciones o funciones lógicas, se tenía gran variedad de posibles ecuaciones


por lo que se las escogió tales que redujeran circuitería y costos para su montaje.

Conclusiones:

. El estudio de las máquinas de estado son de gran importancia en los sistemas y


aparatos digitales.

. Se observó el tratamiento de una máquina de estado, sus partes y procedimiento


para su obtención.

. Es de gran importancia seguir un orden específico y requerido para el estudio de


las máquinas de estado.

7. Bibliografía

- Sistemas digitales principios y aplicaciones - Ronald Tocci - octava edición


- Clases y asesorías de Circuitos Digitales
- http://www.alldatasheet.es/

S-ar putea să vă placă și