Sunteți pe pagina 1din 11

Circuitos Electrónicos Integrados

LABORATORIO Nº 12

“FPGA – VHDL – Elementos de memoria”

Alumnos :

Grupo : Profesor: Nota:


Semestre :
Fecha de entrega : Hora:
Laboratorio 12 LAB 13
Programación el VHDL Página 1 / 9

I. OBJETIVOS
a) Identificar la arquitectura de las FPGA.
b) Programar un FPGA utilizando lenguaje esquemático para crear circuitos lógicos.
c) Cargar correctamente el archivo .BIT en el FPGA.
d) Realizar Síntesis, implementar y generar un archivo de programación.

II. MATERIAL Y EQUIPO


 01 FPGA Atlys Digilent.
 Cable Microusb - Usb

III. FUNDAMENTO TEORICO

Los FPGA (Field Programmable Gate Array) son circuitos lógicos programables directamente por el
usuario, lo cual requiere de herramientas de costo relativamente bajo, como lo son el software de
desarrollo y el dispositivo grabador. La grabación o programación de uno de estos dispositivos se puede
llevar a cabo en milisegundos. Los FPGA son muy utilizados por fabricantes que producen tecnología a
baja escala, como por ejemplo diseñadores de equipos de propósito específico, los cuales no pueden
justificar la producción de ASICs por los bajos volúmenes de dispositivos que venden. Los FPGAs tienen
una funcionalidad similar, a costos menores y con una velocidad ligeramente menor. También los FPGAs
se utilizan como prototipos, los cuales se pueden depurar y permiten refinar el diseño. Con el software
de diseño se puede simular en hardware antes de mandar a fabricar el ASIC correspondiente

Estructura general de las FPGAs

El proceso de diseño de un circuito digital utilizando una matriz lógica programable puede
descomponerse en dos etapas básicas:

 Dividir el circuito en bloques básicos, asignándolos a los bloque configurables del dispositivo.
 Conectar los bloques de lógica mediante los conmutadores necesarios

Ilustración 1: Estructura general de una FPGA (en concreto de XILINX)

Los elementos básicos constituyentes de una FPGA como las de Xilinx se pueden ver en la
Ilustración 1 y son los siguientes:
Laboratorio 12 LAB 13
Programación el VHDL Página 2 / 9

 Bloques lógicos, cuya estructura y contenido se denomina arquitectura. Hay muchos tipos de
arquitecturas, que varían principalmente en complejidad (desde una simple puerta hasta módulos
más complejos o estructuras tipo PLD). Suelen incluir biestables para facilitar la implementación de
circuitos secuenciales. Otros módulos de importancia son los bloques de Entrada/Salida

 Recursos de interconexión, cuya estructura y contenido se denomina arquitectura de rutado.

 Memoria RAM, que se carga durante el RESET para configurar bloques y conectarlos.

Seguridad en la ejecución del laboratorio

Tener cuidado con el tipo y niveles de voltaje con


los que trabaja.

Antes de utilizar el multímetro, asegurarse que


esta en el rango y magnitud eléctrica adecuada.

Tener cuidado en la conexión y en la desconexión


de los equipos utilizados
Laboratorio 12 LAB 13
Programación el VHDL Página 3 / 9

PROCEDIMIENTO.

Latch (Cerrojo)
Dispositivo con la capacidad de almacenar un bit de información de manera asíncrona; es decir, la alteración del
estado de almacenamiento “salida” se produce mediante una señal y no de una entrada de reloj.

ADJUNTE EVIDENCIAS DE LO
TRABAJADO.
Laboratorio 12 LAB 13
Programación el VHDL Página 4 / 9

Flip Flop tipo D con reset asíncrono y señal de habilitación.


En la figura se muestra un flip flop tipo D con reset asíncrono y señal de habilitación; como se puede ver en
dicha figura, en la línea 9 se ha declarado una señal interna q_aux; el valor que toma esta considera las
diferentes condiciones de entrada, por ejemplo si reset=’1’, entonces q_aux toma el valor de cero. Nótese que
la asignación y transferencia de q<=q_aux s define fuera del proceso (porcess). Esta asignación es necesaria para
tener un pin físico de la señal de salida Q.

ADJUNTE EVIDENCIAS DE LO
TRABAJADO.
Laboratorio 12 LAB 13
Programación el VHDL Página 5 / 9

Flip Flop tipo SR (set / reset) – Trabajo evaluado en laboratorio.


El flip flop SR y su tabla descriptiva se representan en la figura. Diseñe en el FPGA el software y hardware para
dar solución al problema.

Inserte el código en VHDL.

Inserte el código “.UCF”


(constraint)

ADJUNTE EVIDENCIAS DE LO
TRABAJADO.
Laboratorio 12 LAB 13
Programación el VHDL Página 6 / 9

Registro Serie.
Considere el arreglo que se muestra en la figura. Como se puede observar, la actualización de la salida en cada
uno de los flip-flop se da de manera simultánea en cada flanco de subida de señal de reloj (clk).
Inserte el código en VHDL.

Inserte el código “.UCF” (constraint)

ADJUNTE EVIDENCIAS DE LO TRABAJADO.


Laboratorio 12 LAB 13
Programación el VHDL Página 7 / 9

Registro Paralelo.
La función de un registro de entrada – salida en paralelo es la transferencia de la información de sus pins de
entrada a sus pins de salida, en la transición de un flanco de subida o uno de bajada en un pulso de reloj.
Inserte el código en VHDL.

Inserte el código “.UCF”


(constraint)

ADJUNTE EVIDENCIAS DE LO
TRABABAJADO.
Laboratorio 12 LAB 13
Programación el VHDL Página 8 / 9

REGISTRO PARALELO 4 BITS – Trabajo evaluado en laboratorio.


Realizar el program correspondiente a un registro paralelo de 4 bits; para ello, desarrolle la función de sus
entradas y salidas descritas en la tabla correspondiente.
En la tabla se debe describir el comportamiento del circuito:
 Si CLR = ‘0’, las salidas Q adoptan el valor de ‘0’, sin importar el valor de la entrada ‘D’.
 Si CLR = ‘1’, las salidas Q adoptan el valor de las entradas D0, D1, D2, D3, simbolizadas por Dn; por su
parte, la salida Qn adopta el valor negado de la salida Q.
Inserte el código en VHDL.

Inserte el código “.UCF”


(constraint)

ADJUNTE EVIDENCIAS DE LO
TRABAJADO.
Laboratorio 12 LAB 13
Programación el VHDL Página 9 / 9

OBSERVACIONES Y/O CONCLUSIONES.

______________________________________________________________________________________
______________________________________________________________________________________
______________________________________________________________________________________
______________________________________________________________________________________
______________________________________________________________________________________
______________________________________________________________________________________
______________________________________________________________________________________
______________________________________________________________________________________
______________________________________________________________________________________
______________________________________________________________________________________

S-ar putea să vă placă și