Sunteți pe pagina 1din 12

This article has been accepted for inclusion in a future issue of this journal.

Content is final as presented, with the exception of pagination.

IEEE JOURNAL OF SOLID-STATE CIRCUITS 1

An 88% Efficiency 0.1–300-μW Energy Harvesting


System With 3-D MPPT Using Switch Width
Modulation for IoT Smart Nodes
Karim Rawy , Student Member, IEEE, Taegeun Yoo , Member, IEEE, and
Tony Tae-Hyoung Kim , Senior Member, IEEE

Abstract— This paper presents a novel 3-D maximum power


point tracking (3-D MPPT) system for energy harvesting
systems (EHS) within Internet of Things (IoT) smart nodes. The
proposed 3-D MPPT utilizes a switch width modulation (SWM)
technique for improving power efficiency (PE) at idle (<1 µA)
and heavy (>300 µA) load modes. The SWM eliminates the
gate driver/conduction loss tradeoff in a reconfigurable switched
capacitor charge pump (SCCP). The proposed SWM technique
modulates the SCCP switch resistance in proportion to the load
condition, input voltage, and Vgs applied. A cold startup tech-
nique is incorporated in the proposed EHS with an energy-aware Fig. 1. Generic IoT SoC with dc EHS.
algorithm for input harvester select purpose. The fabricated test
chip in 65-nm CMOS technology can harvest solar and thermal
energy from 0.35 V and provides a regulated output voltage at energy-autonomous IoT smart nodes, and avoid the mainte-
1 V with the peak efficiency of 88% at 200 µW and PE >60% nance cost of recharging and/or replacement of batteries and
at 100 nW.
their bulky size [1], [2], [5]–[10].
Index Terms— Energy harvesting system (EHS), Internet- Numerous energy harvesters have been studied and
of-Things (IoT), maximum power point tracking (MPPT), discussed in the literature, including photovoltaic (PV) [1], [4],
photovoltaic (PV) cells power management circuits (PMS), ther-
moelectric generator (TEG). [9], [12]–[15], thermoelectric generators (TEG) [16]–[18], and
piezoelectric [19].
Among these energy scavengers, PV has gained a signif-
I. I NTRODUCTION
icant attention and popularity as an energy source for

F OLLOWING the international technology roadmap


of semiconductor and the recent advances in inte-
grated circuits technology, Internet of Things (IoT) smart
autonomous IoT SoC due to its high-power density and low
cost [3]. The solar energy can be harvested using inductive-
based [5], [17], [22], [23] or switched capacitor-based dc–dc
nodes, including wearable devices, wireless electronics, and converter [1], [3], [4], [6], [7], [11], [14], [15]. However,
implantable sensors proliferate and increasingly become the latter is a more suitable solution for fully integrated IoT
popular. The smart nodes within IoT applications will reach SoC, such as smart nodes and body-area-network (BAN),
nearly 50 billion connected devices by 2020 [1]–[5]. These avoiding bulky OFF-chip inductors. To maximize the solar
smart nodes are usually implemented as IoT SoC and includes energy being harvested, PV is connected to a switched capac-
power management circuit (PMC), energy sources, signal itor dc–dc converter controlled by a maximum power point
processing, communication blocks, and sensors and/or actu- tracking (MPPT). The MPPT makes the system operate at
ators, as illustrated in Fig. 1. Power efficiency (PE), small its maximum efficiency point (i.e., harvesting and conver-
silicon area, and cost efficiency are the main challenges sion efficiency). The need of MPPT, along with the dc–dc
for IoT SoC implementation. Hence, fully integrated, self- converter rises from the harvester nature dependence and load
adaptive, and cost-efficient PMC design becomes crucial power variability, especially at a regulated output voltage.
within IoT smart nodes. In this aspect, energy harvester In this aspect, the MPPT can have two roles within an
powered PMC garners a considerable attention as it features energy harvesting systems (EHS) [21]: 1) conditioning the
Manuscript received February 10, 2018; revised April 17, 2018; accepted harvester output to a suitable dc level in order to maximize
May 1, 2018. This paper was approved by Guest Editor Seung-Tak Ryu. the scavenged energy from the harvester and/or 2) controlling
This work was supported by the Singapore International Graduate Award. the dc–dc converter to maximize the converted amount of
(Corresponding author: Karim Rawy.)
The authors are with the School of Electrical and Electronic Engi- energy harvested at the input to the output as per load
neering, Nanyang Technological University, Singapore 639798 (e-mail: demand (i.e., balancing the load/harvested-power operating
karim002@e.ntu.edu.sg; tgyoo@ntu.edu.sg; thkim@ntu.edu.sg). point for maximum conversion efficiency). It should be noted
Color versions of one or more of the figures in this paper are available
online at http://ieeexplore.ieee.org. that the dc–dc converter can be controlled either to harvest the
Digital Object Identifier 10.1109/JSSC.2018.2833278 power demanded by the load or to harvest maximum power
0018-9200 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

2 IEEE JOURNAL OF SOLID-STATE CIRCUITS

available from the transducer and store it in a buffer [21]. The


proposed 3-D MPPT focuses on the second role of the MPPT
within an EHS to improve the modest conversion efficiency
achieved by the prior arts at light loads, and to improve it
further at heavy loads, as explained in the next paragraph.
Many hill-climbing-based MPPT principles have been
exploited to maximize the converted harvested power as per
load demand. Although they achieve a considerable PE (i.e.,
conversion efficiency) at 100 s of microampere output load,
they suffer from a modest PE (30% – 40%) when supplying
100 s of nanowatt load during idle mode. Wu et al. [5]
mentioned that the IoT sensor nodes operation profile stays
more than 50% of its operation time in idle mode. Moreover,
Lee et al. [24] show a wireless operation scenario, that proves
the former claim. It shows that the IoT wireless sensor wakes
up only during the heavy-duty functions and operates most of
the time in idle or standby mode. According to Wu et al. [5], Fig. 2. Proposed 3-D MPPT behavioral diagram for PV cells.
a typical wireless sensor node wakes up once every 60 s, or
even more, thus, the conversion efficiency during the idle and
standby (i.e., light load) mode dominates the overall PE of II. P ROPOSED 3-D MPPT O PERATION P RINCIPLE
the EHS. Therefore, high PE at ultra-light loads (i.e., 100 s of AND A LGORITHM
nanoampere) is crucial to avoid the degradation of the EHS The main idea of the hill-climbing MPPT is to condition the
PE within IoT smart nodes and implantable sensors. dc–dc converter equivalent input impedance (Rcp ) to minimize
Conventionally, the hill-climbing-based MPPT reported in the internal losses and maximize the power transfer to the
the literature utilizes conversion ratio modulation (CRM) load. In that aspect, the proposed 3-D MPPT also employs a
and/or switching frequency modulation (SFM) techniques hill-climbing MPPT concept assisted with several techniques
limiting their MPPT process to one or two dimensions. The to improve the PE across a wide output load range. This
1-D MPPT was adopted in [4] and [7] by tuning the switching section discusses the proposed 3-D MPPT tracking principle
frequency ( f sw ) and the pumping capacitor values, respec- followed by the proposed SWM technique for a further PE
tively. Although the high efficiency achieved, the associated improvement.
PE is limited to 40% at light load (<1 μA). Moreover,
the large area occupied by the capacitor bank in [4] make A. 3-D MPPT Tracking Principle
it less cost efficient while the lack of a reconfigurability in [4]
and [7] induces charge redistribution loss (CRL), degrading Fig. 2 describes the proposed 3-D MPPT behavioral
the PE. The 2-D MPPT in [3] employed CRM and SFM diagram. The Iload condition is sensed by analyzing the
using a hysteresis control technique to achieve a peak PE voltage detectors (VDs) output pulses (Vdet−1 , Vdet−2 ) through
of 89%. However, as the output load goes below 1 μA, a feedback path. While the Vin range is detected using a
the PE decreases to less than 50%. The PE limitation of the programmable VD (PVD) through a forward path. A simple
conventional MPPT is due to the fixed transistor sizes for the time-to-digital converter (TDC) and two digital registers [ f ctrl
dc–dc converter across a wide load range. and reconfiguration register (CR)] are developed so that Iload
In this paper, a reconfigurable serial–parallel switched condition and Vin detected range can be digitally stored. Then,
capacitor charge pump (SP SCCP) and a novel Vgs -dependent a digital core sets “ fsw ” and the conversion ratio “N” using
switch width modulation (SWM) technique are incorporated f ctrl and CR digital values, respectively. This has two benefits.
into the MPPT procedure along with the SFM and CRM First, “ f sw ” and “N” can be used by the SWM technique to
techniques resulting in the proposed 3-D MPPT. The proposed optimize the SCCP transistors width as indicators for Iload
3-D MPPT eliminates the gate driver/conduction loss tradeoff and Vin , respectively. Second, low-complex operation and low
by configuring the SP SCCP transistor widths with proportion power implementation are feasible since the SWM can be
to the load condition and the input voltage applied. In addition, adopted in the digital domain.
the proposed 3-D MPPT is self-adaptive and compatible with In general, the tradeoff between the gate driver and the
various dc energy harvester types such as PV and TEG. conduction loss rises at wide load applications including IoT
The remainder of this paper is organized as follows. The smart nodes that demand 100 s of nanoampere to 100 s
proposed 3-D MPPT along with the SWM operation principles of microampere depending on the operating mode. More
are discussed in Section II. Section III explains the architecture specifically, the SCCP internal losses including conduction
and the circuit implementation of the proposed 3-D MPPT. loss and gate driver loss depend on the transistors width and
The startup technique, the energy-aware algorithm, and the the f sw at a given operating condition. The PE, in this case,
3-D MPPT closed-loop operation are presented in Section IV, can be expressed as follows:
followed by the measurement results in Section V. Finally, (N × Vin −Vd ) × Iload
PE = (1)
Section VI concludes this paper. N × Vin × Iload + Pg
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

RAWY et al.: 88% EFFICIENCY 0.1–300-μW EHS WITH 3-D MPPT 3

Fig. 4. SP SCCP circuit architecture.

scenarios, using small transistors with large RON and reduced


Csw are enough to maintain regulation and reduce Pg . There-
fore, the proposed 3-D MPPT employs a novel Vgs -dependent
SWM technique together with SFM and CRM (i.e., 3-D
Fig. 3. Simulated power distribution of a 2× SCCP at idle and heavy
operation modes with (a) large transistor width and (b) small transistor width.
tracking process) to improve the PE at idle and heavy load
conditions. The basic idea is to modulate the implemented
SCCP transistors width in proportion to Iload and Vin values,
N × Iload N × Iload along with the f sw and the “N” to minimize the internal losses,
×Vd ∝ ∝ (2)
1/Rcp Cfly × f sw boosting the PE across wide input voltage and output load
where Vd is the drop voltage across the SCCP due to range. The proposed 3-D MPPT algorithm will be discussed
conduction loss and defined by (2), Cfly and N are the SCCP in Section II-B.
flying capacitance and conversion ratio, respectively. Pg is the
gate driver loss, and it is defined as follows: B. Vgs -Dependent SWM Tracking Algorithm
Pg ∝ Csw Vgs
2
f sw (3) The proposed SWM technique varies the SCCP transistors
width (i.e., RON ), and hence, Rcp according to the load
where Csw is the transistor gate capacitance and Vgs ’ is applied condition to minimize the conductions loss and Pg . According
swing voltage per switch. to (3), Pg can be significantly reduced by an additional
Fig. 3 shows a simulated 2× SP SCCP power consump- transistor width optimization with relative to the Vgs applied.
tion distribution at idle and heavy-duty operation modes. In addition, the transistor RON varies with Vgs at the same
To analyze the PE limitation issue, a simulation is carried out width (W) due to the SCCP transistors linear characteristics,
assuming Iload equals 500 nA and 200 μA at idle and heavy- as follows:
duty mode, respectively. At heavy load scenarios, Pg , in (1), 1
is far less than N ×Vin ×Iload [13], and can be neglected. In this RON ≈   . (4)
μCox W L (Vgs − Vth )
case, PE can be improved by reducing the conduction loss
that arises from the charging and discharging of Cfly through Utilizing this information for MPPT, the proposed SWM
the SCCP switches and limited by Vd . According to (2), technique optimizes the SCCP transistors width (i.e., RON )
modulating f sw with Iload and N variations reduces Vd , proportionally with: 1) Iload and 2) applied Vin which deter-
hence, improving the PE. For a further PE improvement, large mines Vgs .
SCCP transistors with small RON are required to minimize Since the SWM optimization depends on Vgs per each tran-
the Rcp , and hence, to reduce Vd . At light load and idle sistor, the SP SCCP switches shown in Fig. 4 are divided into
conditions, Pg dominates and significantly decreases the PE. two types: 1) low-side transistors (PLS ), with Vgs almost equals
There are various means to reduce Pg . The SFM technique Vin and 2) high-side transistors (PHS ) with Vgs equals Vcp ,
reduces f sw with Iload , and hence, reduces Pg . However, which is regulated and equals 1 V. Thus, the PLS size (WLS )
the effect of this technique on the PE reduces at ultra-light is modulated in proportion to f sw together with Vin , while PHS
load condition where Pg dominates the losses where SFM size (WHS ) is proportionally modulated with f sw only, due to
is already applied. Therefore, Pg can be further reduced by its constant Vgs . Following the flowchart in Fig. 5, fsw and
decreasing the Csw associated with each SCCP transistor (i.e., N are stored digitally into 6-bit f ctrl and 3-bit CR signals,
small switch width), thus increasing Rcp . respectively. Initially, CR and f ctrl are loaded with their initial
In addition, according to (2) and (3), assuming the same Vin , values. First, if the indirectly sensed Iload is increasing, the 3-D
Rcp requirements differ across the load range. Large SCCP MPPT algorithm increments f ctrl and proportionally widens
transistors with small on-resistance (RON ) and consequently PLS and PHS . Likewise, when Iload decreases, indicating a
small Rcp are required to maintain regulation and minimize the lighter load demand, the 3-D MPPT algorithm decrements
conduction loss at heavy load conditions. While at light load f ctrl and proportionally decreases the PLS and PHS width.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

4 IEEE JOURNAL OF SOLID-STATE CIRCUITS

Fig. 5. Proposed 3-D MPPT flowchart. Fig. 7. 7. 3-D MPPT tracking for Rcp optimization per operating point
{Iload , Vin }.

Fig. 8. Proposed 3-D MPPT system architecture.


Fig. 6. Proposed 3-D MPPT operation at different operation cases.

selfsustained, autonomous, and low-power EHS within IoT


Second, to maintain regulation and low CRL, CR is modulated smart nodes. A detailed study of the 3-D MPPT architecture
inversely with Vin for a regulated Vcp equals 1 V. As shown including the Iload indirect sensing process and the TDC will
in Fig. 5, f ctrl and CR are used to update the PLS size, be presented in Section III.
while PHS size is updated only according to f ctrl . Thus,
the proposed SWM technique is triggered simultaneously with III. P ROPOSED 3-D MPPT A RCHITECTURE
CRM (case-3), SFM (case-2), or both (case-1), as described AND C IRCUIT I MPLEMENTATION
in Fig. 6.
In conclusion, the proposed 3-D MPPT track the MPP by Fig. 8 illustrates the proposed EHS along with the novel
conditioning Rcp using N (CRM), f sw (SFM) and [WHS , 3-D MPPT architecture. The proposed EHS harvests solar
WLS ] (SWM) at each operating point defined by the pair energy to provide a regulated output voltage (1 V). It is
{Iload , Vin }. Fig. 7 illustrates the proposed 3-D MPPT tracking composed of a forward path and a feedback path. The forward
process at two different operating points {Iload , Vin }1/2 . path features a continuous Vin range detection to set the
Initially, at {Iload , Vin }1 , the optimum RCP (Rcp−opt1) is proper SP SCCP conversion ratio. The feedback monitors Iload
tracked by adjusting: 1) “N” according to the detected Vin−1 to establish the SP SCCP f sw , WHS , and WLS accordingly.
and 2) “ fsw ” according to the indirectly sensed Iload−1 . Then, The proposed 3-D MPPT shown in Fig. 8 consists of five
WLS and WHS are updated in proportion to the adapted N main blocks, namely, a reconfigurable SP SCCP, the digital
and f sw (A–D in Fig. 7). At point “D” in Fig. 7, the tracking processing unit (DPU) where the proposed 3-D MPPT tracking
algorithm realized a decrease in PE by analyzing Vdet−1/2, algorithm runs, PVD to detect the Vin range, ultra-low-power 4
so it locks the MPPT process at “C”. When the operating transistors (4T) reference circuits, and finally a programmable
point varies to {Iload , Vin }2 , Rcp−opt2 is tracked from the last ring oscillator (PROSC) to generate clock signal for the DPU,
achieved Rcp−opt as shown in Fig. 7 (C–H) without the need of SP SCCP, and PVD.
re-tuning the f sw or N as in [3], making the tracking response
faster. The TDC is employed using digital counters and edge A. Serial–Parallel Switched Capacitor Charge Pump
detectors, while the PVD detects Vin range without the need To accommodate a wide input range, a reconfigurable SP
of any reference voltages, make it an adequate solution for SCCP is developed (Fig. 4). By manipulating the SP SCCP
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

RAWY et al.: 88% EFFICIENCY 0.1–300-μW EHS WITH 3-D MPPT 5

Fig. 9. SP SCCP configuration at each conversion ratio (N ).

Fig. 11. DPU with SFM, CRM, and SWM control block diagram.

Fig. 10. SP SCCP transistors segmentation for PHS and PLS .

configuration using S0−16 , the implemented SP SCCP can


boost Vin with six different N: 1×, 1.25×, 1.5×, 2×, 2.5×,
and 3×. The SP SCCP configuration at each N is shown
in Fig. 9. The CRM sets the proper N according to the
detected Vin range using the PVD. The SP configuration for
Vin boosting can provide multiple N with a fewer number of
Cfly , making it an adequate solution to cover a wide input
range with low silicon area. Fig. 10 shows the PHS and
PLS segmentation architecture. PHS and PLS are divided into
smaller transistors connected in parallel. The total width of
PHS (WHS ) and PLS (WLS ) is defined by the digital control Fig. 12. Timing diagram for the DPU with (a) forward path and (b) feedback
loop.
signals H0−6 and L 0−6 , respectively, (Fig. 10). Since Vcp is
greater than Vin , WHS is less than WLS by a proportional
fraction “σ ”. The sizes of the control logic gates in Fig. 10 are
almost minimum with low switching rates. Thus, the gate 2) SFM Control: The SFM control adopts a newly event-
driver loss introduced by these logic gates (Pgs ) can be time-driven technique responsible for sensing Iload , setting
neglected compared with the one added by the converter f sw , and maintaining regulation. The proposed SFM technique
switches. For this reason, Pgs is omitted in the denominator is developed using two VDs that keep Vcp regulated between
in (1). V1 and V2 [30-mV window] (V2 < Vcp < V1 ), a TDC
to identify Iload condition and a PROSC to generate f sw
proportionally. Following the timing diagram in Fig. 12(b),
B. Digital Signal Processing Unit (DPU) at heavy Iload demand, f sw increments one step per each
Fig. 11 illustrates the DPU architecture. It consists of three Vdet−2 negative edge (event driven), demonstrating a Vcp
main control blocks that execute the proposed 3-D MPPT droop (Vcp < V2 ). However, a one-step increase may not
algorithm, namely, CRM, SFM, and SWM control. be enough in case of a large Iload step. Thus, a TDC is
1) CRM Control: The CRM control block utilizes a 6-bit employed to further increase fsw “t L /td ” steps if the Vdet−2
digital signal (R0−5 ) received from the PVD, holding the Vin low time (t L ) exceeds a predefined time (td ) (time driven).
range information. Then, it is encoded and stored in a 3-bit In like manner, fsw decrements per each Vdet−1 positive
CR (Fig. 2), as explained by the timing diagram depicted edge (i.e., Vcp > V1 ) indicating lighter load condition (event
in Fig. 12(a). The SP SCCP switch configuration (S0−16 ) driven). However, if Vdet−1 high time (t H ) exceeds td , f sw
depends on the digital value stored in CR to set a proper further decrements by “t H /td ” steps. The event-time-driven
conversion ratio, avoiding CRL, and hence, improving the PE technique is realized by edge detectors to detect Vdet−1/2
across a wide Vin range. edges direction, and digital counters to calculate t H and t L ,
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

6 IEEE JOURNAL OF SOLID-STATE CIRCUITS

Fig. 15. Output illustration for the proposed PVD at different Vbias .

Fig. 13. Block diagram of the proposed SWM technique.

Fig. 16. Circuit schematic of the (a) conventional VD and (b) PVD proposed
for the 3-D MPPT.

scheme is the ultra-low PVD (Fig. 8). Since the VDs load
Fig. 14. Timing diagram of the proposed SWM technique. the input and output nodes, low power operation is required.
To fulfill this requirement, a modified CMOS PVD version
of the one presented in [26]–[28] is proposed with ≈ 2-nW
as shown in Fig. 11. Finally, the SFM control block modulates power consumption to monitor Vcp voltage level and detect
the f sw according to the sensed Iload condition, as described, Vin range. The implemented PVD is a reference-less circuit
by controlling a PROSC using an 8-bit fctrl digital signal. with two inputs (Vbias, Vin ) (Fig. 15), eliminating the need for
3) SWM Control: The SWM control block optimizes the bandgap circuit and power-hungry comparators or voltage and
SP SCCP transistors size using L 0−6 and H0−6 for PLS and current sensors.
PHS , respectively, (Fig. 11). Fig. 13 shows the SWM control Fig. 16 shows the circuit schematics of the proposed
block diagram. L 0−6 is defined by two width controllers. PVD [Fig. 16(b)] and the one implemented in [28] [Fig. 16(a)].
They use the CR and fctrl stored in R1 and R2 , respectively, The implemented VD schematic has been modified from the
to proportionally optimize PLS size with Iload and Vin (i.e., one proposed in [27] and [28], by connecting M1 to Vbias for
Vgs ) through a mode selector multiplexor and a 7-bit FFs. programmability. As described in [27], Vdetect is defined as Vin
While H0−6 is only defined by one width controller, as shown when the VD output turns from 0 to 1, and that happens when
in Fig. 11, since PHS Vgs always equals Vcp . Likewise, the IM1 equals IM2 as follows (assuming Vds > 100 mV):
width controller-1 proportionally optimizes PHS size with
Vdetect −Vbias −Vth1 −Vth2
Iload through f ctrl stored in R2 . The timing diagram shown Io w1 /l1 e mVT = Io w2 /l2 e mVT (5)
in Fig. 14 explains the proposed SWM technique along with
the SFM and CRM. L 0−6 is updated with the CR and f ctrl where m is the subthreshold coefficient, VT is the thermal
variations, while H0−6 is updated only with f ctrl . voltage, and Vth1 and Vth2 are the Vth of and M2, respectively.
Assuming the same m and Vth for M1 and M2 and by
C. Programmable Voltage Detector (PVD) solving (5), Vdetect can be given by
The proportional modulation scheme of the SP SCCP tran- w2l1
sistors size with Iload varies according to Vin that defines Vdetect = Vbias + mVT ln . (6)
w1l2
the Vgs applied per transistor. Furthermore, to maintain regu-
lation (V2 < Vcp < V1 ), and detect the load condition, Thus, from (6), by varying Vbias , at a given w2 l1 /w1l2 ,
Vcp must be continuously monitored. Conventionally, power- the proposed PVD can detect five different ranges of Vin , as
hungry blocks were used for this purpose such as voltage described in Fig. 12(a). Even though Vdetect is proportional to
and current sensors [25], or a bandgap reference and a temperature, it is not very critical for our application since
comparator [3], [4], increasing the power overhead. Thus, the temperature variation is limited for PV and TEG EHS.
make it unsuitable for micro-EHS [8]. In the proposed 3-D However, the simulation results show a temperature-variation
MPPT, both the output and input voltages need to be detected tolerance of 1 mV/°C in 0 °C–60 °C and a 4-mV variation
for CRM, SFM, and SWM. The key building block in this across process variation.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

RAWY et al.: 88% EFFICIENCY 0.1–300-μW EHS WITH 3-D MPPT 7

Fig. 17. Circuit schematic of the 4T voltage reference. Fig. 18. Proposed MISO EHS architecture along with the harvester selector
and the supply selector.

D. 4T Bias Circuit
An ultra-low power 4T voltage reference followed by a
diode-connected pMOS voltage divider affording multiple
Vbias values is employed to bias PVD and PROSC for their
programmability scheme (Fig. 8). The designed 4T reference
circuit consumes 200 pW at 1 V as a voltage supply. With low
threshold voltage and high threshold voltage pMOS devices,
the process variation is about 0.5% and 2.3% for temperature
variation (0 °C–60 °C). These numbers are acceptable for
energy harvesting application, plus, the range of temperature is Fig. 19. Circuit schematic of the supply selector for cold startup.
adequate for the applications of interest, as the temperature is
not supposed to drift away from this range. Fig. 17 shows the
schematic of the proposed 4T voltage reference along with the technique. This section will discuss the proposed EHS oper-
Monte Carlo data. In [28], a 2T voltage reference was used for ation procedure including the cold startup, the energy-aware
another type of VD; however, as the reference voltage required operation, and the closed-loop operation.
is around 590 mV, 4T reference voltage circuit was used. The
design equation that defines Vref can be deduced by equating
the subthreshold currents of M1 and M2 as follows: A. Cold Startup Operation
Vref −Vth1 −Vth2 Developing a cold startup technique is crucial for dc–dc
Io w1 /l1 e m 1 VT = Io w2 /l2 e m 2 VT . (7) converter within EHS [27], [29]. Fig. 18 shows the key
After rearranging (7), the following equation is obtained: building blocks for the cold startup mechanism, namely,
the supply selector, and the VDs. The circuit schematic of
Vref −Vth1 Vth2
m 1 VT + m 2 VT
w2l1 the supply selector is shown in Fig. 19. Vin and Vcp are
e = . (8)
w1l2 applied to Vsup−1 and Vsup−2, respectively, then the higher
voltage is selected [Vsup = max(Vin , Vcp )] and used to power:
Here, m 1 and m 2 are the subthreshold slopes of M1 and
1) a differential ultra-low-power ring oscillator (RO) at startup
M2 , respectively. The temperature-dependent term VT can be
and standby modes and 2) the 3-D MPPT circuit at normal
eliminated by equating the right-hand side of (8) to 1, in other
operation mode. The RO used in startup and standby modes
words, by designing M1 and M2 so that (w2l1 /w1l2 ) equals
features high-frequency low power characteristics with temper-
1, so that Vref can be calculated as follows:
ature variation 8.9 KHz/°C. It provides at Vin−min (350 mV)
m1 f sw of 1.8 MHz with 22-nW power consumption, which makes
Vref ≈ Vth1 − Vth2 . (9)
m2 it adequate solution for low-power EHS cold startup. The
Likewise, Vb , which is a boosted version of Vref by adding waveforms of the supply selector across different operation
M3 and M4 , can be deduced using the same design equations modes are shown in Fig. 20. Initially, the charges stored in
of Vref . Cbuff equals “0,” hence, the EHS operation sequence works as
follows: first, during the startup mode, Vcp is less than Vin , so
M2 pulls V1 up (V1 = “Vin ”) and turns M4 OFF , while it pulls
IV. P ROPOSED EHS O PERATION P ROCEDURE
V2 down (V2 = “0”) and turns M5 ON, hence, Vsup equals Vin .
The EHS operation scheme includes three different modes, Second, once Vcp becomes greater than Vin , (standby mode)
namely, the startup mode, the standby mode, and the normal M1 pulls V1 down (V1 = “0”) turning M4 ON and pulls V2
mode. A cold startup technique is executed during the startup up (V2 = Vcp ) turning M5 OFF and allowing the startup circuit
and standby modes, and employed without the need to any to be powered by Vcp . Finally, when the output VDs (Fig. 20)
external battery or off-chip pre-charging mechanism, while the detect a high voltage at the output node (Vcp ∼ 1 V), they
normal operation mode consists of the closed-loop operation trigger the DPU to connect the load circuit (Sen = “0”)
of the proposed 3-D MPPT, and a proposed energy-aware indicating the start of the normal operation mode.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

8 IEEE JOURNAL OF SOLID-STATE CIRCUITS

Fig. 20. Operation of the supply selector over different operation modes.

Fig. 22. Proposed HSB and operation timing diagram.

Thus, power is delivered from the PV to the EHS. Likewise,


in case-2, TEGin is selected to feed the SP SCCP, as it is
above Vin−min . In another scenario, in case-3, PVin and TEGin
are above Vin−min , which entails that both have excess energy.
However, following the energy-aware priority algorithm of the
logic circuit depicted in Fig. 22, PVin is selected as an input
for the SP SCCP. When both harvesters’ inputs are below
Vin−min , implying low harvesting energy, the backup battery
is then used to feed the SP SCCP. Here, it is assumed that
Vbat is always above Vmin , for this reason, no VD is required
Fig. 21. Simulation results for the supply selector static power and output
selected voltage. to check Vbat dc level.
The proposed energy-aware algorithm is based on detecting
the voltage levels of the two inputs (i.e., PV and TEG)
The developed supply selector is modified from the conven- as explained above. However, the harvester output voltage
tional one presented in [30] by adding M3 that significantly level (e.g., PVin ) may not be enough to categorize the
decreases the static on-current in M1 and M2 during the amount of power a harvester can deliver since the amount of
standby and normal operation modes. Fig. 21 shows the power depends on the harvester output resistance (Rh−out ) as
significant decrease in power consumption after adding M3 , well. Although a low Rh−out is assumed so that the harvester
beyond the startup operation mode. output voltage can solely indicate the amount of input power,
the proposed algorithm can be applied to high Rh−out , too.
For example, high Rh−out can make PVin greater than Vin
B. Energy-Aware Operation even if the PV is limited in power. This allows PV to be
By connecting multiple dc energy scavenger to the EHS, selected as the input and PVin will go below Vin−min . In this
a multiple-input-single-output (MISO) EHS can be obtained. case, the proposed algorithm will select the next harvester (i.e.,
As shown in Fig. 18, a PV cell, a TEG, and a backup battery TEG). Likewise, if TEG is limited in power, the backup
are connected in parallel to a harvester selector block (HSB) battery will be used as the main input until PV and/or TEG
that delivers the harvested energy from the PV cell or the TEG have enough power. So, the proposed algorithm can use the
to the SP SCCP, or from the backup battery in case of low harvester output voltage level as an indicator for the harvester
harvester energy. The HSB, shown in Fig. 22 is an energy- power.
aware block that compares PVin and TEGin concurrently
with Vin−min (i.e., 350 mV) using a set of identical VD.
Each VD triggers its outputs if and only if the harvester C. Closed-Loop Operation
input exceeds the Vin−min . The results are fed to a logic The proposed EHS harvests solar and thermal energy to
circuit (Fig. 22) that adopts an energy-aware priority algo- provide a regulated output voltage (1 V). It is composed of a
rithm. Finally, S1, S2, and S3 control an IN – OUT selector to forward path and a feedback path (Fig. 8). The forward path
determine the energy harvester that will be connected to the SP features a continuous Vin range detection, to set the proper
SCCP. Following the timing diagram in Fig. 22, in case 1, PVin SP SCCP conversion ratio. While the load condition is sensed
is above Vin−min , indicating that it has excess energy, while using the feedback path, to establish the SP SCCP f sw , WHS ,
TEGin is less than Vin−min which imply that it is low in energy. and WLS accordingly.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

RAWY et al.: 88% EFFICIENCY 0.1–300-μW EHS WITH 3-D MPPT 9

Fig. 23. Proposed 3-D MPPT forward path flowchart.

Fig. 24. Proposed 3-D MPPT feedback path state diagram.


1) Forward Path: The forward path architecture and flow-
chart are shown in Fig. 23. Initially, assuming normal opera-
tion mode, N is set to “1×.” After the bias circuit stabilizes
according to the active 4-bit “Sel” signal fed from the DPU,
the PVD compares Vin with a certain boundary voltage equals
“Vcp /Ni ” set by the selected Vbias following (6). Following
the flowchart depicted in Fig. 23, if the condition is true,
the 3-D MPPT locks the SP SCCP conversion ratio “N” and
set WLS accordingly. However, if the condition is false, “i ”
is incremented by shifting the “Sel” signal one bit left, and
Fig. 25. Die photograph of the proposed EHS system.
the same condition is rechecked with the updated boundary
voltage (Vbias) and so on. The loop repetition frequency is
limited by the input energy harvester voltage variation. process. The die microphotography of the fabricated chip is
2) Feedback Path: Within the feedback path, the proposed shown in Fig. 25. The integrated EHS including the 3-D MPPT
3-D MPPT maintains regulation, determines the load state, and its auxiliary circuits occupies a silicon area of 0.538 mm2 .
and then updates the SP SCCP f sw , WLS , and WHS accord- Three MIM on-chip capacitors are used for the SP SCCP flying
ingly. The output VDs set (Fig. 8) triggers their outputs, capacitors implementation. The employed EHS harvests from
Vdet−1 and Vdet−2 , (Fig. 12) when the Vcp cross the upper 0.35 to 1 V and provides a regulated output voltage at 1 V
voltage boundary V1 or the lower voltage boundary V2 , with a <2-nW control circuit and an ultra-low power DPU.
respectively, keeping Vcp within a narrow window [V2 , V1 ]. The dynamic performance, within the feedback path, of the
The implemented TDC, comprising an edge detector and proposed 3-D MPPT is presented in Fig. 26. At a given
digital counter (Fig. 11), senses Vdet−1/2 edges direction and Vin, the dynamic operation assumes three unique states. First,
measures their pulse periods. Fig. 24 shows the feedback the reset state, where the tracking DPU receives a reset pulse
path state diagram within the proposed 3-D MPPT. Initially, to adjust the initial values of the f sw , WLS, and WHS of the
at a given Vin , if Vcp < V2 , which entails that the applied 3-D MPPT. During this state, the load is isolated from the
f sw is not high enough for the instant Iload the 3-D MPPT EHS. Second, the constant load state, where Iload achieves its
DPU increments f sw and proportionally increases WHS and steady state. At light load (i.e., 500 nA), Vcp > V2 and the
WLS . Likewise, when an increase in Vcp is detected by the resulted Vdet−1 tends to decrease fsw and the transistors width.
TDC, (Vcp > V1 ), indicating an unnecessarily high fsw for Likewise, at heavy load condition (i.e., 0.3 mA), the output
the current Iload (low load condition), the 3-D MPPT DPU VDs transmit their Vdet−1/2 pulses to the DPU to maintain
decrements fsw and decreases proportionally WHS and WLS . regulation and to increase the applied fsw , WLS , and WHS .
The proposed 3-D MPPT features a novel on-time tracking The MPP, in both load conditions, is achieved when the SFM
technique, which allows the MPP to be tracked without and SWM blocks, within the DPU, accommodate a proper
the need to retune or sweep the conversion ratio, and/or switching frequency and transistors width with respect to the
the switching frequency each MPPT cycle, as in [3]. On the load condition. Finally, the transient state, when the load varies
contrary, f sw , N, WHS , and WLS are decreased or increased abruptly from 500 nA (light condition) to 0.3 mA (heavy
concurrently by sensing the direction of Iload and Vin . condition), the DPU receives Vdet−1/2 pulses to proportionally
modulate the f sw (SFM) and the SCCP transistors size (SWM)
V. M EASUREMENT R ESULTS to track the MPP. Initially, Vdet−2 triggers to increase f sw ,
The proposed 3-D MPPT along with the implemented SP WLS , and WHS while Vdet−1 turns “0,” indicating an abrupt
SCCP IC chip was designed and fabricated in 65-nm CMOS voltage drop due to a heavy load condition (Fig. 26).
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

10 IEEE JOURNAL OF SOLID-STATE CIRCUITS

Fig. 28. Regulation performance of the proposed 3-D MPPT.

Fig. 26. Response of the proposed 3-D MPPT at a 0.3-mA load step.

Fig. 29. PE at a different Vpv across the load range.

conditions (<1 μA), and by 10% at heavy load conditions


(> 100 μA) compared with the prior state-of-the-art works.
This improvement is because of the proportional optimization
of the SCCP transistor size with Iload , along with the SFM
and CRM.
Table I shows the comparison with prior art EHS. The
Fig. 27. Output VDs pulses at light load condition.
suggested 3-D MPPT improves the PE by at least 20% as
compared with the MPPT design in [1] and [4]. Moreover,
Fig. 27 shows the Vdet−1/2 pulses at light load condition. it can efficiently supply a Pout−max of 0.3 mW using small
Eventually, Vdet−2 equals “1” as Vcp is greater than V2 , while silicon area, resulting in a higher cost efficiency, and power
Vdet−1 pulses tend to maintain regulation and decreases the density compared with the prior art, make it an adequate
f sw and transistors width to track the MPP. The measurements solution for IoT smart nodes. Liu and Sánchez-Sinencio [4]
depicted in Fig. 28 shows the output regulation as Vin varies uses a large capacitor bank for MPPT mechanism. Although
from 350 mV (low light irradiance) to 1 V (high light it achieves a high efficiency of 86%, the PE degrades to less
irradiance). As Vin varies across its range, the CRM control than 40% at light load conditions; moreover, the large die size
within the 3-D MPPT DPU alters WLS in proportion to the makes it less cost effective and degrades its power density. The
applied Vin (Vgs ) the achieve the MPP at a given output load. nested doubler charge pump presented in [3] increases the total
The PE of the proposed 3-D MPPT using a PV-emulated conduction loss and the silicon area due to the considerable
power input circuit is recorded in Fig. 29 at different input number of switches and the pumping capacitors. Hence, it is
voltages across the load range. The tested chip achieved a less cost effective and low in power density for IoT smart
peak efficiency of 88% at 200 μA (heavy load), and a PE nodes. Our work proposed a novel tracking technique which
>60% at 100 nA (idle load condition). The efficiency results IoT involves a reconfigurable feature regarding the switching
validate that the proposed 3-D MPPT algorithm as its adopted frequency, the conversion ratio, and the charge pump transistor
SWM technique shows the intended behavior not only at ultra- sizes, resulting in a 3-D MPPT. With total on-chip MIM
light loads but also at heavy load conditions. The proposed flying capacitors of 240 pF, the integrated SP SCCP boosts
SWM technique improves the PE by at least 20% at light load Vin with six different conversion ratios to supply the IoT load
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

RAWY et al.: 88% EFFICIENCY 0.1–300-μW EHS WITH 3-D MPPT 11

TABLE I
C OMPARISON W ITH P RIOR A RTS

with output power range from 100 nW to 0.3 mW, achieving [4] X. Liu and E. Sánchez-Sinencio, “An 86% efficiency 12 μW self-
the highest power density compared with the prior state-of- sustaining PV energy harvesting system with hysteresis regulation and
time-domain MPPT for IOT smart nodes,” IEEE J. Solid-State Circuits,
art designs. The implemented 3-D MPPT DPU complexity is vol. 50, no. 6, pp. 1424–1437, Jun. 2015.
reduced by using digital synthesis, minimizing the dynamic [5] C.-S. Wu, M. Takamiya, and T. Sakurai, “Buck converter with higher
power consumption and making the proposed tracking tech- than 87% efficiency over 500 nA to 20 mA load current range for
IoT sensor nodes by clocked hysteresis control,” in Proc. IEEE Custom
nique scalable. Integr. Circuits Conf. (CICC), May 2017, pp. 1–4.
[6] J. Wanyeong, O. Sechang, B. Suyoung, L. Yoonmyung, D. Sylvester, and
D. Blaauw, “A 3 nW fully integrated energy harvester based on self-
VI. C ONCLUSION oscillating switched-capacitor DC-DC converter,” in IEEE Int. Solid-
State Circuits Conf. Dig. Tech. Papers (ISSCC), Feb. 2014, pp. 398–399.
The motivation behind this paper is to achieve a high [7] W. Jung et al., “An ultra-low power fully integrated energy harvester
PE at the light and idle modes, without sacrificing the based on self-oscillating switched-capacitor voltage doubler,” IEEE
J. Solid-State Circuits, vol. 49, no. 12, pp. 2800–2811, Dec. 2014.
high PE at heavy load conditions. To address this chal- [8] K. Rawy, F. K. George, D. Maurath, and T. T. Kim, “A time-based self-
lenge, a novel tracking algorithm that eliminates gate- adaptive energy-harvesting MPPT with 5.1-μW power consumption and
driver/conduction power loss tradeoff is proposed, showing a a wide tracking range of 10-μA to 1-mA,” in Proc. Conf. 42nd Eur.
Solid-State Circuits Conf. (ESSCIRC), Sep. 2016, pp. 503–506.
high PE across a wide load range compared with previous
[9] K. Rawy, F. Kalathiparambil, D. Maurath, and T. T.-H. Kim, “A self-
works. It successfully achieves a peak PE of 88% at 200 μA, adaptive time-based MPPT with 96.2% tracking efficiency and a wide
and a PE of 60.5% at 100 nA. An energy-aware algorithm tracking range of 10 μA to 1 mA for IoT applications,” IEEE Trans.
has been proposed to select the harvester and connect it to the Circuits Syst. I, Reg. Papers, vol. 64, no. 9, pp. 2334–2345, Sep. 2017.
EHS. The input harvesting range is extending from 0.35 to 1 V [10] S. Bandyopadhyay and A. P. Chandrakasan, “Platform architecture for
solar, thermal, and vibration energy combining with MPPT and single
with a regulated output voltage at 1 V. The implemented MISO inductor,” IEEE J. Solid-State Circuits, vol. 47, no. 9, pp. 2199–2215,
EHS is fully integrated with a cold startup without the need Sep. 2012.
of external reference voltage or passive off-chip components, [11] J. Kim, P. K. T. Mok, and K. Chulwoo, “A 0.15 V-input energy-
harvesting charge pump with switching body biasing and adaptive dead-
making it suitable for ultra-low-power applications within IoT time for efficiency improvement,” in IEEE Int. Solid-State Circuits Conf.
smart nodes and wearable sensors. Dig. Tech. Papers (ISSCC), Feb. 2014, pp. 394–395.
[12] D. Bol, E. H. Boufouss, D. Flandre, and J. D. Vos, “A 0.48 mm2
5 μW-10 mW indoor/outdoor PV energy-harvesting management unit
R EFERENCES in a 65 nm SoC based on a single bidirectional multi-gain/multi-mode
switched-cap converter with supercap storage,” in Proc. Conf.-41st Eur.
[1] X. Liu and E. Sanchez-Sinencio, “A single-cycle MPPT charge-pump Solid-State Circuits Conf. (ESSCIRC), 2015, pp. 241–244.
energy harvester using a thyristor-based VCO without storage capacitor,” [13] R. Guo, “High efficiency charge pump based DC-DC converter for wide
in Proc. IEEE Int. Solid-State Circuits Conf. (ISSCC), Jan. 2016, input/output range applications,” Ph.D. dissertation, Dept. Elect. Eng.,
pp. 364–365. North Carolina State Univ., Raleigh, NC, USA, 2010.
[2] J. Li, J.-S. Seo, I. Kymissis, and M. Seok, “Triple-mode, hybrid-storage, [14] O. Lopez-Lapena, M. T. Penella, and M. Gasulla, “A closed-loop
energy harvesting power management unit: Achieving high efficiency maximum power point tracker for subwatt photovoltaic panels,”
against harvesting and load power variabilities,” IEEE J. Solid-State IEEE Trans. Ind. Electron., vol. 59, no. 3, pp. 1588–1596,
Circuits, vol. 52, no. 2, pp. 2550–2562, Oct. 2017. Mar. 2012.
[3] X. Liu, L. Huang, K. Ravichandran, and E. Sánchez-Sinencio, “A highly [15] L. Xiaosen and E. Sanchez-Sinencio, “A 0.45-to-3 V reconfigurable
efficient reconfigurable charge pump energy harvester with wide charge-pump energy harvester with two-dimensional MPPT for Internet
harvesting range and two-dimensional MPPT for Internet of Things,” of Things,” in Proc. IEEE Int. Solid-State Circuits Conf. (ISSCC),
IEEE J. Solid-State Circuits, vol. 51, no. 5, pp. 1302–1312, May 2016. Feb. 2015, pp. 1–3.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

12 IEEE JOURNAL OF SOLID-STATE CIRCUITS

[16] E. J. Carlson, K. Strunz, and B. P. Otis, “A 20 mV input boost converter Taegeun Yoo (S’09–M’17) received the B.S., M.S.,
with efficient digital control for thermoelectric energy harvesting,” IEEE and Ph. D. degrees in electrical and electronics engi-
J. Solid-State Circuits, vol. 45, no. 4, pp. 741–750, Apr. 2010. neering from Chung-Ang university, Seoul, South
[17] J. Goeppert and Y. Manoli, “Fully integrated startup at 70 mV of boost Korea, in 2009, 2011, and 2015, respectively.
converters for thermoelectric energy harvesting,” IEEE J. Solid-State From 2015 to 2016, he was a Research Professor
Circuits, vol. 51, no. 7, pp. 1716–1726, Jul. 2016. with the Chung-Ang University. In 2016, he joined
[18] Q. Wan, Y. K. Teh, Y. Gao, and P. K. T. Mok, “Analysis and design the Nanyang Technological University, Singapore,
of a thermoelectric energy harvesting system with reconfigurable array as a Research Fellow. His current research interests
of thermoelectric generators for IoT applications,” IEEE Trans. Circuits include power management ICs and low-power data
Syst. I, Reg. Papers, vol. 64, no. 9, pp. 2346–2358, Sep. 2017. converters.
[19] P. Gasnier et al., “An autonomous piezoelectric energy harvesting IC Dr. Yoo was a recipient of the Encouragement
based on a synchronous multi-shot technique,” IEEE J. Solid-State Award and the Silver Award at the Human-Tech Paper Award hosted by
Circuits, vol. 49, no. 7, pp. 1561–1570, Jul. 2014. Samsung Electronics, in 2011 and 2014, respectively, and the Silkroad Award
[20] Y. C. Shih and B. P. Otis, “An inductorless DC–DC converter for energy at the IEEE International Solid-State Circuits Conference, in 2014.
harvesting with a 1.2-μW bandgap-referenced output controller,” IEEE
Trans. Circuits Syst. II, Exp. Briefs, vol. 58, no. 12, pp. 832–836,
Dec. 2011.
[21] S. Dwari, R. Dayal, L. Parsa, and K. N. Salama, “Efficient direct
ac-to-dc converters for vibration-based low voltage energy harvesting,”
in Proc. 34th Ann. Conf. IEEE Ind. Electron., 2008, pp. 2320–2325.
[22] A. Shrivastava, Y. K. Ramadass, S. Khanna, S. Bartling, and
B. H. Calhoun, “A 1.2 μW SIMO energy harvesting and power manage-
ment unit with constant peak inductor current control achieving 83–92%
efficiency across wide input and output voltages,” in Symp. VLSI Circuits
Dig. Tech. Papers, Jun. 2014, pp. 1–2.
[23] A. Das, Y. Gao, and T. T.-H. Kim, “A 76% efficiency boost converter
with 220 mV self-startup and 2 nW quiescent power for high resistance
thermo-electric energy harvesting,” in Proc. Conf. 41st Eur. Solid-State
Circuits Conf. (ESSCIRC), Sep. 2015, pp. 237–240.
[24] Y. Lee, Y. Kim, D. Yoon, D. Blaauw, and D. Sylvester, “Circuit and
system design guidelines for ultra-low power sensor nodes,” in Proc.
Design Autom. Conf. (DAC), Jun. 2012, pp. 1037–1042.
[25] I. Vaisband, M. Saadat, and B. Murmann, “A closed-loop reconfigurable
switched-capacitor DC-DC converter for sub-mW energy harvesting
applications,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 62, no. 2,
pp. 385–394, Feb. 2015.
[26] P.-H. Chen et al., “A 95 mV-startup step-up converter with VTH -tuned
oscillator by fixed-charge programming and capacitor pass-on scheme,”
in Proc. IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers,
Feb. 2011, pp. 216–218.
[27] P.-H. Chen et al., “Startup techniques for 95 mV step-up converter
by capacitor pass-on scheme and VTH -tuned oscillator with fixed
charge programming,” IEEE J. Solid-State Circuits, vol. 47, no. 5,
pp. 1252–1260, May 2012.
[28] T. Someya, H. Fuketa, K. Matsunaga, H. Morimura, T. Sakurai, and
M. Takamiya, “248 pW, 0.11 mV/°C glitch-free programmable voltage
detector with multiple voltage duplicator for energy harvesting,” in Tony Tae-Hyoung Kim (M’06–SM’14) received
Proc. Conf. 41st Eur. Solid-State Circuits Conf. (ESSCIRC), Sep. 2015, the B.S. and M.S. degrees in electrical engi-
pp. 249–252. neering from Korea University, Seoul, South Korea,
[29] P.-H. Chen et al., “An 80 mV startup dual-mode boost converter by in 1999 and 2001, respectively, and the Ph.D. degree
charge-pumped pulse generator and threshold voltage tuned oscillator in electrical and computer engineering from the
with hot carrier injection,” IEEE J. Solid-State Circuits, vol. 47, no. 11, University of Minnesota, Minneapolis, MN, USA,
pp. 2554–2562, Nov. 2012. in 2009.
[30] R. Kappel, M. Auer, G. Hofer, W. Pribyl, and G. Holweg, “A low- From 2001 to 2005, he was with Samsung Elec-
voltage charge pump starting at 135 mV for thermal energy harvesting tronics, Hwasung, South Korea, where he performed
in body area networks,” in Proc. 16th IEEE Medit. Electrotech. Conf., research on the design of high-speed SRAM memo-
Mar. 2012, pp. 618–621. ries, clock generators, and IO interface circuits.
From 2007 to 2009 he was with the IBM Thomas J. Watson Research Center,
Yorktown Heights, NY, USA, and Broadcom Corporation, Irvine, CA, USA,
where he focused on circuit reliability, low-power SRAM, and battery backed
Karim Rawy (S’14) received the B.S. degree memory design, respectively. In 2009, he joined Nanyang Technological
in electronics and communication engineering from University, Singapore, where he is currently an Associate Professor. He has
Alexandria University, Alexandria, Egypt, in 2012. authored or co-authored over +130 journal and conference papers and holds
He is currently pursuing the Ph.D. degree in elec- 17 U.S. and Korean patents registered. His current research interests include
trical and electronic engineering with the VIRTUS, low-power and high-performance digital, mixed-mode, and memory circuit
IC Design Centre of Excellence, Nanyang techno- design, ultra-low-voltage circuits and systems design, variation and aging
logical University, Singapore. tolerant circuits and systems, and circuit techniques for 3-D ICs.
In 2017, he joined the Electrical and Computer Dr. Kim was a recipient of the Best Demo Award at APCCAS2016, the
Engineering Department, Georgia Institute of Tech- Low-Power Design Contest Award at ISLPED2016, the best paper awards,
nology, Atlanta, GA, USA, as a Visitor Ph.D. in 2014 and 2011 ISOCC, the AMD/CICC Student Scholarship Award at the
Student. In 2012, he joined the ASICs Solution IEEE CICC2008, the Departmental Research Fellowship from the University
Division, Cairo, Egypt, where he is involved in the development of custom of Minnesota, in 2008, the DAC/ISSCC Student Design Contest Award, in
ASIC and supply specializing in analog/mixed-signal and RF design. He 2008, the Samsung Humantec Thesis Award, in 2008, 2001, and 1999, and
was with Si-Ware Systems, Cairo. His current research interests include the ETRI Journal Paper of the Year Award, in 2005. He served as the Chair
ultra-low-power analog/mixed-signal circuits, power managements IC design, of the IEEE Solid-State Circuits Society Singapore Chapter. He serves as
and energy harvesting systems for Internet of Things (IoT) and biomedical an Associate Editor of the IEEE T RANSACTIONS ON VLSI S YSTEMS and
applications. numerous conferences as a Committee Member.

S-ar putea să vă placă și