Sunteți pe pagina 1din 885

HANDBOOK OF

DEPOSITION TECHNOLOGIES
FOR FILMS AND COATINGS
Science, Technology
and Applications

Second Edition

Edited by

Rointan F. Bunshah

University of California at Los Angeles


Los Angeles, California

NOYES PUBLICATIONS
np Park Ridge, New Jersey, U.S.A.
Copyright © 1994 by Noyes Publications
No part of this book may be reproduced or
utilized in any form or by any means, elec-
tronic or mechanical, including photocopying,
recording or by any information storage and
retrieval system, without permission in writing
from the Publisher.
Library of Congress Catalog Card Number: 93-30751
ISBN: 0-8155-1337-2
Printed in the United States

Published in the United States of America by


Noyes Publications
Mill Road, Park Ridge, New Jersey 07656

10 9 8 7 6 5 4 3 2 1

Library of Congress Cataloging-in-Publication Data

Handbook of deposition technologies for films and coatings /


edited by Rointan F. Bunshah. -- 2nd ed.
p. cm.
Rev. ed of: Deposition technologies for films and coatings.
c1982.
Includes bibliographical references and index.
ISBN 0-8155-1337-2
1. Coating processes. I. Bunshah, R. F. (Rointan
Framroze)
II. Title: Deposition technologies for films and coatings.
TP156.C57H38 1994
667' .9--dc20 9 3 -30751

CIP
DEDICATION

This volume is dedicated to Professor John


Thornton for his many pioneering contributions to thin
film science and technology which have inspired so
many of the scientists and engineers working in this field.

vii
MATERIALS SCIENCE AND PROCESS TECHNOLOGY SERIES

Editors
Rointan F. Bunshah, University of California, Los Angeles (Series Editor)
Gary E. McGuire, Microelectronics Center of North Carolina (Series Editor)
Stephen M. Rossnagel, IBM Thomas J. Watson Research Center
(Consulting Editor)

Electronic Materials and Process Technology


HANDBOOK OF DEPOSITION TECHNOLOGIES FOR FILMS AND COATINGS, Second
Edition: edited by Rointan F. Bunshah
CHEMICAL VAPOR DEPOSITION FOR MICROELECTRONICS: by Arthur Sherman
SEMICONDUCTOR MATERIALS AND PROCESS TECHNOLOGY HANDBOOK: edited by
Gary E. McGuire
HYBRID MICROCIRCUIT TECHNOLOGY HANDBOOK: by James J. Licari and Leonard R.
Enlow
HANDBOOK OF THIN FILM DEPOSITION PROCESSES AND TECHNIQUES: edited by Klaus
K. Schuegraf
IONIZED-CLUSTER BEAM DEPOSITION AND EPITAXY: by Toshinori Takagi
DIFFUSION PHENOMENA IN THIN FILMS AND MICROELECTRONIC MATERIALS: edited by
Devendra Gupta and Paul S. Ho
HANDBOOK OF CONTAMINATION CONTROL IN MICROELECTRONICS: edited by Donald
L. Tolliver
HANDBOOK OF ION BEAM PROCESSING TECHNOLOGY: edited by Jerome J. Cuomo,
Stephen M. Rossnagel, and Harold R. Kaufman
CHARACTERIZATION OF SEMICONDUCTOR MATERIALS, Volume 1: edited by Gary E.
McGuire
HANDBOOK OF PLASMA PROCESSING TECHNOLOGY: edited by Stephen M. Rossnagel,
Jerome J. Cuomo, and William D. Westwood
HANDBOOK OF SEMICONDUCTOR SILICON TECHNOLOGY: edited by William C. O’Mara,
Robert B. Herring, and Lee P. Hunt
HANDBOOK OF POLYMER COATINGS FOR ELECTRONICS, 2nd Edition: by James Licari
and Laura A. Hughes
HANDBOOK OF SPUTTER DEPOSITION TECHNOLOGY: by Kiyotaka Wasa and Shigeru
Hayakawa
HANDBOOK OF VLSI MICROLITHOGRAPHY: edited by William B. Glendinning and John
N. Helbert
CHEMISTRY OF SUPERCONDUCTOR MATERIALS: edited by Terrell A. Vanderah
CHEMICAL VAPOR DEPOSITION OF TUNGSTEN AND TUNGSTEN SILICIDES: by John E.
J. Schmitz
ELECTROCHEMISTRY OF SEMICONDUCTORS AND ELECTRONICS: edited by John McHardy
and Frank Ludwig

v
vi Contents
Series

HANDBOOK OF CHEMICAL VAPOR DEPOSITION: by Hugh O. Pierson


DIAMOND FILMS AND COATINGS: edited by Robert F. Davis
ELECTRODEPOSITION: by Jack W. Dini
HANDBOOK OF SEMICONDUCTOR WAFER CLEANING TECHNOLOGY: edited by Werner
Kern
CONTACTS TO SEMICONDUCTORS: edited by Leonard J. Brillson
HANDBOOK OF MULTILEVEL METALLIZATION FOR INTEGRATED CIRCUITS: edited by
Syd R. Wilson, Clarence J. Tracy, and John L. Freeman, Jr.
HANDBOOK OF CARBON, GRAPHITE, DIAMONDS AND FULLERENES: by Hugh O. Pierson

Ceramic and Other Materials—Processing and Technology


SOL-GEL TECHNOLOGY FOR THIN FILMS, FIBERS, PREFORMS, ELECTRONICS AND
SPECIALTY SHAPES: edited by Lisa C. Klein
FIBER REINFORCED CERAMIC COMPOSITES: edited by K. S. Mazdiyasni
ADVANCED CERAMIC PROCESSING AND TECHNOLOGY, Volume 1: edited by Jon G. P.
Binner
FRICTION AND WEAR TRANSITIONS OF MATERIALS: by Peter J. Blau
SHOCK WAVES FOR INDUSTRIAL APPLICATIONS: edited by Lawrence E. Murr
SPECIAL MELTING AND PROCESSING TECHNOLOGIES: edited by G. K. Bhat
CORROSION OF GLASS, CERAMICS AND CERAMIC SUPERCONDUCTORS: edited by
David E. Clark and Bruce K. Zoitos
HANDBOOK OF INDUSTRIAL REFRACTORIES TECHNOLOGY: by Stephen C. Carniglia
and Gordon L. Barna
CERAMIC FILMS AND COATINGS: edited by John B. Wachtman and Richard A. Haber

Related Titles
ADHESIVES TECHNOLOGY HANDBOOK: by Arthur H. Landrock
HANDBOOK OF THERMOSET PLASTICS: edited by Sidney H. Goodman
SURFACE PREPARATION TECHNIQUES FOR ADHESIVE BONDING: by Raymond F.
Wegman
FORMULATING PLASTICS AND ELASTOMERS BY COMPUTER: by Ralph D. Hermansen
HANDBOOK OF ADHESIVE BONDED STRUCTURAL REPAIR: by Raymond F. Wegman and
Thomas R. Tullos
CARBON–CARBON MATERIALS AND COMPOSITES: edited by John D. Buckley and Dan
D. Edie
CODE COMPLIANCE FOR ADVANCED TECHNOLOGY FACILITIES: by William R. Acorn
Contributors

Rointan F. Bunshah Donald M. Mattox


Department of Materials Science and Society of Vacuum Coaters
Engineering Albuquerque, New Mexico
University of California at Los Angeles
Los Angeles, California Gary E. McGuire
Microelectronics Center of North
Jan-Otto Carlsson Carolina
Department of Chemistry Research Triangle Park, North Carolina
Upsala University
Upsala, Sweden Jerome C. Schmitt
Jet Process Corporation
Joseph E. Greene New Haven, Connecticut
Coordinated Science Laboratory
University of Illinois at Urbana- Morton Schwartz
Champaign Electrochemical/Metal Finishing
Urbana, Illinois Consultant
Los Angeles, California
Bret L. Halpern
Jet Process Corporation Arthur Sherman
New Haven, Connecticut Consultant
Palo Alto, California

xiii
xiv Contents
Contributors

John A. Thornton* Robert C. Tucker, Jr.


Coordinated Science Laboratory Praxair Surface Technologies, Inc.
University of Illinois at Urbana- Indianapolis, Indiana
Champaign
Urbana, Illinois

* Professor Thornton died unexpectedly in


November, 1987.

NOTICE

To the best of our knowledge the information in this publication is


accurate; however the Publisher does not assume any responsibility
or liability for the accuracy or completeness of, or consequences
arising from, such information. This book is intended for informational
purposes only. Mention of trade names or commercial products does
not constitute endorsement or recommendation for use by the Publisher.
Final determination of the suitability of any information or product
for use contemplated by any user, and the manner of that use, is the
sole responsibility of the user. We recommend that anyone intending
to rely on any recommendation of materials or procedures mentioned
in this publication should satisfy himself as to such suitability, and
that he can meet all applicable safety and health standards.
Preface to the Second Edition

A decade after the first edition of this volume was published, a second
edition is being brought out partly due to the excellent response to the first
edition and also to update the many improvements in deposition technologies,
the mechanisms and applications.
The entire volume has been extensively revised and contains 50% or
more new material. Five entirely new chapters have been added. The
organization of the book has also been changed in the following respects:
1. Considerably more material has been added in Plasma
Assisted Vapor Deposition Processes.
2. A new chapter on Metallurgical Coating Applications has
been added.
The chapter in the first edition on Polymeric Coating techniques has
been omitted as it deserves a volume by itself. Large topics such as coatings
technology in microelectronics, diamond films, etc., have been treated in
separate volumes in this series.
Although there are some new competing volumes dealing with selected
topics on the materials science of thin films, this volume remains the only
comprehensive treatment of the entire subject of Deposition Technology.
Applications of films and coatings spans the entire gamut of science and
technology. Generic application areas include electronic, magnetic, optical,
mechanical, chemical and decorative applications. New deposition technolo-
gies such as arc evaporation, unbalanced magnetron sputtering, ion beam
assisted deposition, and metal-organic CVD have come on stream for critical
applications. In this post cold war era, many economic solutions to
engineering problems will necessarily involve coatings, e.g., battery materials
for the emerging electric car industry.

ix
x Preface
Contents

The core subjects are the basic technologies for the deposition of films
and coatings. These are the Physical Vapor Deposition (PVD) Processes
consisting of Evaporation, Sputtering, and Ion Plating; Chemical Vapor
Deposition (CVD) and Plasma-Assisted Chemical Vapor Deposition (PACVD);
Electrodeposition and Electroless Plating; Thermal Spraying, Plasma Spray-
ing and Detonation Gun Technologies. Chapters on other subjects common
to the above technologies are included. These are: Adhesion of Coatings,
Cleaning of Substrates, Role of Plasmas in Deposition Processes, Structure
of PVD Deposits, Growth and Structure of PVD Films, Mechanical and
Tribological Properties of PVD Deposits, Elemental and Structural Character-
ization Techniques, and Metallurgical Coatings. A relatively new develop-
ment, Jet Vapor Deposition Process, was added as the last chapter in the
book during the page proof stage because of its novelty.
We hope that this volume will be useful to the multitude of disciplines
represented by the workers in this field and provide a source for future
developments.

University of California Rointan F. Bunshah


Los Angeles, California
June, 1993
Preface to the First Edition

Almost universally in high technology applications, a composite material


is used where the properties of the surface are intentionally different from those
of the core. Thus, materials with surface coatings are used in the entire cross-
section of applications ranging from microelectronics, display devices, chemi-
cal corrosion, tribology including cutting tools, high temperature oxidation/
corrosion, solar cells, thermal insulation and decorative coatings (including
toys, automobile components, watch cases, etc.).
A large variety of materials is used to produce these coatings. They are
metals, alloys, refractory compounds (e.g., oxides, nitrides, carbides),
intermetallic compounds (e.g., GaAg) and polymers in single or multiple
layers. The thickness of the coatings ranges from a few atom layers to millions
of atom layers. The microstructure and hence the properties of the coatings
can be varied widely and at will, thus permitting one to design new material
systems with unique properties. (A material system is defined as the
combination of the substrate and coating.)
Historically, coating technology evolved and developed in the last 30
years in several industries, i.e., decorative coatings, microelectronics and
metallurgical coatings. They used similar techniques but only with the
passage of time have the various approaches reached a common frontier
resulting in much useful cross-fertilization. That very vital process is
proceeding ever more strongly at this time.
With this background in mind, a short course on Deposition Technolo-
gies and their applications was developed and given on five consecutive
occasions in the last three years. This volume is based on the material used
in the course.

xi
xii

It comprises chapters dealing with the various coating techniques, the


resulting microstructure, properties and applications. The specific techniques
covered are evaporation, ion plating, sputtering, chemical vapor deposition,
electrodeposition from aqueous solution, plasma and detonation gun coating
techniques, and polymeric coatings. In addition several other chapters are
added. Plasmas are used in many of the deposition processes and therefore
a special chapter on this topic has been added. Cleaning of the substrate and
the related topic of adhesion of the coating are common to many processes
and a brief exposé of this topic is presented. Characterization of the films, i.e.,
composition, impurities, crystal structure and microstructure are essential to
the understanding of the various processes. Two chapters dealing with this
area are included. Finally, a chapter on application of deposition techniques
in microelectronics is added to give one example of the use of several of these
techniques in a specific area. This volume represents a unique collection of
our knowledge on Deposition Technologies and their applications up to and
including the state-of-the-art. It is hoped that it will be very useful to students,
practicing engineers and managerial personnel who have to learn about this
essential area of modern technology.

University of California R. F. Bunshah


Los Angeles, California
April 1982
Contents xv

Contents

1 Deposition Technologies: An Overview ....................... 27


Rointan F. Bunshah
1.0 THE MARKET .............................................................................. 27
2.0 INTRODUCTION ........................................................................... 28
3.0 AIM AND SCOPE ......................................................................... 30
4.0 DEFINITIONS AND CONCEPTS ................................................... 31
5.0 PHYSICAL VAPOR DEPOSITION (PVD) PROCESS
TERMINOLOGY ........................................................................... 32
6.0 CLASSIFICATION OF COATING PROCESSES ........................... 34
7.0 GAS JET DEPOSITION WITH NANO-PARTICLES ....................... 36
8.0 MICROSTRUCTURE AND PROPERTIES ..................................... 38
9.0 UNIQUE FEATURES OF DEPOSITED MATERIALS AND GAPS
IN UNDERSTANDING ................................................................... 40
10.0 CURRENT APPLICATIONS .......................................................... 41
10.1 Decorative/Functional Coating ............................................. 41
10.2 High Temperature Corrosion ................................................ 42
10.3 Environmental Corrosion ..................................................... 42
10.4 Friction and Wear ............................................................... 42
10.5 Materials Conservation ........................................................ 43
10.6 Cutting Tools ...................................................................... 43
10.7 Nuclear Fuels ..................................................................... 44
10.8 Biomedical Uses ................................................................. 44

xv
xvi Contents

10.9 Electrical Uses ................................................................... 44


11.0 “FRONTIER AREAS” FOR THE APPLICATION OF
THE PRODUCTS OF DEPOSITION TECHNOLOGY ..................... 44
12.0 SELECTION CRITERIA ................................................................. 46
13.0 SUMMARY ................................................................................... 48
APPENDIX 1: DEPOSITION PROCESS DEFINITIONS........................... 49
Conduction and Diffusion Processes............................................. 49
Chemical processes ..................................................................... 50
Wetting Process........................................................................... 50
Spraying Processes ..................................................................... 51
REFERENCES ...................................................................................... 54

2 Plasmas in Deposition Processes .............................. 55


John A. Thornton and Joseph E. Greene
1.0 INTRODUCTION ........................................................................... 55
2.0 PARTICLE MOTION ..................................................................... 56
2.1 Mean Free Path and Collision Cross Sections .................... 56
2.2 Free Electron Kinetic Energy in a Plasma........................... 58
2.3 Electron Energy Distribution Functions ............................... 59
2.4 Collision Frequencies .......................................................... 61
3.0 COLLECTIVE PHENOMENA ........................................................ 68
3.1 Plasma Sheaths ................................................................. 69
3.2 Ambipolar Diffusion ............................................................. 74
3.3 Plasma Oscillations ............................................................ 75
4.0 PLASMA DISCHARGES .............................................................. 76
4.1 Introduction ......................................................................... 76
4.2 Ionization Balances and the Paschen Relation .................... 77
4.3 Cold Cathode Discharges ................................................... 82
4.4 Magnetron Discharges ........................................................ 84
4.5 RF Discharges .................................................................... 85
5.0 PLASMA VOLUME REACTIONS ................................................. 87
5.1 Introduction ......................................................................... 87
5.2 Electron/Atom Interactions .................................................. 87
5.3 Electron/Molecule Interactions ............................................ 88
5.4 Metastable Species ............................................................ 90
5.5 Applications of Volume Reactions....................................... 92
6.0 SURFACE REACTIONS ............................................................... 93
6.1 Introduction ......................................................................... 93
6.2 Ion Bombardment ................................................................ 93
6.3 Electron Bombardment ..................................................... 100
6.4 Glow Discharge Surface Cleaning and Activation .............. 100
REFERENCES .................................................................................... 103
Contents xvii

3 Surface Preparation for Film and Coating Deposition


Processes ................................................................ 108
Donald M. Mattox
1.0 INTRODUCTION ......................................................................... 108
2.0 CONTAMINATION ...................................................................... 110
2.1 Recontamination ............................................................... 111
3.0 ENVIRONMENT CONTROL ........................................................ 113
4.0 CLEANING PROCESSES .......................................................... 119
4.1 Particulate Removal .......................................................... 120
4.2 Abrasive Cleaning ............................................................. 121
4.3 Etch Cleaning ................................................................... 121
4.4 Fluxing .............................................................................. 122
4.5 Alkaline Cleaners .............................................................. 122
4.6 Detergent Cleaning ........................................................... 122
4.7 Chelating Agents .............................................................. 123
4.8 Solvent Cleaning ............................................................... 123
4.9 Oxidation Cleaning............................................................ 128
4.10 Volatilization Cleaning....................................................... 130
4.11 Hydrogen Reduction Cleaning ........................................... 130
4.12 Electrolytic Cleaning ......................................................... 131
5.0 DRYING AND OUTGASSING ..................................................... 132
6.0 MONITORING OF CLEANING .................................................... 133
7.0 IN SITU CLEANING .................................................................... 134
7.1 Ion Scrubbing .................................................................... 134
8.0 PLASMAS .................................................................................. 134
8.1 Generation of Plasmas ..................................................... 135
8.2 Plasma Chemistry ............................................................ 140
8.3 Bombardment Effects on Surfaces .................................... 141
8.4 Sputter Cleaning and Etching............................................ 143
9.0 STORAGE AND HANDLING ....................................................... 147
10.0 ACTIVATION AND SENSITIZATION ............................................ 148
11.0 SURFACE MODIFICATION ........................................................ 150
12.0 PASSIVATION AND PRESERVATION ....................................... 151
13.0 SAFETY ..................................................................................... 152
REFERENCES .................................................................................... 152

4 Evaporation: Processes, Bulk Microstructures and


Mechanical Properties .............................................. 157
Rointan F. Bunshah
1.0 GENERAL INTRODUCTION ........................................................ 157
2.0 SCOPE ...................................................................................... 159
xviii Contents

3.0 PVD PROCESSES .................................................................... 159


3.1 Preamble .......................................................................... 159
3.2 PVD Processes ................................................................ 160
3.3 Advantages and Limitations .............................................. 165
4.0 THEORY AND MECHANISMS ................................................... 166
4.1 Vacuum Evaporation ......................................................... 166
5.0 EVAPORATION PROCESS AND APPARATUS ......................... 169
5.1 The System ...................................................................... 169
6.0 EVAPORATION SOURCES ....................................................... 172
6.1 General Considerations ..................................................... 172
6.2 Resistance Heated Sources ............................................. 175
6.3 Sublimation Sources ......................................................... 176
6.4 Evaporation Source Materials............................................ 178
6.5 Induction Heated Sources ................................................. 180
6.6 Electron Beam Heated Sources ........................................ 181
6.7 Arc Evaporation ................................................................ 189
7.0 LASER INDUCED EVAPORATION/LASER ABLATION/PULSED
LASER DEPOSITION (PLD) ....................................................... 192
8.0 DEPOSITION RATE MONITORS AND PROCESS CONTROL .... 194
8.1 Monitoring of the Vapor Stream ......................................... 194
8.2 Monitoring of Deposited Mass ........................................... 196
8.3 Monitoring of Specific Film Properties ............................... 196
8.4 Evaporation Process Control ............................................. 199
9.0 DEPOSITION OF VARIOUS MATERIALS .................................. 201
9.1 Deposition of Metals and Elemental Semiconductors ........ 201
9.2 Deposition of Alloys .......................................................... 201
9.3 Deposition of Intermetallic Compounds ............................. 205
9.4 Deposition of Refractory Compounds ................................ 209
9.5 Reactive Evaporation Process ........................................... 213
9.6 Activated Reactive Evaporation (ARE) ............................... 213
9.7 Materials Synthesized by Evaporation-based Processes .. 223
10.0 MICROSTRUCTURE OF PVD CONDENSATES ......................... 224
10.1 Microstructure Evolution .................................................... 224
10.2 Texture ............................................................................. 236
10.3 Residual Stresses ............................................................ 237
10.4 Defects ............................................................................. 237
11.0 PHYSICAL PROPERTIES OF THIN FILMS ................................ 241
12.0 MECHANICAL AND RELATED PROPERTIES ............................ 241
12.1 Mechanical Properties ................................................................ 241
13.0 PURIFICATION OF METALS BY EVAPORATION ...................... 256
APPENDIX ......................................................................................... 258
On Progress in Scientific Investigations in the Field of Vacuum
Evaporation in the Soviet Union................................................... 258
REFERENCES .................................................................................... 261
Contents xix

5 Sputter Deposition Processes .................................. 275


John A. Thornton and Joseph E. Greene
1.0 INTRODUCTION ......................................................................... 275
1.1 Sputter Deposition Systems ............................................. 278
1.2 Sputter-Deposition Applications ........................................ 279
1.3 Process Implementation ................................................... 282
1.4 History of Sputter Deposition and Background Reading .... 283
2.0 SPUTTERING MECHANISMS .................................................... 284
2.1 Sputtering Rate ................................................................. 285
2.2 Momentum Exchange ....................................................... 289
2.3 Alloys and Compounds ..................................................... 292
2.4 Sputtering with Reactive Species ...................................... 295
2.5 The Nature of Sputtered Species ...................................... 296
2.6 Energy Distribution of Sputtered Species .......................... 298
3.0 SPUTTER DEPOSITION TECHNIQUES ..................................... 301
3.1 Planar Diode and the DC Glow Discharge ......................... 301
3.2 Triode Discharge Devices .................................................. 305
3.3 Magnetrons ....................................................................... 306
3.4 RF Sputtering ................................................................... 318
3.5 Ion-Beam Sputtering ......................................................... 327
4.0 SPUTTER DEPOSITION MODES ............................................... 328
4.1 Reactive Sputtering ........................................................... 328
4.2 Bias Sputtering ................................................................. 332
REFERENCES .................................................................................... 337

6 Ion Plating ................................................................. 346


Donald M. Mattox
1.0 INTRODUCTION ......................................................................... 346
2.0 PROCESSING PLASMA ............................................................ 351
3.0 GENERATION OF PLASMAS .................................................... 351
3.1 DC Diode Discharge.......................................................... 351
3.2 RF Discharge .................................................................... 355
3.3 Microwave Discharges ...................................................... 356
3.4 Electron Emitter Discharge ............................................... 356
3.5 Magnetron Discharges ...................................................... 357
3.6 Plasma Enhancement ....................................................... 358
4.0 PLASMA CHEMISTRY ............................................................... 359
5.0 BOMBARDMENT EFFECTS ON SURFACES ............................ 360
5.1 Collisional Effects ............................................................. 363
5.2 Surface Region Effects ..................................................... 368
5.3 Near Surface Region Effects ............................................. 369
5.4 Bulk Effects ...................................................................... 369
xx Contents

6.0 SOURCES OF DEPOSITING ATOMS ........................................ 369


6.1 Thermal Vaporization ........................................................ 370
6.2 Sputtering ......................................................................... 371
6.3 Vacuum Arcs .................................................................... 371
6.4 Chemical Vapor Precursors .............................................. 373
7.0 REACTIVE ION PLATING ........................................................... 373
8.0 BOMBARDMENT EFFECTS ON FILM PROPERTIES ................ 373
8.1 Effects: Adatom Nucleation............................................... 373
8.2 Effects: Interface Formation .............................................. 374
8.3 Effects: Film Growth ......................................................... 374
8.4 Film Adhesion................................................................... 376
8.5 Film Morphology/Density .................................................. 376
8.6 Residual Film Stress ........................................................ 378
8.7 Crystallographic Orientation .............................................. 378
8.8 Gas Incorporation .............................................................. 380
8.9 Surface Coverage .............................................................. 380
8.10 Other Properties ............................................................... 381
9.0 ION PLATING SYSTEM REQUIREMENTS ................................. 381
9.1 Vacuum System ............................................................... 381
9.2 High Voltage Components ................................................ 381
9.3 Gas Handling System ....................................................... 383
9.4 Evaporation/Sublimation Sources ...................................... 383
9.5 Sputtering Sources ........................................................... 383
9.6 Plasma Uniformity ............................................................ 384
9.7 Plasma Generation Near the Substrate Surface ................ 384
9.8 Substrate Fixturing ........................................................... 384
10.0 PROCESS MONITORING AND CONTROL ................................. 385
10.1 Plasma ............................................................................. 385
10.2 Substrate Temperature ..................................................... 385
10.3 Specifications ................................................................... 385
11.0 PROBLEM AREAS .................................................................... 386
12.0 APPLICATIONS.......................................................................... 389
13.0 SUMMARY ................................................................................. 389
REFERENCES .................................................................................... 391

7 Chemical Vapor Deposition ...................................... 400


Jan-Otto Carlsson
1.0 INTRODUCTION ......................................................................... 400
2.0 IMPORTANT REACTION ZONES IN CVD ................................... 401
3.0 DESIGN OF CVD EXPERIMENTS .............................................. 402
3.1 Classification of CVD Reactions........................................ 403
3.2 Thermodynamics .............................................................. 405
3.3 Adhesion .......................................................................... 409
Contents xxi

3.4 Substrate Cleaning Procedures ......................................... 410


3.5 The CVD system .............................................................. 410
3.6 The Gas Dispensing System ............................................ 411
3.7 The Reactor ...................................................................... 413
3.8 The Exhaust System ........................................................ 415
3.9 Analysis of the Vapor in a CVD Reactor............................ 417
4.0 GAS FLOW DYNAMICS ............................................................ 417
4.1 Gas Flow Patterns ............................................................ 420
4.2 Boundary Layers ............................................................... 423
4.3 Mass Transport Processes Across a Boundary Layer ....... 428
5.0 RATE-LIMITING STEPS DURING CVD ....................................... 428
6.0 REACTION MECHANISMS ........................................................ 436
7.0 NUCLEATION ............................................................................. 438
8.0 SURFACE MORPHOLOGY AND MICROSTRUCTURE OF CVD
MATERIALS ............................................................................... 442
9.0 SELECTIVE DEPOSITION .......................................................... 445
9.1 Area-Selective Growth ....................................................... 446
9.2 Phase-Selective Deposition ............................................... 452
10.0 SOME APPLICATIONS OF THE CVD TECHNIQUE ................... 453
11.0 OUTLOOK .................................................................................. 455
REFERENCES .................................................................................... 456

8 Plasma-Enhanced Chemical Vapor Deposition ........ 460


Arthur Sherman
1.0 INTRODUCTION ......................................................................... 460
2.0 REACTOR INFLUENCE ON PLASMA BEHAVIOR ..................... 461
2.1 DC/AC Glow Discharges ................................................... 461
2.2 AC Discharges with Unequal Area Electrodes ................... 464
2.3 Frequency Effects on RF Plasma Reactor Behavior .......... 466
2.4 Adjusting DC Bias for Fixed Electrode Geometry .............. 467
2.5 Plasma-Enhanced CVD (PECVD) Reactors ...................... 467
3.0 FILMS DEPOSITED BY CVD ..................................................... 472
3.1 Silicon Nitride ................................................................... 472
3.2 Silicon Dioxide .................................................................. 478
3.3 Conducting Films .............................................................. 481
REFERENCES .................................................................................... 482

9 Plasma-Assisted Vapor Deposition Processes:


Overview ................................................................... 485
Rointan F. Bunshah
1.0 INTRODUCTION ......................................................................... 485
2.0 PLASMA-ASSISTED DEPOSITION PROCESSES ..................... 488
3.0 MODEL OF A DEPOSITION PROCESS..................................... 488
xxii Contents

4.0 MATERIALS DEPOSITED BY REACTIVE VAPOR DEPOSITION


PROCESSES ............................................................................. 491
5.0 KEY ISSUES IN PLASMA-ASSISTED REACTIVE VAPOR
DEPOSITION PROCESSES....................................................... 492
5.1 Plasma Volume Chemistry ............................................... 492
5.2 Type and Nature of the Bombardment of the Growing Film 493
6.0 PLASMA-ASSISTED DEPOSITION TECHNIQUES IN CURRENT
USAGE ...................................................................................... 495
6.1 Plasma-Assisted Chemical Vapor Deposition ................... 495
6.2 Sputter Deposition ............................................................ 496
6.3 Activated Reactive Evaporation (ARE) ............................... 497
7.0 LIMITATIONS OF CURRENT PLASMA-ASSISTED TECHNIQUES
499
8.0 HYBRID PROCESSES ............................................................... 501
9.0 CONCLUSIONS .......................................................................... 501
REFERENCES .................................................................................... 505

10 Deposition from Aqueous Solutions: An Overview ..... 506


Morton Schwartz
1.0 INTRODUCTION ......................................................................... 506
2.0 GENERAL PRINCIPLES ............................................................ 508
3.0 ELECTRODEPOSITION.............................................................. 520
3.1 Mechanism of Deposition .................................................. 520
3.2 Parameters ....................................................................... 526
4.0 PROCESSING TECHNIQUES .................................................... 536
5.0 SELECTION OF DEPOSIT ......................................................... 539
5.1 Individual Metals ............................................................... 539
5.2 Alloy Deposition ................................................................ 543
6.0 SELECTED SPECIAL PROCESSES ......................................... 550
6.1 Electroless Deposition ...................................................... 550
6.2 Electroforming................................................................... 557
6.3 Anodizing .......................................................................... 560
6.4 Plating on Plastics............................................................ 570
6.5 Plating Printed Circuit Boards ........................................... 571
7.0 STRUCTURES AND PROPERTIES OF DEPOSITS ................... 574
8.0 SUMMARY ................................................................................. 596
APPENDIX A - Preparation of Substrates for Electroplating .................. 597
APPENDIX B - Representative Electroless Plating
Solution Formulation .................................................... 599
APPENDIX C - Comparison of Aluminum Anodizing Processes
(Types I, II and III) ......................................................... 602
REFERENCES .................................................................................... 605
Contents xxiii

11 Advanced Thermal Spray Deposition Techniques ..... 617


Robert C. Tucker, Jr.
1.0 INTRODUCTION ......................................................................... 617
2.0 EQUIPMENT AND PROCESSES ............................................... 618
2.1 Plasma Spray Process ..................................................... 618
2.2 Detonation Gun Deposition Process ................................. 626
2.3 High Velocity Oxy-Fuel Deposition.................................... 628
2.4 Thermal Control ................................................................ 629
2.5 Auxiliary Equipment .......................................................... 630
2.6 Equipment-Related Coating Limitations............................. 631
3.0 TOTAL COATING PROCESS ..................................................... 632
3.1 Powder ............................................................................. 632
3.2 Substrate Preparation ....................................................... 632
3.3 Masking ............................................................................ 633
3.4 Coating ............................................................................. 633
3.5 Finishing ........................................................................... 635
4.0 COATING STRUCTURE AND PROPERTIES .............................. 636
4.1 Surface Macrostructure and Microstructure ....................... 636
4.2 Microstructure................................................................... 637
4.3 Bond Strength ................................................................... 643
4.4 Residual Stress ................................................................ 644
4.5 Density ............................................................................. 645
4.6 Mechanical Properties ...................................................... 647
4.7 Wear and Friction ............................................................. 653
4.8 Corrosion Properties ......................................................... 660
4.9 Thermal Properties ............................................................ 662
4.10 Electrical Characteristics .................................................. 664
5.0 SUMMARY ................................................................................. 665
REFERENCES .................................................................................... 665

12 Non-Elemental Characterization of Films


and Coatings ............................................................ 669
Donald M. Mattox
1.0 INTRODUCTION ......................................................................... 669
2.0 CHARACTERIZATION ................................................................ 671
3.0 FILM FORMATION ..................................................................... 677
4.0 ELEMENTAL AND STRUCTURAL ANALYSIS ............................ 681
5.0 SOME PROPERTY MEASUREMENTS ..................................... 682
5.1 Adhesion .......................................................................... 682
5.2 Film Thickness ................................................................. 689
5.3 Film Stress ....................................................................... 691
5.4 Coefficient of Thermal Expansion ...................................... 695
xxiv Contents

5.5 Mechanical Properties ...................................................... 695


5.6 Electrical Resistivity.......................................................... 696
5.7 Temperature Coefficient of Resistivity (TCR) ...................... 696
5.8 Electromigration ................................................................ 697
5.9 Density ............................................................................. 697
5.10 Porosity ............................................................................ 698
5.11 Chemical Etch Rate (Dissolution) ..................................... 701
6.0 SUMMARY ................................................................................. 701
REFERENCES .................................................................................... 702

13 Nucleation, Film Growth, and Microstructural


Evolution ................................................................... 707
Joseph E. Greene
1.0 INTRODUCTION ......................................................................... 707
2.0 NUCLEATION AND THE EARLY STAGES OF FILM GROWTH.. 708
2.1 Three-Dimensional Nucleation and Growth ........................ 710
2.2 Two-Dimensional Nucleation and Growth .......................... 721
2.3 Stranski-Krastanov Nucleation and Growth ....................... 728
3.0 COMPUTER SIMULATIONS OF MICROSTRUCTURE
EVOLUTION ............................................................................... 730
3.1 Film Growth in the Ballistic Aggregation, Low-Adatom
Mobility, Limit ................................................................... 732
3.2 Effects of Adatom Migration .............................................. 734
4.0 MICROSTRUCTURE EVOLUTION AND STRUCTURE-ZONE...... 736
5.0 EFFECTS OF LOW-ENERGY ION IRRADIATION DURING FILM
GROWTH ................................................................................... 743
5.1 Effects of Low-Energy Ion/Surface Interactions
on Nucleation Kinetics ...................................................... 743
5.2 Effects of Low-Energy Ion/Surface Interactions
on Film Growth Kinetics.................................................... 750
REFERENCES .................................................................................... 760

14 Metallurgical Applications.......................................... 766


Rointan F. Bunshah
1.0 INTRODUCTION ......................................................................... 766
2.0 CORROSION .............................................................................. 766
3.0 GALVANIC CORROSION ........................................................... 767
3.1 Galvanic Cells ................................................................... 768
4.0 EMF AND GALVANIC SERIES .................................................. 770
5.0 COATINGS FOR GALVANIC CORROSION ................................ 770
6.0 METHODS OF DEPOSITION OF METALLIC COATINGS ........... 772
Contents xxv

7.0 EXAMPLES OF CORROSION-RESISTANT COATINGS ............. 773


7.1 Preamble .......................................................................... 773
8.0 HIGH TEMPERATURE OXIDATION/CORROSION ...................... 776
9.0 FRICTION AND WEAR ............................................................... 781
9.1 Adhesive Wear .................................................................. 781
9.2 Fretting Wear .................................................................... 781
9.3 Abrasive Wear .................................................................. 782
9.4 Fatigue Wear .................................................................... 782
9.5 Impact Erosion Wear by Solid Particles and Fluids ........... 782
9.6 Corrosive Wear ................................................................. 783
9.7 Electric Arc Induced Wear ................................................ 783
9.8 Solution Wear (Thermodynamic Wear).............................. 783
10.0 COATINGS TO REDUCE FRICTION AND WEAR ....................... 783
10.1 Friction ............................................................................. 783
10.2 Lubrication ........................................................................ 785
10.3 Wear ................................................................................. 785
REFERENCES .................................................................................... 787

15 Characterization of Thin Films and Coatings ............. 789


Gary E. McGuire
1.0 INTRODUCTION ......................................................................... 789
2.0 SURFACE ANALYSIS TECHNIQUES ........................................ 789
2.1 Auger Electron Spectroscopy ........................................... 789
2.2 Photoelectron Spectroscopy ............................................. 797
2.3 Secondary Ion Mass Spectroscopy .................................. 803
2.4 Rutherford Backscattering Spectroscopy .......................... 812
3.0 IMAGING ANALYSIS TECHNIQUES .......................................... 822
3.1 Scanning Electron Microscopy ......................................... 822
3.2 Transmission Electron Microscopy ................................... 828
4.0 OPTICAL ANALYSIS TECHNIQUES........................................... 834
4.1 Ellipsometry...................................................................... 834
4.2 Fourier Transform Infrared Spectroscopy ........................... 838
4.3 Photoluminescence Spectroscopy .................................... 841
REFERENCES .................................................................................... 845

16 Jet Vapor Deposition ................................................ 848


Bret L. Halpern and Jerome J. Schmitt
1.0 INTRODUCTION ......................................................................... 848
2.0 PRINCIPLES AND APPARATUS OF JVD .................................. 849
3.0 DISCUSSION ............................................................................. 853
3.1 Jet Structure, Behavior, and Vapor Transport .................... 853
3.2 Substrate Motion .............................................................. 856
xxvi Contents

4.0 EXAMPLES OF JVD FILMS AND APPLICATIONS ..................... 857


4.1 Cu, Au Multilayer Electrodes; Al, Al2O3 Microlaminates... 857
4.2 PZT: Ferroelectric FRAM Nonvolatile Memories ................ 858
4.3 Electronic Grade Silicon Nitride ........................................ 859
4.4 Fiber Coating for Composite Materials .............................. 859
4.5 Coating of Thermally Sensitive Membranes ....................... 860
4.6 “Ceramic Host–Organic Guest” Films................................ 860
4.7 Polymer Deposition: Parylene ........................................... 861
5.0 SUMMARY ................................................................................. 861
REFERENCES .................................................................................... 862

Index ......................................................................... 864


1

Deposition Technologies: An
Overview

Rointan F. Bunshah

1.0 THE MARKET

Historically, from the late 1950s onward, decorative coatings or aluminum


provided the initial thrust for surface-engineered products for toys, textiles,
etc. Since then, the uses of deposition techniques in practically all areas of
engineering and many areas of science have produced a dramatic growth in
sales of equipment and products produced, particularly in the last decade.
According to a recent survey (VDI-Technologiezeutrum-FRG), equipment
with an estimated value of $6 billion was produced worldwide in 1989 for their
film surface technology. Components and devices manufactured with such
equipment amounted to $60 billion and the value of the end-products which
contained components made possible by surface engineering is estimated at
$600 billion. Just one industry, semiconductors, has changed entireproduction
lines every 5 to 6 years. It is further estimated that only 10% of all items which
can benefit from surface modifications are being processed today.
Surface engineering will remain a growth industry in the next decade,
because surface-engineered products increase performance, reduce costs,
and control surface properties independently of the substrate, thus offering
enormous potential due to the following:
! Creation of entirely new products
! Solution of previously unsolved engineering problems
! Improved functionality of existing products—engineering or decorative
! Conservation of scarce materials
! Ecological considerations—reduction of effluent output and power
consumption

27
28 Deposition Technologies for Films and Coatings

Research and development expenditures in surface engineering are very


extensive. It is reported that Japan is spending $100 to $150 million for R/D
in diamond and diamond-like carbon coatings. The payoff is estimated at $16
billion by the end of this decade. In advance thermal barrier coatings by PVD
methods for high temperature operation of turbine blades, it is estimated that
more than $10 million have been spent in the United States alone. Wear-
resistant coatings for disc and heads has attracted much more than $10
million in R/D expenditures worldwide. The list continues to expand.

2.0 INTRODUCTION

Most materials used in high technology applications are composites,


i.e., they have a near-surface region with properties differing from those of the
bulk materials. This is caused by the requirement that the material exhibit
a combination of various, and sometimes conflicting, properties. For example,
a particular engineering component may be required to have high hardness and
toughness (i.e., resistance to brittle crack propagation). This combination of
properties can be obtained by having a composite material with high surface
hardness and a tough core. Alternately, the need may be for a high
temperature, corrosion-resistant material with high elevated-temperature
strength as is the case with the hot stage blades and vanes in a gas turbine.
The solution again is to provide the strength requirement from the bulk and the
corrosion requirement from the surface.
In general, coatings are desirable, or even necessary, for a variety of
reasons including economics, materials conservation, unique properties, or
the engineering and design flexibility which can be obtained by separating the
surface properties from the bulk properties.
This near-surface region is produced by depositing a coating onto it (i.e.,
overlay coating) by processes such as physical or chemical vapor deposition,
electrodeposition, and thermal spraying, or by altering the surface material by
the in-diffusion of materials (i.e., diffusion coating or chemical conversion
coating), or by ion implantation of new material so that the surface layer now
consists of both the parent and added materials.
“Coatings” may also be formed by other processes such as melt/
solidification (e.g., laser glazing technique), by mechanical bonding of a
surface layer (e.g., roll bonding), by mechanical deformation (e.g., shot
peening), or other processes which change the properties without changing
the composition.
Deposition Technologies: An Overview 29

As stated above, the coating/substrate combination is a composite


materials system. The behavior of this composite system depends not only
on the properties of the two components (i.e., the coating material and the
substrate material), but also on the interaction between the two (i.e., the
structure and properties of the coating/substrate interface) which is integral to
the very important factor of adhesion of coatings. In some cases, such as for
overlay coatings, this is a distinct region. For others, such as ion implantation
or diffusion coatings, it is not a discrete region.
Historically, most solid metallic and some ceramic materials were
produced by melting/solidification technology. Since the advent of deposition
technologies (i.e., production of solid materials from the vapor), the diversity
of materials that can be produced has more than doubled because the
properties of solid materials produced from the vapor phase can be varied over
a much wider range than the same material produced from the liquid phase.
This is because melt techniques produce solid materials with properties close
to equilibrium properties whereas the deposition conditions may be so chosen
as to produce materials from the vapor phase with properties close to
equilibrium (similar to their melt-produced counterparts), or properties far
removed from equilibrium properties (non-equilibrium properties). Moreover,
a much greater variation in microstructure is possible with vapor source
materials. For example, a copper-nickel alloy produced by solidification from
the melt will always consist of a single phase solid solution, whereas the same
alloy produced by alternate deposition from two sources may consist of
alternate layers of nickel and copper, i.e., a laminate composite or a solid
solution depending on the deposition temperature.
A large number of materials are used for coatings today. These may
range from the naturally occurring oxide layer which protects the surfaces of
many metals such as aluminum, titanium, and stainless steel, to those with
very deliberate and controlled alloying additions to the surface to produce
specific properties, as exemplified by techniques such as molecular beam
epitaxy or ion implantation. Other examples with increasing degree of
criticality range from paint coatings applied to wood and metals, electrostatically
painted golf balls, the print in the daily newspaper, optical coatings on lenses
and other elements, vapor deposited microcircuit elements such as resistors,
diffusion or overlay coatings on superalloys used in gas turbines for high
temperature corrosion protection, hard overlay coatings of engineering
components and machine tools, etc.
30 Deposition Technologies for Films and Coatings

3.0 AIM AND SCOPE

The aim of this volume is to give the reader a perspective on several


coating techniques with emphasis on the techniques which are used in critical
or demanding (i.e., high technology) applications. Consequently, some of the
techniques such as painting, dip coating, or printing will not be emphasized
except as they pertain to some special application like thick film electrical
components. Nevertheless, a wide variety of techniques and their applications
will be covered. The material is intended to present a broad spectrum of
deposition technologies to those who may be familiar with only one or two
techniques. Hopefully, this will help them to select and weigh various
alternatives when the next technological problem involving coatings faces
them.
The specific deposition technologies to be covered are:
1. Physical Vapor Deposition including evaporation, ion plating and
sputtering.
2. Chemical Vapor Deposition and Plasma-Assisted Chemical
Vapor Deposition
3. Electrodeposition and Electroless Deposition.
4. Plasma Spraying as well as a very special variant called
Detonation Gun Technology.
There are some generic areas common to several of the deposition
technologies, the most prominent example being the use of plasmas in many
of the deposition technologies. Therefore, a chapter on plasmas in deposition
processes is included. Another common topic is cleaning of the substrate and
adhesion of the coating. A chapter is included on that topic.
A further common topic is the characterization of the chemical composition
and the microstructure of the coating at various levels of resolution. A chapter
is included to satisfy this need.
New chapters are added dealing with Metallurgical Applications (Corrosion,
Function and Wear), Overview of Plasma-Assisted Deposition Processes,
Plasma-Assisted Chemical Vapor Deposition, and Nucleation/Growth of Thin
Films.
It is realized that all specific applications cannot be satisfied within this
framework. For example, specific applications such as coatings for optical or
magnetic applications are not addressed per se. At the other end of the
spectrum, coatings for the first wall of thermo-nuclear reactors cannot be
discussed since the development of the subject is in an embryonic stage.
Deposition Technologies: An Overview 31

In each of the chapters on deposition technologies, the theory,


methodology, advantages, limitations and applications are discussed.

4.0 DEFINITIONS AND CONCEPTS

In order to avoid potential problems, it is necessary to clarify certain


distinctions which are common and pertinent to deposition technologies.
These are as follows:
1. Diffusion vs.Overlay Coatings—Diffusion coatings are produced
by the complete interdiffusion of material applied to the surface
into the bulk of the substrate material. Examples of this are the
diffusion of oxygen into metals to form various sub-oxide and
oxide layers, the diffusion of aluminum into nickel base alloys to
form various aluminides, etc. A characteristic feature of diffusion
coatings is a concentration gradient from the surface to the
interior, as well as the presence of various layers as dictated by
thermodynamic and kinetic considerations. Ion implantation
may be considered to be a special case where the coating
material is implanted at a relatively shallow depth (a few hundred
angstrom units) from the surface.
An overlay coating is an add-on to the surface of the part, e.g.,
gold-plating on an iron-nickel alloy, or titanium carbide onto a
cutting tool, etc. Depending upon the process parameters, an
interdiffusion layer between the substrate and the overlay coating
may or may not be present.
2. Thin Films vs. Thick Films—Historically, the physical dimension
of thickness was used to make the distinction between thick films
and thin films. Unfortunately, the critical thickness value depended
on the application and discipline. In recent years, a "Confucian"
solution has been advanced. It states that if a coating is used for
surface properties (such as electron emission, catalytic activity),
it is a thin film; whereas, if it is used for bulk properties, corrosion
resistance, etc., it is a thick film. Thus, the same coating material
of identical thickness can be a thin film or a thick film depending
upon the usage. This represents a reasonable way out of the
semantic problem.
32 Deposition Technologies for Films and Coatings

3. Steps in the Formation of a Deposit—There are three steps in the


formation of a deposit:
a. Synthesis or creation of the depositing species
b. Transport from source to substrate
c. Deposition onto the substrate and film growth
These steps can be completely separated from each other or be super-
imposed on each other depending upon the process under consideration. The
important point to note is that if, in a given process, these steps can be
individually varied and controlled, there is much greater flexibility for such a
process as compared to one where they are not separately variable. This is
analogous to the degrees of freedom in Gibbs phase rule. For example,
consider the deposition of tungsten by CVD process. It takes place by the
reaction:

Heated
WF6(vapor) + 3H2(gas) ———" W(deposit) + 6HF(gas)
Substrate

The rate of deposition is controlled by the substrate temperature. At a


high substrate temperature, the deposition rate is high and the structure
consists of large columnar grains. This may not be a desirable structure. On
the other hand, if the same deposit is produced by evaporation of tungsten, the
deposition rate is essentially independent of the substrate temperature so that
one can have a high deposition rate and a more desirable microstructure. On
the other hand, a CVD process may be chosen over evaporation because of
considerations of throwing power, i.e., the ability to coat irregularly shaped
objects, since high vacuum evaporation is basically a line-of-sight technique.

5.0 PHYSICAL VAPOR DEPOSITION (PVD) PROCESS TERMINOLOGY

The basic PVD processes are those currently known as evaporation,


sputtering and ion plating. In recent years, a significant number of specialized
PVD processes based on the above have been developed and extensively
used, e.g., reactive ion plating, activated reactive evaporation, reactive
sputtering, etc. There is now considerable confusion since a particular
process can be legitimately covered by more than one name. As
Deposition Technologies: An Overview 33

an example, if theactivated reactive evaporation (ARE) process is used with


a negative bias on the substrate, it is very often called reactive ion plating.
Simple evaporation using an RF heated crucible has been called gasless ion
plating. An even worse example of the confusion that can arise is found in the
chapter on ion plating in this volume (Ch. 6) where the material is converted
from the condensed phase to the vapor phase using thermal energy (i.e.,
evaporation) or momentum transfer (i.e., sputtering) or supplied as a vapor
(very similar to CVD processes). Carrying this to the logical conclusion, one
might say that all PVD processes are ion plating! On the other hand, the most
important aspect of the ion plating process is the modification of the
microstructure and composition of the deposit caused by the ion bombardment
of the deposit resulting from the bias on the substrate, i.e., what is happening
on the substrate.
To resolve this dilemma, it is proposed that we consider all of these basic
processes and their variants as PVD processes and describe them in terms
of the three steps in the formation of a deposit as described above. This will
hopefully remove the confusion in terminology.
Step 1: Creation of Vapor Phase Specie. There are three ways to put a
material into the vapor phase-evaporation, sputtering or chemical vapors and
gases.
Step 2: Transport from Source to Substrate. The transport of the vapor
species from the source to the substrate can occur under line-of-sight or
molecular flow-conditions (i.e., without collisions between atoms and
molecules); alternately, if the partial pressure of the metal vapor and/or gas
species in the vapor state is high enough or some of these species are ionized
(by creating a plasma), there are many collisions in the vapor phase during
transport to the substrate.
Step 3: Film Growth on the Substrate. This involves the deposition of the
film by nucleation and growth processes. The microstructure and composition
of the film can be modified by bombardment of the growing film by ions from
the vapor phase resulting in sputtering and recondensation of the film atoms
and enhanced surface mobility of the atoms in the near-surface and surface
of the film.
Every PVD process can be usefully described and understood in terms
of these three steps. The reader is referred to Chapter 9 for a more
comprehensive treatment.
34 Deposition Technologies for Films and Coatings

6.0 CLASSIFICATION OF COATING PROCESSES

Numerous schemes can be devised to classify or categorize coating


processes, none of which are very satisfactory since several processes will
overlap different categories. For example, the Appendix contains a list and
definitions of various deposition processes based upon those provided by
Chapman and Anderson with some additions. These authors classify the
processes under the general heading of Conduction and Diffusion Processes,
Chemical Processes, Wetting Processes and Spraying Processes. Here, the
Chemical Vapor Deposition process falls under the Chemical Processes, and
the Physical Vapor Deposition Process (Evaporation, lon Plating and Sputtering)
falls under the spraying processes. The situation can easily get confused as,
for example, when Reactive and Activated Reactive Evaporation, and Reactive
lon Plating are all classified as Chemical Vapor Deposition processes by
Yee[3] who considers them thusly because a chemical reaction is involved and
it does not matter to him whether evaporated metal atoms or stable liquid or
gaseous compounds are the reactants. Another classification of the methods
of deposition of thin films is given by Campbell.[4] He considers the overlap
between physical and chemical methods, e.g., evaporation and ion plating,
sputtering and plasma reactions, reactive sputtering and gaseous
anodization.[5] He classifies the Chemical Methods of Thin Film Preparation
as follows:

Chemical Methods of Thin Film Preparation

Basic Class Method

Formation from the Medium Electroplating


lon Plating
Chemical Reduction
Vapor Phase
Plasma Reaction

Formation from the Substrate Gaseous Anodization


Thermal
Plasma Reduction
Deposition Technologies: An Overview 35

In addition, he considers the following as chemical methods of thick film


preparation: Glazing, Electrophoretic, Flame Spraying and Painting.
In contrast to the chemists’ approach given above, the physicists’
approach to deposition processes is shown in the following classification of
vacuum deposition techniques by Schiller, Heisig and Goedicke[6] and by
Weissmantel.[7]

Figure 1.1. Survey of vacuum deposition techniques (Schiller[6])

A different classification comes from a materials background where the


concern is with structure and properties of the deposits as influenced by
process parameters. Thus, Bunshah and Mattox[8] give a classification based
on deposition methods as influenced by the dimensions of the depositing
specie, e.g., whether it is atoms/molecules, liquid droplets or bulk quantities,
as shown in Table 1.1.
In atomistic deposition processes, the atoms form a film by condensing
on the substrate and migrating to sites, where nucleation and growth occurs.
Further, adatoms do not achieve their lowest energy configurations and the
resulting structure contains high concentrations of structural imperfections.
Often the depositing atoms react with the substrate material to form a complex
interfacial region.
Another aspect of coatings formed by atomistic deposition processes is
as follows. The sources of atoms for these deposition processes can be by
thermal vaporization (vacuum deposition) or sputtering (sputter deposition) in
a vacuum, vaporized chemical species in a carrier gas (chemical vapor
deposition), or ionic species in an electrolyte (electrodeposition). In low
energy atomistic deposition processes, the depositing species impinge on the
surface, migrate over the surface to a nucleation site where they condense and
grow into a coating. The nucleation and growth modes of the condensing
species determine the crystallography and microstructure of
36 Deposition Technologies for Films and Coatings

the coating. For high energy deposition processes, the depositing particles
react with or penetrate into the substrate surface.
Particulate deposition processes involve molten or solid particles and the
resulting microstructure of the deposit depends on the solidification or
sintering of the particles. Bulk coatings involve the application of large
amounts of coating material to the surface at one time such as in painting.
Surface modification involves ion, thermal, mechanical, or chemical treatments,
which alter the surface composition or properties. All of these techniques are
widely used to form coatings for special applications.

Table 1.1. Methods of Fabricating Coatings

7.0 GAS JET DEPOSITION WITH NANO-PARTICLES

One of the chapters in this volume (Ch. 11) deals with Plasma Spraying
and Detonation Gun Techniques where a high velocity stream of macro-
particles (µm dimensions) impinge on a substrate to form a coating. With the
Deposition Technologies: An Overview 37

advent of evaporation[9] and sputtering processes[10] to produce nano-


particles (nm dimensions), the same concept can be used to produce
coatings by carrying nano-particles in a gas stream and impinging them on
a substrate.[11][12] Figure 1.2 shows a schematic of this process where
metallic nano-particles produced by evaporation are carried in a gas stream,
accelerated through a nozzle and impinged on a substrate to produce a
coating. Single nozzles or multiple nozzle configurations can be used, the
latter producing an array of dots, for example. The attributes of this process
are:
1. Direct write maskless processing to produce dots, lines, and
other shapes.
2. High deposition rate, 10 - 20 µm per second over a small area.
3. Low temperature (room temperature) deposition.
4. Metals, alloys, ceramics, and organic materials can be
deposiited.
5. Multiphase films with uniform mixing can be produced.
6. The collection officiency is very high, ~90%, i.e. very little waste
or scatter.
Examples of applications of this technique are:
1. Electrical connecting lines in circuits including the repair aspect.
2. Fabrication of microelectrodes
3. Oxide superconductor contacts.
4. Capacitors
5. Implantation of virus into plants for the bio industry.
6. Cell-gene processing technology.

Figure 1.2. Schematic diagram of gas deposition apparatus.


38 Deposition Technologies for Films and Coatings

8.0 MICROSTRUCTURE AND PROPERTIES

In electrodeposition, typically the growth process involves condensation


of atoms at a kink site on the substrate surface, followed by layered growth
of the deposit. Adatom mobility is increased by the hydrated nature of the ions
and the adatom mobility may vary with crystal orientation. Field ion
microscopy stripping studies of copper electrodeposited on tungsten has
shown that there is surface rearrangement of the tungsten atoms during the
electrodeposition process. Electrodeposited material does not grow in a
uniform manner; rather it becomes faceted, develops dendrites and other
surface discontinuities. Thus the microstructure of electrodeposited coatings
may vary from relatively defect-free single crystals usually grown on single
crystal substrates, to highly columnar and faceted structures. In the
electroplating process, organic additives may be used to modify the nucleation
process and to eliminate undesirable growth modes. This results in a
microstructure more nearly that of bulk material formed by conventional
metallurgical processes. Electrodeposition from a molten salt electrolyte
allows the deposition of many materials not available from aqueous electrolytes.
In vacuum processes, the depositing species may have energies ranging
from thermal (a few tenths of an electron volt) for evaporation to moderate
energies (ten to hundreds of electron volts) for sputtered atoms to high
energies for accelerated species such as those used in ion implantation.
These energies have an important but poorly understood effect on interfacial
interaction, nucleation and growth. Where there is chemical reaction between
the substrate atoms and the depositing atoms, and diffusion is possible, a
diffusion or compound interfacial region is formed composed of compounds
and/or alloys which modify the effective surface upon which the deposit grows.
Low energy electron diffraction studies have shown that this interfacial reaction
is very sensitive to surface condition and process parameters. If the coating
and substrate materials are not chemically reactive and are insoluble, the
interfacial region will be confined to an abrupt discontinuity in composition.
This type of interface may be modified by bombardment with high energy
particles to give high defect concentrations and implantation of ions resulting
in a “pseudodiffusion” type of interface. The type of interface formed will
influence the properties of the deposited coating. In many circumstances,
these interfacial regions are of very limited thickness and pose a challenge to
those interested in compositional, phase, microstructural and property
analysis.
Deposition Technologies: An Overview 39

The microstructure of the depositing coating in the atomic deposition


processes depends on how the adatoms are incorporated into the existing
structure. Surface roughness and geometrical shadowing will lead to
preferential growth of the elevated regions giving a columnar type microstructure
to the deposits.[13] This microstructure will be modified by substrate
temperature, surface diffusion of the atoms, ion bombardment during deposition,
impurity atom incorporation and angle of incidence of the depositing adatom
flux. The structure zone model of Movchan and Demchishin[14] for vacuum
deposited films is discussed in later chapters.
In chemical vapor deposition, the chemical species containing the film
atoms is generally reduced or decomposed on the substrate surface, often at
high temperatures. Care must be taken to control the interface reaction
between coating and substrate and between the substrate and the gaseous
reaction products. The coating microstructure which develops is very similar
to that developed by the vacuum deposition processes, i.e., small-grained
columnar structures to large-grained equiaxed or oriented structures.
Each of the atomistic deposition processes has the potential of depositing
materials which vary significantly from the conventional metallurgically
processed material. The deposited materials may have high intrinsic
stresses, high point defect concentration, extremely fine grain size, oriented
microstructures, metastable phases, incorporated impurities, and macro and
micro porosity. These properties may be reflected in the physical properties
of the materials and by their response to applied stresses such as mechanical
loads, chemical environments, thermal shock or fatigue loading. Metallurgical
properties which may be affected include elastic constants, tensile strength,
fracture toughness, fatigue strength, hardness, diffusion rates, friction/wear
properties, and corrosion resistance. In addition, the unique microstructure
of the deposited material may lead to such effects as anomalously low
annealing and recrystallization temperatures where the internal stresses and
high defect concentration aid in atomic rearrangement.
The high value of grain boundary area to volume ratio found in fine grained
deposited material means that diffusion processes may be dominated by grain
boundary rather than bulk diffusion. The fine grained nature of the materials
also affects the deformation mechanisms such as slip and twinning. For thin
films, the free-surface to volume ratio is high, and the pinning of dislocation by
the free surface leads to the high tensile strengths often measured in thin films
of materials.
40 Deposition Technologies for Films and Coatings

In vapor deposition processes, impurity incorporation during deposition


can give high intrinsic stresses or impurity stabilized phases which are not
seen in the bulk forms of the materials. Reactive species allow the deposition
of compounds such as nitrides, carbides, borides and oxides. Graded
deposits can be formed.
Vapor deposition processes have the capability of producing unique and/
or nonequilibrium microstructures. One example is the fine dispersion of
oxides in metals, where the oxide particle size and spacing is very small (100
- 500 Å). Alternately, metals and alloys deposited at high substrate
temperatures have properties similar to those of conventionally fabricated
(cast, worked and heat treated) metals and alloys. A more recent example
is the nano-scale laminate composites consisting of alternate layers of
refractory compounds with unusually high hardness values.

9.0 UNIQUE FEATURES OF DEPOSITED MATERIALS AND GAPS IN


UNDERSTANDING

It is useful to state at this point some of the unique features of materials


produced by deposition technologies. They are:
1. Extreme versatility of range and variety of deposited materials.
2. Overlay coatings with properties independent of the
thermodynamic compositional constraints.
3. Ability to vary defect concentration over wide limits, thus resulting
in a range of properties comparable to, or far removed from
conventionally fabricated materials.
4. High quench rates available to deposit amorphous materials.
5. Generation of microstructures different from conventionally
processed materials, e.g., a wide range of microstructures—
ultrafine (submicron grain or laminae size) to single crystal films.
6. Fabrication of thin self-standing shapes even from brittle materials.
7. Ecological benefits with certain techniques.
The first edition lists some of the areas where our understanding
of basic processes and phenomena is lacking and which obviously are
the areas where research activities are essential. These are:
Deposition Technologies: An Overview 41

1. Microstructure and properties in the range of 500 to 10,000 Å—


particularly important for submicron microelectronics, reflective
surfaces and corrosion.
2. (a) Effect of the energy of the depositing species on
interfacial interaction, nucleation and growth of deposit.
(b) Effect of “substrate surface condition,” i.e.,
contamination (oxide) layers, adsorbed gases,
surface topography.
3. Residual stresses—influence of process parameters.
Considerable progress and understanding has developed in the last
decade.

10.0 CURRENT APPLICATIONS

The applications of coatings in current technology may be classed into


the following generic areas:
Optically Functional—Laser optics (reflective and transmitting),
architectural glazing, home mirrors, automotive rear view mirrors,
reflective and anti-reflective coatings, optically absorbing coatings,
selective solar absorbers.
Electrically Functional—Electrical conductors, electrical contacts,
active solid state devices, electrical insulators, solar cells.
Mechanically Functional—Lubrication films, wear and erosion
resistant coatings, diffusion barriers, hard coatings for cutting tools.
Chemically Functional—Corrosion resistant coatings, catalytic
coatings, engine blades and vanes, battery strips, marine use
equipment.
Decorative—Watch bezels, bands, eyeglass frames, costume
jewelry.
A few examples are chosen to illustrate them in greater detail.

10.1 Decorative/Functional Coating

Weight reduction is a high priority item to increase gas mileage in


automobiles. Therefore, heavy metallic items such as grills are being
42 Deposition Technologies for Films and Coatings

replaced with lightweight plastic, overcoated with chromium by sputtering for


the appearance to which the consumer is accustomed.
Another extensive application is aluminum-coated polymer films for heat
insulation, decorative and packaging applications.
A rapidly growing application is the use of a gold-colored wear-resistant
coating of titanium nitride on watch bezels, watch bands and similar items.
A new application is black wear-resistant hard carbon films.

10.2 High Temperature Corrosion

Blades and vanes used in the turbine-end of a gas turbine engine are
subject to high stresses in a highly corrosive environment of oxygen-, sulfur-
and chlorine-containing gases. A single or monolithic material such as a high
temperature alloy is incapable of providing both functions. The solution is to
design the bulk alloy for its mechanical properties and provide the corrosion
resistance by means of an overlay coating of an M-Cr-AI-Y alloy where M
stands for Ni, Co, Fe or Ni + Co. The coating is deposited in production by
electron beam evaporation and in the laboratory by sputtering or plasma
spraying. With the potential future use of synthetic fuels, considerable
research will have to be undertaken to modify such coating compositions for
the different corrosive environments as well as against erosion from the
particulate matter in those fuels.

10.3 Environmental Corrosion

Thick ion plated aluminum coatings are used in various irregularly-


shaped parts of aircraft and space-craft as well as on fasteners:(a) to replace
electroplated cadmium coatings which sensitize the high-strength parts to
hydrogen embrittlement or(b) to prevent galvanic corrosion which would occur
when titanium or steel parts contact aluminum or (c) to provide good
brazeability. New alloy coatings in the micron thickness range have been
developed.

10.4 Friction and Wear

Dry-film lubricant coatings of materials such as gold, MoS2 , WSe2 and


other lamellar materials are deposited on bearings and other sliding parts by
sputtering or ion plating to reduce wear. Such dry-film lubricants are
Deposition Technologies: An Overview 43

especially important for critical parts used in long-lifetime applications since


conventional organic fluid lubricants are highly susceptible to irreversible
degradation and creep over a long time.

10.5 Materials Conservation

Aluminum is continuously coated on a steel strip, 2 feet wide and 0.006


inches thick to a 250 micro-inch thickness in an air-to-air electron-beam
evaporator at the rate of 200 feet/minute. The aluminum replaces tin, which
is becoming increasingly scarce and costly. The strip then goes to the lacquer
line and is used for steel can production. With the change in Eastern Europe,
this line has switched to deposition of Cr and Cu on steel.

10.6 Cutting Tools

Cutting tools are made of high-speed steel or cemented carbides. They


are subject to degradation by abrasive wear as well as by adhesive wear. In
the latter mode, the high temperatures and forces at the tool tip promote
microwelding between the steel chip from the workpiece and the steel in the
high-speed steel tool or the cobalt binder phase in the cemented carbide. The
subsequent chip breaks the microweld and causes tool surface cratering and
wear. A thin layer of a refractory compound such as TiC, TiN, Al2 O3 prevents
the microwelding by introducing a diffusion barrier. Improvements in tool life
by factors of 300 to 800% are possible as well as reductions in cutting forces.
The coatings are deposited by chemical vapor deposition or physical vapor
deposition. Some idea of the importance of such coatings can be assessed
from the fact that the yearly value of cutting tools purchased in the U.S. is $1
billion and the cost of machining is approximately $60 billion.
The last decade has seen major advances in this area and some of these
are:
! Ti alloy nitrides, e.g., (Ti, Al) N
! Ti carbonitrides, e.g., Ti (C,N)
! Multilayer coatings of different nitrides
! Diamond coated tools by CVD and PACVD processes for
machining of non-ferrous metals and polymer-matrix
composites. A bond layer such as silicon nitride has to be used
to attach the diamond coating to the carbide cutting tool.
44 Deposition Technologies for Films and Coatings

! Hard diamond-like carbon for heads and discs


! Cubic boron nitride coatings by plasma-assisted PVD and
CVD methods for cutting of hard ferrous materials

10.7 Nuclear Fuels

Pyrolytic carbon is deposited on nuclear fuel particles used in gas-cooled


reactors by chemical vapor deposition in fluidized beds. The coating retains
the fission products and protects the fuel from corrosion.

10.8 Biomedical Uses

Parts for implants such as heart valves are made of pyrolytic carbon by
CVD techniques. Metal parts are coated with carbon by ion plating in order
to obtain biological compatibility.

10.9 Electrical Uses

High temperature cuprate superconductors with transition temperatures


of 85° to 115°K. This permits the operation of liquid nitrogen cooled devices.
Various PVD techniques such as co-evaporation in an oxygen plasma,
sputtering from simple or multiple targets and laser ablation have been used
to fabricate films, ranging from 1 to 50 cm2 . Microwave devices such as delay
lines, quasioptical filters have been fabricated and are being marketed.

11.0 “FRONTIER AREAS” FOR THE APPLICATION OF THE PRODUCTS


OF DEPOSITION TECHNOLOGY

The following were listed in the first edition published in 1982.


1. Reflective surfaces, e.g., for laser mirrors.
2. Thermal barrier coatings for blades and vanes operating at high
temperatures.
3. Corrosion/erosion resistant coatings at high temperatures,
e.g., valves and other critical compounds in coal gasification
plants.
4. Advanced cutting tools.
Deposition Technologies: An Overview 45

5. Wear-resistant surfaces without organic lubricants, particularly


at high temperatures where lamellar solid state lubricants such
as MoS2 are ineffective.
6. First wall of thermonuclear reactor vessels.
7. High-strength/high-toughness ceramics for structural use.
8. Ultrafine powders.
9. Super conducting materials:
High transition temperatures >23.2°K.
Fabricability of these brittle materials into wire or ribbons.
10. CataIytic materials.
11. Thin film photovoltaic devices.
12. Transparent conductive coatings in opto-electronics devices,
photo detectors, liquid crystal and electrochromic displays,
solar photo thermal absorption devices, heat mirrors.
13. Biomedical devices, e.g., neurological electrodes, heart valves,
artificial organs.
14. Materials conservation.
15. Sub-micron microelectronic devices. In this context, a good
question is, How far can dimensions be reduced without running
into some limit imposed by physical phenomena?
In 1992, new additions to the above list are:
16. Diamond and diamond-like carbon for various applications:
! Tribology, particularly cutting tool
! Heat management–heat sinks of diamond sheet currently
several square inches in area are on the market
! Hard protective coatings for infrared applications such as
the protection of germanium and sodium chloride optics
17. Cubic boron nitride for various applications:
! High temperature use (up to 1200°) semiconductor
devices. Very perfect device quality single crystal films
have been grown epitaxially on lattice matched TiC
substrates
! Tribological uses for machining of hard steels
! Optical and opto-electronic devices
46 Deposition Technologies for Films and Coatings

18. Film deposition using a high velocity gas jet. Hayashi and
coworkers[9] have developed a process where ultra-fine powders
(~10 nanometer diameter) are carried on a high velocity gas jet
and impinged on a substrate to “write” lines of deposited
materials, e.g., YBCO superconductors. The usage of material
is very high, almost 97% is collected as a deposit. Various
applications are envisioned.
19. Unbalanced magnetron deposition—very useful new development
where some of the electrons are allowed to escape from the
magnetic trap at the sputtering target and from a plasma near
the substrate from which ions can be extracted to bombard the
growing film.

12.0 SELECTION CRITERIA

The selection of a particular deposition process depends on several


factors. They are:
1. The material to be deposited
2. Rate of deposition
3. Limitations imposed by the substrate, e.g., maximum deposition
temperature
4. Adhesion of deposit to substrate
5. Throwing power
6. Purity of target material since this will influence the impurity
content in the film
7. Apparatus required and availability of same
8. Cost
9. Ecological considerations
10. Abundance of deposition material in the world
In order to aid the reader in the task of selection, Table 1.2 lists several
criteria for each of the processes. It is obvious that there are very few
techniques which can deposit all types of materials. It is also impossible to
detail the advantages and limitations of each of the techniques. However, in
the evaluation of each application, the above factors will lead to a rational
choice of the deposition technique to be used.
Table 1.2. Some Characteristics of Deposition Processes

Deposition Technologies: An Overview


47
48 Deposition Technologies for Films and Coatings

13.0 SUMMARY

In the above discussion, we have noted the following:


1. There are a very large number of deposition techniques.
2. There is no unique way to classify these techniques. Depending
on the viewpoint, the same technique may fall into fall into one or
more classes.
3. Each technique has its advantages and limitations.
4. The choice of the technique to be used depends on various
selection criteria which have been given above.
5. More than one technique can be used to deposit a given film as
shown in Figure 1.3 below from Campbell’s article on preparation
methods in microelectronic fabrication.

Electro- Chemical Vapor Anodization Thermal Evaporation Sputtering


123456789012345678901
plating Reduction Phase
123456789012345678901 12345678
12345678
123456789012345678901 12345678
Conductors, 123456789012345678901
123456789012345678901 12345678
12345678
123456789012345678901
resistiors 123456789012345678901
12345678
123456
123456 12345678
12345678 12345678
12345678
123456
123456 12345678
12345678 12345678
12345678
Insulators, 123456 12345678 12345678
capacitors 123456
123456 12345678
12345678123456789012345678
12345678
1234567890
1234567890
1234567890
Active 1234567890
1234567890
devices 1234567890
1234567890 1234567890
123456789012345678
12345678
1234567890 123456789012345678
Magnetic 1234567890
1234567890 1234567890
123456789012345678
12345678
materials 1234567890
1234567890
1234567 1234567890
123456789012345678
12345678
1234567
1234567
1234567
Super-
1234567
1234567
conductors 1234567

Figure 1.3. Applicability of preparation methods to microelectronics. Light shading


indicates that the component can be prepared by the method; Dark shading
indicates that the method is widely used.
Deposition Technologies: An Overview 49

APPENDIX 1: DEPOSITION PROCESS DEFINITIONS

The definitions of various deposition processes are given below. They


are grouped as proposed by Chapman and Anderson[1] and many of them are
those proposed by these authors.

Conduction and Diffusion Processes

Electrostatic Deposition is the deposition of material in liquid form, the


solvent used then being evaporated to form a solid coating. At the source, the
liquid is atomized and charged, and then it can be directed onto the substrate
using an electrostatic field.
Electrophoretic Coating produces a coating on a conducting substrate
from a dispersion of colloidal particles. The article to be coated is immersed
in an aqueous dispersion which dissociates into negatively charged colloidal
particles and positive cations. An electric field is applied with the article as
anode (positive electrode); the colloidal particles are transported to the anode,
where they are discharged and form a film. In the case of a paint coating, this
requires curing, which further shows that electrophoresis itself is not a very
effective transport process, so that electrodeposition may be a better term for
the coating process.
Electrolytic Depositionis primarily concerned with the deposition of ions
rather than of colloidal particles. Two electrodes are immersed in an
electrolyte of an ionic salt which dissociates in aqueous solution into its
constituent ions; positive ions are deposited onto the cathode (negative
electrode).
Anodizationis a process which occurs at the anode (hence its name) for a few
specific metals. The anode reacts with negative ions from the electrolyte and becomes
oxidized, i.e., it forms a surface coating.
Gaseous Anodization is a process in which the liquid electrolyte of the
conventional wet process is replaced by a glow discharge in a low partial pressure of
a reactive gas. Oxides, carbides and nitrides can be produced this way.
Ion Nitridingis a gaseous anodization to produce nitride diffusion coating on a
metal surface, usually steel.
Ion Carburizingis a gaseous anodization to produce a carbide diffusion coating
on a metal surface, usually steel.
Plasma Oxidationis gaseous anodization to produce an oxide film on the surface
of metal, e.g., SiO2 films on Si.
Diffusion Coating is produced by diffusion of material from the surface into the
bulk of the substrate.
50 Deposition Technologies for Films and Coatings

Metalliding is a method using electrodeposition in molten fluorides.


Spark-hardening is a technique in which an arc is periodically struck
between a vibrating anode and the conducting substrate (cathode); material
is transferred from the anode and diffuses into the substrate.

Chemical processes

Conversion and Conversion/Diffusion Coating is a process in which the


substrate is reacted with other substances (which may be in the form of solids,
liquids or gases) so that its surface is chemically converted into different
compounds having different properties. (Anodization could probably be
described as an electrochemical conversion process). Conversion coating
usually takes place at elevated temperatures and diffusion is often an essential
feature.
Chemical Vapor Deposition (CVD) is a chemical process which takes
place in the vapor phase very near the substrate or on the substrate so that
a reaction product is deposited onto the substrate. The deposition can be a
metal, semiconductor, alloy or refractory compound.
Pyrolysis is a particular type of CVD which involves the thermal
decomposition of volatile materials on the substrate.
Plasma-Assisted CVD is a process where the reaction between the
reactants is stimulated or activated by creating a plasma in the vapor phase
using means such as R F excitation from a coil surrounding the reaction
vessel.
Electroless Deposition is often described as a variety of electrolytic
deposition which does not require a power source or electrodes, hence its
name. It is really a chemical process catalyzed by the growing film, so that
the electroless term is somewhat a misnomer.
Disproportionation is the deposition of a film or crystal in a closed system
by reacting the metal with a carrier gas in the hotter part of the system to form
the compound, followed by dissociation of the compound in the colder part of
the system to deposit the metal. Examples are epitaxial deposits of Si or Ge
on a single crystal substrate and the Van-Arkel-deBoer process for metal
purification and crystal growth.

Wetting Process

Wetting Processes are the coating processes in which material is


applied in liquid form and then becomes solid by solvent evaporation or cooling.
Deposition Technologies: An Overview 51

Conventional Brush Painting and Dip Coating are wetting processes in


which the part to be coated is literally dipped into a liquid (e.g., paint) under
controlled conditions of, for example, withdrawal rate and temperature.
Hydrophilic Method is a surface chemical process known as the
Langmeir Blodgett technique which is used to produce multimonolayers of
long chain fatty acids. A film 25 Å thick can be deposited on a substrate
immersed in water and pulled through a compressed layer of the fatty acid on
the surface of the water. The process can be repeated to build up many layers.
Welding Processesare the range of coating techniques all of which rely
on wetting.

Spraying Processes

Printing Process also relies on wetting and is a process in which the ink,
conventionally pigment in a solvent, is transferred to and is deposited on a
paper or other substrate, usually to form a pattern; the solvent evaporates to
leave the required print.
Spraying Processes can be considered in two categories;(i) macroscopic
in which the sprayed particle consists of many molecules and is usually grater
than 10 µm in diameter; (ii) macroscopic in which the sprayed particles are
predominantly single molecules or atoms.
Air and Airless Spraying are the first of the macroscopic processes.
When a liquid exceeds a certain critical velocity, it breaks up into small
droplets, i.e., it atomizes. The atomized droplets, by virtue of their velocity
(acquired from a high pressure air or airless source) can be sprayed onto a
substrate.
Flame Spraying is a process in which a fine powder (usually of a metal)
is carried in a gas stream and is passed through an intense combustion flame,
where it becomes molten. The gas stream, expanding rapidly because of the
heating, then sprays the molten powder onto the substrate where it solidifies.
Detonation Coating is a process in which a measured amount of powder
is injected into what is essentially a gun, along with a controlled mixture of
oxygen and acetylene. The mixture is ignited, and the powder particles are
heated and accelerated to high velocities with which they impinge on the
substrate. The process is repeated several times a second.
Arc Plasma Sprayingis a process in which the powder is passed through
an electrical plasma produced by a low voltage, high current electrical
discharge. By this means, even refractory materials can be deposited.
52 Deposition Technologies for Films and Coatings

Electric-Arc Spraying is a process in which an electric arc is struck


between two converging wires close to their intersection point. The high
temperature arc melts the wire electrodes which are formed into high velocity
molten particles by an atomizing gas flow; the wires are continuously fed to
balance the loss. The molten particles are then deposited onto a substrate
as with the other spray processes.
Harmonic Electrical Spraying is a process in which the material to be
sprayed must be in liquid form, which will usually require heating. It is placed
in a capillary tube and a large electrical field is applied to the capillary tip. It
is found that by adding an AC perturbation to the DC field, a collimated beam
of uniformly sized and uniformly charged particles is emitted from the tip.
Sense these particles are charged, they could be focused by an electrical field
to produce pattern deposits.
Evaporation is a process in which the boiling is carried out in vacuum
where there is almost no surrounding gas; the escaping vapor atom will travel
in a straight line for some considerable distance before it collides with
something, for example, the vacuum chamber walls or substrate.
Glow Discharge Evaporation and Sputtering are processes in soft
vacuum (10-2 to 10-1 torr) operating in the range 10-1 < pd < 10-2 torr cm where
p is the pressure and d is the cathode fall dimension.
Molecular Beam Epitaxy is an evaporation process for the deposition of
compounds of extreme regularity of layer thickness and composition from well
controlled deposition rates.
Reactive Evaporation is a process in which small traces of an active gas
are added to the vacuum chamber; the evaporating material reacts chemically
with the gas so that the compound is deposited on the substrate.
Activated Reactive Evaporation (ARE) is the Reactive Evaporation
Process carried out in the presence of plasma which converts some of the
neutral atoms into ions or energetic neutrals thus enhancing reaction
probabilities and rates to deposit refractory compounds.
Biased Activated Reactive Evaporation (BARE)is the same process as
Activated Reactive Evaporation with substrate held at a negative bias voltage.
Sputter Depositionis a vacuum process which uses a different physical
phenomenon to produce the microscopic spray effect. When a fast ion strikes
the surface of a material, atoms of that material are ejected by a momentum
transfer process. As with evaporation, the ejected atoms or molecules can be
condensed on a substrate to form a surface coating.
Ion Beam Depositionis a process in which a beam of ions generated from
an ion beam gun, impinge and deposit on the substrate.
Deposition Technologies: An Overview 53

Ion Beam Assisted Deposition—two versions are possible. One, an ion


beam is used to sputter a target and a second beam is used to bombard the
growing film to change structure and properties. This is dual Ion Beam
Assisted Deposition. The other version uses an ion beam to bombard the
growing film to change structure and properties. In this case, conventional
evaporation or sputtering techniques are used to generate a flux of the
depositing species.
Cluster Ion Beam Deposition is an ion beam deposition in which atomic
clusters are formed in the vapor phase and deposited on the substrate.
Ion Plating is a process in which a proportion of the depositing material
from an evaporation, sputtering or chemical vapor source is deliberately
ionized. Once changed this way, the ions can be accelerated with an electric
field so that the impingement energy on the substrate is greatly increased,
producing modifications of the microstructure and residual stresses of the
deposit.
Reactive Ion Plating is ion plating with a reactive gas to deposit a
compound.
Chemical Ion Plating is similar to Reactive Ion Plating but uses stable
gaseous reactants instead of a mixture of evaporated atoms and reactive
gases. In most cases, the reactants are activated before they enter the
plasma zone.
Ion Implantation is very similar to ion plating, except that now all of the
depositing material is ionized, and in addition, the accelerating energies are
much higher. The result is that the depositing ions are able to penetrate the
surface barrier of the substrate and be implanted in the substrate rather than
on it.
Plasma Polymerization is a process in which organic and inorganic
polymers are deposited from monomer vapor by the use of electron beam,
ultraviolet radiation or glow discharge. Excellent insulating films can be
prepared in this way.
54 Deposition Technologies for Films and Coatings

REFERENCES

1. Science and Technology of Surface Coating,(B. N. Chapman and J. C.


Anderson, eds.), Academic Press (1974)
2. Adhesion Measurement of Thin Films, Thick Films and Bulk Coatings,
(K. D. Mittal, ed.), Am. Soc. for Testing Materials (1978)
3. Yee, K. K.,International Metal Reviews,No. 226, The Metals Society and
American Society for Metals (1978)
4. Campbell, D. S.,Handbook of Thin Film Technology,(L. Maissel and R.
Glang, eds.), Ch. 5, McGraw-Hill (1970)
5. Handbook of Thin Film Technology, (L. Maissel and R. Glang eds.),
McGraw-Hill (1970)
6. Schiller, S., Heisig, O., and Geodick, K., Proc. 7th Int’l. Vacuum
Congress, (R. Dobrozemsky, ed.), p. 1545, Vienna (1977)
7. Weissmantel, C., ibid, p. 1533,
8. Bunshah, R. F. and Mattox, D. M., Physics Today (May 1970)
9. Hayashi, C., Paper presented at the International Vacuum Congress,
Hague, Netherlands (Oct. 1992); also: Hayashi, C., J. Vac. Sci. Tech.,
A5(4):1375 (1987)
10. Suc, T. G., Umarjee, D. M., Prakash, S., and Bunshah, R. F., Surface
and Coatings Technology, 13:199 (1991)
11. Hayashi, C., Kashu, S., Oda, M., and Naruse, F., presented at the Int'l
Vac. Cong., The Hague, Netherlands (Nov. 1992) - to be published in Mat.
Sci. Eng., (1993)
12. Oda, M., Katsu, I., Tsuneizumi, M., Fuchita, E., Kashu, S., and Hayashi,
C., presented at Fall Mtg. Mat. Res. Soc., Boston, 1992
13. Thornton, J. A.,Proc. 19th National SAMPLE Symposium, Buena Park,
Ca. (April 23-25, 1974)
14. Movchan, B. A., and Demchishin, A. V., Phys. Met Metallogr., 28:83
(1969)
2

Plasmas in Deposition Processes

John A. Thornton and Joseph E. Greene

1.0 INTRODUCTION

A glow discharge plasma used in deposition processes is a low-pressure


gas which is partially ionized and contains approximately equal numbers of
positive and negative particles. The character of such a plasma is a
consequence of the mass difference between the electrons and the ions.
When an electric field is applied to an ionized gas, energy is transferred more
rapidly to the electrons than to the ions. Furthermore, the transfer of kinetic
energy from an electron to a heavy particle (atom, molecule, or ion) in an elastic
collision is proportional to the mass ratio of electrons and heavy particles and
therefore very small (~10-5). Consequently, at low pressures (low collision
frequencies), the electrons can accumulate sufficient kinetic energy to have
a high probability of producing excitation or ionization during collisions with
heavy particles. The production of these excited species, and their interactions
with surfaces and growing films, is one of the reasons that low pressure glow
discharge plasmas are assuming an ever-increasing role in materials
processing. Examples of application areas include the following.
! Sputter deposition
! Activated reactive evaporation
! Ion plating
! Plasma-assisted chemical vapor deposition
! Plasma-assisted etching
! Plasma polymerization

55
56 Deposition Technologies for Films and Coatings

The purpose of this chapter is to review fundamental aspects of glow-


discharge plasmas which are of importance in understanding the role of
plasma processes in materials processing.

2.0 PARTICLE MOTION

2.1 Mean Free Path and Collision Cross Sections

A glow discharge plasma can be viewed as a medium in which electrical


energy is transmitted, via an electric field, to a gas. The energetic gas particles
are then used to promote chemical reactions or to interact with a surface to
produce desirable effects such as sputtering. Thus, the process of energy
exchange during collisions involving plasma particles is of fundamental
importance.
Gas-phase collision probabilities are often expressed in terms of cross
sections. A related parameter is the mean free path or average distance
traversed by particles between collisions. The mean free pathλ and collision
cross sectionσ are generally defined by a simple relationship which treats the
particles as impenetrable spheres. Thus, the mean free path for electrons
passing through a gas of particle density N is

Eq. (1) λ = 1/(Nσ)

The total collision cross section can be written as

Eq. (2) σt = σel + σex + σion + σ a + σoth

where the subscripts el, ex, ion, a, and oth characterize the particular types
of collisions, namely, elastic or momentum exchange, excitation, ionization,
attachment, and other processes, respectively.
Figure 2.1 shows the cross sections for electrons interacting with Ar
gas. The cross sections are typically a strong function of the energy of the
colliding species. For the case of electrons colliding with gas atoms, the
kinetic energy of the gas atoms is generally much less than that of the
electrons and can be neglected. Consequently, only the electron energy is
shown in Fig. 2.1. The figure shows that at low electron energies the primary
collision process is momentum exchange (σt ≈ σel), while at energies
considerably larger than the ionization potential (15.75 eV for Ar), the primary
process is ionization (σt ≈ σion ).
Plasmas in Deposition Processes 57

Figure 2.1. Collision cross sections for electrons in Ar gas (from Ref. 1).

Cross sections are most easily measured for reactions involving a


species such as an electron or ion which can conveniently be formed as an
energetic beam and passed through a stationary gas. Figure 2.2 shows the
cross section for energetic O+ ions passing through N2 and producing the
reaction O+ + N2 → NO+ + N. Note in comparing Figs. 2.1 and 2.2 that the
collision cross sections are typically a few x 10-15 cm2 in magnitude (i.e., a
few angstroms in diameter). For collision types that cannot be investigated
in beam experiments, the cross sections are often deduced from measurements
of macroscopic parameters such as viscosities, diffusion coefficients, and
chemical reaction rates.[3] Thus one finds reference to viscosity cross
sections, diffusion cross sections, etc. Cross sections are primarily of interest
in making comparisons based on kinetic theory. In most plasma calculations,
the macroscopic rate parameters are used directly if they are available.
58 Deposition Technologies for Films and Coatings

Figure 2.2. Cross section for the reaction of O+ ions with N2 to produce NO+ + N
(from Ref. 2).

2.2 Free Electron Kinetic Energy in a Plasma

r
Consider a plasma electron in an electric fieldE . Between collisions with
the gas particles, the electron will gain an energy Wf from the electric field that
is equal to the force on the electron eE (where e is the electronic charge) times
the distance that it moves in the electric field. This distance can be
approximated by the mean free path so that, on average, Wf = eEλ. In the
steady state case, this energy gain must be balanced against the energy loss
in an average collision. We neglect inelastic collisions for the moment and
consider collisions with heavy particles in which the electrons lose all of their
momentum, i.e., are deflected by 90o . This permits us to use the momentum
exchange cross section, as defined in the preceding section, for estimating
λ. Application of the conservation of energy and momentum shows that loss
of electron energy in such a collision is[4]

Eq. (3) ∆W = (2me /mH) (We - WH)

where me and m H are the electron and heavy-particle masses and We and WH
are the initial electron and heavy particle energies before the collision.
Equating ∆W to the energy Wf gained from the electric field, and using Eq.
1 for λ, yields

Eq. (4) (We - WH) = ½ (mH /me) ( eE/Nσel)


Plasmas in Deposition Processes 59

In making calculations dealing with plasmas it is useful to note that:


! me = 9.11 x 10-31 kg = 9.11 x 10-28 g
! mH = 1.67 x 10-24 x (atomic mass number) g
! N = 3.2 x 1024 particles/m3 = 3.2 x 1016 particles/cm3 at 1 Torr
and 300 K (27oC)
! The electron volt (eV) is the unit of energy generally used in
plasma calculations. One electron volt is the energy gained by
a particle with unit charge which is accelerated in an electric field
produced by a potential difference of one volt (1 eV = 1.602 x 10-
19 joules = 11,600 K).

Consider the case of electrons in an Ar plasma at 1 Torr and 300 K which


is subjected to an electric field of 1 V/cm, thus, N = 3.2 x 1016 cm-3 and eE
is 1 eV/cm. Using Eq. 4 with σel ≈ 10-15 cm2 from Fig. 2.1 yields (We - WH)
≈ 103 eV. Thus, at steady state, the average electron energy will be much
greater than that of the gas atoms (0.03 eV at 300 K). The actual average
electron energy will not reach 103 eV, however, because inelastic collisions
will become important when We exceeds ≈ 10 eV. Nevertheless, the above
analysis shows that even relatively weak electric fields can cause electron
kinetic energies in low-pressure glow discharge plasmas to be elevated above
gas-atom energies until they are finally “clamped” by losses due to inelastic
collisions.
Figure 2.3 shows this elevation of electron energy at low pressures for the
case of plasma arcs. (The energies here are expressed as temperatures.) At
high pressures, electron/gas-atom collisions are so frequent that the gas
temperature increases. Such high-pressure arcs are used for a variety of
applications. However, the discussion in the following sections will be limited
to the low-pressure case where Te > Tg . In this situation, energetic electrons
can produce high temperature chemistry in a gas at low temperatures.[6]

2.3 Electron Energy Distribution Functions

For most purposes, the state of a glow discharge plasma can be


characterized by the densities of heavy particles (N j, where j corresponds
to the jth species), the electron density ne , and the electron energy
distribution function F e(E). [7] Under conditions of local thermodynamic
equilibrium,[8] when the forward and reverse rates for all the electron energy
exchange processes are equal (state of detailed balance), [9] the electrons
will have a Maxwellian velocity distribution and their state can be defined by
60 Deposition Technologies for Films and Coatings

an electron temperature Te. Unfortunately, such a state of equilibrium


seldom exists in a low-discharge plasma.

Figure 2.3. Electron ( Te ) and gas temperatures (Tg ) in an air arc as a function of
pressure (from Ref. 5).

Figure 2.4 schematically illustrates the electron energy distribution


function. The equilibrium energy distribution is also shown for comparison.
The effect of an electric field is to shift electrons to higher energies and
therefore to overpopulate the high-energy region relative to the Maxwellian
distribution. The cross section for a representative inelastic collision is shown
superimposed (see Fig. 2.1). Electrons undergoing inelastic collisions are
transferred from the high-energy to the low-energy end of the distribution.
Electron-electron collisions tend to smooth the distribution and drive it toward
the Maxwellian form. If these collisions dominate such that a state of detailed
balance exists for one dominant process, then Fe(E) can be approximated by
a Maxwellian distribution and an electron temperature can be used to describe
the state of the electrons. However, even this case seldom occurs in practice.
In high-pressure discharges, the electric field perturbation is usually
minimal allowing the distribution function to be approximately Maxwellian,
although it may be somewhat depleted at high energies by inelastic
collisions. In low-pressure discharges, the electric field can generate
relatively large numbers of energetic electrons and, in the extreme, produce
Plasmas in Deposition Processes 61

a bimodal distribution function. This is the case in low-pressure negative


glow discharges of the type used in sputtering.[7]
Electron energy distribution functions are usually measured by
electrostatic analyzer and probe methods. However, interpretation of the
results are complicated by plasma/probe interactions. Therefore electron
temperatures, although not strictly valid, are generally assumed in making
engineering calculations.

Figure 2.4. Schematic illustration of electron energy distribution function and


inelastic collision cross section.

2.4 Collision Frequencies

The collision frequency is an important plasma parameter. It is defined


as the rate at which an average particle undergoes collisions of a specified
type. Thus the total electron-atom collision frequency is the rate at which an
average electron in a plasma undergoes collisions of all types with gas atoms.
The general expression for the collision frequencyν is rather complex and
involves the distribution functions of the colliding species.[10] For the electron/
heavy-particle case, the velocity of the heavy particles can be neglected and
ν is given by

E= ∞
Eq. (5) νk = N (E/2me)1/2 σk(E) Fe (E) dE
E= 0
62 Deposition Technologies for Films and Coatings

where k is the type of collision (e.g., elastic, excitation, ionization, etc). If the
collision cross section σk(E) is assumed to be independent of energy, and the
electrons are assumed to have a Maxwellian velocity distribution at an electron
temperature Te, then Eq. 5 reduces to

Eq. (6) νk = N σk ve

The quantity ve is the average electron speed,

Eq. (7) ve = (8k Te / πme)1/2

where k is Boltzmann’s constant. It is customary to write kTe in units of eV*.


Thus, Eq. 7 becomes

Eq. (8) ve = (6.7 x 107) [kT e (eV)]1/2 cm/sec

For purposes of obtaining rough estimates,σk in Eq. 6 is generally approximated


by its value at the electron energy kTe.
The electron/electron and electron/ion collision frequencies are of
special interest. These are given by[11]

Eq. (9) νee = (3 x 10-6)ne lnΛ /[kTe(eV)]3/2 sec-1

and

Eq. (10) νei = (1.5 x 10-6) ne lnΛ /[kTe(eV)]3/2 sec-1

with

Eq. (11) λee = (4.5 x 1013) [kT (eV)]2 / (ne lnΛ) cm

where lnΛ is a weak function of kT e and ne . The function lnΛ is tabulated


in most books on plasma physics, and has a value of approximately 10 for
the glow discharge plasmas of interest here. [12] The lnΛ term arises

* From kinetic theory, the average particle energy in one dimension is 1/2 kT. The
average energy in three dimensions is 3/2 kT. Since T and E are so closely related,
it is customary in plasma physics to give temperature in units of eV. To avoid
confusion with the number of dimensions involved, it is not the average energy but
the energy corresponding to kT that is used to denote the temperature.[11] By a 2
eV plasma, we mean that kT = 2 eV, although the actual average energy in three
dimensions is 3/2 kT or 3 eV.
Plasmas in Deposition Processes 63

because these collisions involve long-range coulomb forces and the cross
sections do not cut off as in the hard sphere approximation.
The primary use of Eqs. 9 and 10 is in comparing νee and νei with other
relevant collision frequencies. A plasma for which νee > νeA, where νeA is the
elastic electron/atom collision frequency, is said to be coulomb-dominated.
An approximate condition for coulomb domination is easily derived from Eqs.
6 and 9 (see Ref. 13),
ne σeA [kT(eV)]2
Eq. (12) >> αc = (2.23 x 1013)
N lnΛ

The term αc in Eq. 12 is known as the critical degree of ionization. Selecting


kTe ~ 3 eV andσeA ~ 10-15 cm-3 (Fig. 2.1) yields ne/N ≈ 0.02. Thus a moderate-
temperature glow discharge plasma with 2% ionization can be dominated by
coulomb collisions.
A consequence of coulomb domination can be seen by examining Eqs.
9 and 11. As the electron energy is increased, the electron collision frequency
decreases and the mean free path increases. Thus, electrons in an electric
field will find that their energy gain is “unchecked” by collisions. Electron
runaway is an important consideration in highly ionized plasmas (13) but
seldom important in glow discharge plasmas because of inelastic collisions.
For the case of a heavy particle of mass m1 in a gas with density N2 of
heavy particles of mass m2 , the collision frequency can be approximated by
an equation very similar to Eq. 6,[4][14]

1
(
v12 ≈ 2.5 ×105 )σ N
12 12 T 
300
2
Eq. (13)
(m )1
∗ 2  

where the cross section σ12 is assumed to be independent of the velocity of


impact and all the heavy particles are at the common temperature T. In Eq.
13, m* is a reduced mass defined as
m1 m2
Eq. (14 ) m* =
m1 + m2

where the masses are molecular weights expressed in grams.


Reaction Rates: The gas-phase reaction rate R is directly proportional
to the collision frequency. For a process k involving electron collisions,
reactions
Eq. (15) Rk = ne νk
cm3 -sec
64 Deposition Technologies for Films and Coatings

If the electrons are assumed to have a Maxwellian velocity distribution at a


temperature Te, and if the cross section for a given reaction is approximated
by a step function of magnitude σ0 and threshold energy E0 as shown in Fig.
2.5, then the reaction rate is given by

Eq. (16) Rk = ne Nσ0νe [1 + (E0/kTe)] exp(-E0 /kTe )

Figure 2.5. Reaction rate approximation for a Maxwellian velocity distribution.

As a general rule, reaction rate constants rather than actual collision


frequencies are measured and used to describe reactions involving heavy
particle collisions. Thus, for a reaction occurring via a two-body collision
between species A and B in a gas at temperature T, with rate constant κ(T),
one has

Eq. (17) R = κ(T) NA NB

Mobilities: Plasma transport properties are dependent on the frequency


of elastic (momentum exchange) collisions. The mobility µj relates the
electric-field driven drift velocity vd of a given charged particle species j to the
strength of the field E:

Eq. (18) vjd = µj E

When the collision frequency is sufficiently large that the drift velocity is small
compared to the thermal velocity,
1.6 x 10-12 cm2
Eq. (19) µj =
mj ν V-sec

where mj is the particle mass in grams.


Plasmas in Deposition Processes 65

The mobility is generally used to describe the drift of ions through a


plasma that is at a sufficiently high pressure to satisfy the collision frequency
requirement. Mobilities for several gases of interest are given in Table 1.

Table 2.1. Mobilities of Ions in their Own Gas (From Ref. 15)

Ion-Gas Mobility (cm2/V-sec)

He+-He 8,000
Ne+-Ne 3,300
Ar+-Ar 1,200
Kr+-Kr 690
H2+-H2 10,000
N2+-H2 2,000
O2+-O2 1,000
CO2 +-CO2 730

When a positive ion collides with a gas molecule or atom, two processes
can occur. First, the ion and molecule can exchange momentum and energy
in a collision in which the particles preserve their identity. Second, an
exchange of charge can occur. For example, fast ions moving through a gas
can engage in collisions in which the ion extracts an electron from a gas atom
with the result that the fast ion becomes a fast neutral atom while the slow atom
becomes a slow positive ion. Charge exchange is particularly important for
ions of low energy passing through their own gas (resonant charge exchange).
Under these conditions, the charge transfer cross section is about one half of
the total cross section[16] and therefore contributes significantly in determining
the mobility.* Charge transfer is very important in high-pressure sputtering and
ion-plating discharges.
Electrical Conductivity and Diffusion Coefficients: The electrical
conductivity σ is just eNµ, so that

Eq. (20) σj = 1/ρj = 2.6 x 10-31 (Nj /mjν) (Ω-cm)-1

*The charge exchange region surrounding an atom can be considered as a sphere


inside of which the probability of charge transfer is ½ and outside it is zero. As the
ion approaches the atom, it will simply be deflected by the dipole interaction if the
distance of closest approach is greater than the sphere radius. If the ion enters
the charge exchange sphere, half the time it emerges as a neutral and half the time
as an ion.[17]
66 Deposition Technologies for Films and Coatings

where Nj is the particle density in cm-3 and mj is the mass of the current carrier
in grams. The resistivityρ is often used to avoid confusion with σ, which is the
common symbol for both the electrical conductivity and the collision cross
section.
The diffusion coefficient Dj relates the particle flux to the concentration
gradient. Thus, one has

Eq. (21) Nj vjd = Dj (dNj /dx)

where
kT kT (eV) cm2
Eq. (22) Dj = = (1.6 x 10-12)
mj ν mj ν sec

2.5 Particles in Magnetic Fields

Charged particle motion in a magnetic field is summarized in Fig. 2.6.

Figure 2.6. Electron paths in static magnetic and electric fields.


r
A charged particle in a uniform magnetic field B will orbit a field line as
shown in Fig. 2.6a and drift along the field with velocity v|| that is unaffected by
the field, as shown in Fig. 2.6b. The orbiting frequency is called the gyro or
cyclotron frequency and is given by

Eq. (23) ωc = eB/m


Plasmas in Deposition Processes 67

The orbiting radius is called the gyro, cyclotron, or Larmor radius and is given
by

Eq. (24) rg = (m/3) (v⊥/B)

Manipulation and confinement of plasma particles by a magnetic field


requires that rg be small compared to the apparatus size. Note in Eq. 24 that
rg depends directly on the mass of the particle. Thus, very large magnetic
fields are required to influence the motions of the plasma ions. When magnetic
fields are used with glow discharges, they are generally chosen to be just
strong enough to influence the energetic plasma electrons, but not the ions.
However, magnetically-confined electrons in a glow discharge will in turn
provide considerable confinement for the plasma ions since electrostatic
forces prevent the ions from escaping from the electrons. For electrons, Eqs.
23 and 24 become[1]

Eq. (25) ωc = (1.76 x 107 ) B(gauss) rad/sec

and
[W⊥(eV)] 1/2
Eq. (26) rg = 3.37 cm
B(gauss)

Thus, for electrons with an average energy W⊥ of 10 eV and a magnetic field


strength B of 100 G, the gyro radius is ≈ 0.1 cm. Magnetic field strengths
between 50 and 100 G are typically used with glow discharge devices. An
electron that is trapped on a given magnetic field line can advance to an
adjacent field line by making a collision, as indicated schematically in Fig.
2.6c. Collisional diffusion of electrons across magnetic field lines is an
important consideration in manyr glow discharge devices.
When an electric field E is present and directed parallel to the magnetic
field, the electrons are freely accelerated along the field lines.rHowever, if the
electric field has a component E⊥ which is perpendicularrto B , the electrons
undergo a drift in a direction perpendicular torbothrE⊥ andB , asrshown
r in Figs.
2.6d and 2.6e. This motion is known as the E x B drift. The E x B drift has
the cycloidal form shown in Fig. 2.6d if the initial electron energy is
small compared to that gained from the electric field; it has the more
circular form shown in Fig. 2.6e if the initial electron energy is largely
compared to the electric-field-induced variations that occur during the
course of the orbit. In both cases, the electron drift speed is given by
68 Deposition Technologies for Films and Coatings

E⊥ (V/cm)
Eq. (27) ve = 108 cm/sec
B(gauss)

The drift of electrons along a magnetic field line can also be influenced
by gradients in the magnetic field. An example of this behavior is shown in Fig.
2.7.
Electrons moving in such a field tend to conserve the magnetic moment,
µM, defined by[11]

Eq. (28) µM = W⊥ / B

Therefore W⊥ must increase as the electrons move in the direction of


increasing field strength. Conservation of energy requires that W|| + W ⊥ be
constant. Therefore W|| must decrease, and the electron may be reflected as
indicated in the figure. Pinched-field end confinement of this type is frequently
used in glow discharge devices

Figure 2.7. Electron reflection in a magnetic field gradient.

3.0 COLLECTIVE PHENOMENA

Plasmas differ from non-ionized gases by their propensity for undergoing


collective behavior. Three parameters, derived from basic plasma properties,
N, ne, and kTe, provide a useful measure of the tendency toward collective
behavior.
Plasmas in Deposition Processes 69

The Debye length,


kTe (eV) 1/2
Eq. (29) λD = 743 cm
ne (cm3)

corresponds to the distance over which significant departures from charge


neutrality occurs. A plasma cannot exist in a space having a characteristic
size less that λD.
The plasma frequency, ωp , expressed here as

Eq. (30) fp = ωp /2π = 9000[ne (cm -3 )] 1/2 Hz

provides a measure of the tendency for electrostatic waves to develop. Waves


can form if ωp >> νe,el, where νe,el is the electron collision frequency for
momentum exchange.
The critical degree of ionization αc was defined by Eq. 12. When the
degree of ionization α = ne/N >> αc, long range coulomb collisions dominate,
and the charged particles behave as though they were in a fully ionized gas.
Coulomb domination can occur at degrees of ionization of a few percent for
plasmas with low average electron energies (≈1 eV).

3.1 Plasma Sheaths

Given a gas of particle density N (cm-3) and temperature T, the flux of


particles passing to an adjacent wall is given by

Eq. (31) J = Nv/4 = (N/4) (8kT/πm)1/2

For electrons, this becomes (see Eqs. 7 and 8)

Eq. (32) Je = (1.67 x 107 ) ne [kTe (eV)]1/2 particles/cm2 -sec

which, in units of current density, is equal to

Eq. (33) Je = 2.7 x 10-9 ne [kTe (eV)] 1/2 mA/cm 2

Thus, for a typical glow discharge electron density of 109 cm-3 with an average
energy of 1 eV, Je ≈ 3 mA/cm2.
For heavy particles such as ions, Eq. 31 can be written in the following
useful form:
70 Deposition Technologies for Films and Coatings

Eq. (34) Ji = 104 N (40/m)1/2 (T/300)1/2

where T is the gas temperature (K) and m is the species molecular weight. In
units of current density, Eq. 34 becomes

Eq. (35) Ji = 1.6 x 10-9 N (40/m) 1/2 (T/300)1/2 µA/cm2

Thus, for an Ar plasma used in sputtering with an ion density of 109 cm-3 at
300 K, the ion current flux to the wall is 1.6 µA/cm2.
It is clearly seen by comparing Eqs. 33 and 35 that the electrons tend
to flow from a plasma to an adjacent wall at a faster rate than the ions; therefore,
a space charge region in which one species is largely excluded forms adjacent
to such surfaces. The potential variation between the surface and the plasma
is largely confined to this layer, which is called a sheath. Sheaths are typically
several Debye lengths in thickness.
The nature of the sheath will depend upon the current density passing
across it. Except for cases involving very high current densities to anodes, the
space charge region will contain primarily the low-mobility ion species. Such
sheaths are known as positive space charge sheaths. The function of the
sheath is to form a potential barrier, so that the more mobile species, which
is the electrons except in the case of a strong magnetic field, are electrostatically
reflected. Thus, the height of the potential barrier associated with a sheath
adjusts itself so that the flux of electrons to the wall in question just equals the
electron current that is drawn from the wall by the external circuit. If the wall
is electrically isolated, the electron flux is reduced to the point which is equal
to the ion flux.
Figure 2.8 shows that a schematic illustration of a typical glow discharge
plasma which is in contact with wall surfaces that are either cathodes, anodes,
or electrically isolated (floating). The potential Vp is known as the plasma
potential. The potential of a floating surface relative to the plasma potential is
known as the floating potential Vf. For a Maxwellian velocity distribution, the
floating potential is given by[18]

kTe (eV) π me
Eq. (36) Vf = ln
2e 2 m

Typical values are -30 to -40 V. When a floating surface is immersed in a


plasma, the surface will be bombarded with ions having kinetic energies of up
to eVf.
Plasmas in Deposition Processes 71

Figure 2.8. Schematic illustration of sheaths that form between a plasma


discharge and the surrounding apparatus walls for systems having (A) a large
anode and (B) a small anode.

Generally, the anodes used in glow discharges are large enough that the
current density is less than the thermal current given by Eq. 33. In this case,
there is a positive space charge sheath at the anode, as shown in Fig. 2.8a,
and the sheath potential drop is between zero and V f . The potential
72 Deposition Technologies for Films and Coatings

of a plasma locks into the most positive surface, provided that the surface is
large enough.[19] If the anode area is so small that the current density must
exceed the thermal current, then the anode potential will be above the plasma
potential, as shown in Fig. 2.8b. The local electric field surrounding the anode
will draw sufficient electrons to the anode to complete the external circuit.*
A large potential difference Vs, approximately equal to the entire potential
applied by the power supply, occurs in the cathode sheath as shown
schematically in Fig. 2.9. The sheath thickness ds is taken to be the region
corresponding to Vs over which the electron density is negligible. For the low
pressure case where the ion mean free path is larger than ds, the ion current
density Ji is related to ds and Vs by the Child-Langmuir law. [11] It is useful to
write this relationship as

Eq. (37) Ji = 0.273 (40/mi)1/2 (Vs3/2/ds2) mA/cm2

where Vs is in kV, d s is in cm, and mi is the ion molecular weight in grams.


Thus, for an Ar sputtering plasma with Vs = 1 kV and d s = 1 cm, J i = 0.27
mA/cm 2. It is difficult to relate J i to the density N io of ions in the bulk
plasma, because there is a quasi-neutral presheath region where a
potential drop Vx of the order of 1/2(kTe /e) occurs. As an estimate, the
presheath density can be assumed to obey a Boltzmann distribution, [20]
such that Nis /Nio = exp(eV x /kTe ) , and

Eq. (38) Ji ≈ (0.6) eNio (kTe/mi )1/2

where mi is in grams and kTe is in ergs.


For the high pressure case, where collisions are so frequent that the ion
drift velocity is of the order of the thermal velocity, a mobility description is used
for the ion motion.[5] Under this condition,

Eq. (39) Ji = 9.95 x 10-5 µi (Vs2/ds3 ) mA/cm2

where µi is the ion mobility in cm 2/V-sec, Vs is the sheath potential drop in kV,
and ds is the sheath thickness in cm. For an Ar plasma at 1 Torr, µi = 1,200
cm2/V-sec from Table 1. Taking V = 1 kV and ds = 1 cm yields Ji = 0.11 mA/
cm 2.

*The potential rise surrounding a small anode cannot become much larger than
the ionization potential of the gas atoms since this potential causes the sheath
electrons to be accelerated. If these electrons gain sufficient energy to produce
ionization, then the electrons liberated by the ionizing collisions can provide the
anode current flow requirement and no additional rise in potential is required.
Plasmas in Deposition Processes 73

Figure 2.9. Schematic representation of the positive space-charge sheath that


develops over a cathode (from Ref. 1).

In a low pressure plasma, the ions will fall through the entire sheath
potential and bombard the cathode with an energy about equal to eVs. At higher
pressures, where charge exchange is important, the bombarding flux will
consist of both ions and neutrals having energies considerably less than
74 Deposition Technologies for Films and Coatings

eVs as indicated schematically in Fig. 2.10. This is an important consideration


in sputtering, ion plating, and reactive ion etching, as discussed in Ch. 5, Sec.
3.0.

Figure 2.10. Schematic representation of charge exchange reactions in the


cathode fall region of a glow discharge.

3.2 Ambipolar Diffusion

Consider a plasma within a container having electrically isolated or


floating walls. A sheath will develop on these walls to reduce the electron flux
until it is equal to the ion flux as described in Sec. 3.1. Accordingly, an electric
field in the sheath retards the loss of electrons and accelerates the loss of ions.
This coupled particle motion is called ambipolar diffusion. The diffusion flux
J of electrons or ions to a floating wall is given by

Eq. (40) Je = D a (dne/dx) = Ji = D a (dni /dx)

The term Da is called the ambipolar diffusion coefficient. Noting that µe >> µi
(see Eq. 19) permits Da to be approximated as:[11]
Plasmas in Deposition Processes 75

Eq. (41) Da ≈ Di (1 + Te /Ti )

where Di is given by Eq. 22. Thus the effect of the ambipolar field is to enhance
the diffusion of ions by a factor of more than two, but the diffusion rate of the
two species together is primarily controlled by the slower species. In the
presence of a sufficiently strong magnetic field perpendicular to the direction
of diffusion, the electron mobility, and thus the electron diffusion coefficient can
be reduced to the point where it is lower than the ion diffusion coefficient and
therefore rate controlling. Under this condition one can write

Eq. (42) Da ≈ {De/[1 + (ωc2/νe)]} (1 + T i /Te)

where De is the electron diffusion coefficient in the absence of a magnetic field.


The effect of the magnetic field becomes strong when ωc (given by Eq. 25) is
much larger than the electron collision frequency νe , i.e., when the electrons
are trapped on magnetic field lines as shown in Fig. 2.6b, and collisional
hopping to adjacent field lines is infrequent. It should be noted that Eq. 42 is
based on the assumption that electron losses along the lines can be
neglected. Attention to these losses should be given when analyzing the
performance of an actual plasma device.[11][21]

3.3 Plasma Oscillations

The plasma state is rich in wave phenomena when the degree of


ionization is large enough to make long-range forces important, particularly
when a magnetic field is present.[11] Departures from charge neutrality
capable of generating waves can occur in the form of charge bunching and
separation over distances of the order of the Debye length, Eq. 29. A general
discussion of such behavior is beyond the scope of this chapter. However, one
case will be mentioned because of its potential importance in magnetron
sputtering devices.
Consider the case of a plasma in a uniform electricr rand magnetic field,
as illustrated
r inrthe left side of Fig. 2.11. There is an E x B drift perpendicular
to both E and B , but, in the absence of collisions, simple theory predicts no
transport across the magnetic field in the direction of the applied electric field.
If charge bunching occurs, as shownr in the right side of r Fig.r 2.11, the
perturbation produces an electric field r E p that can result inE x B drift across
the magnetic field in the direction ofE . This anomalous collisionless transport
across the magnetic field is believed to be an important mechanism in Penning
discharges as well as in some magnetron sputtering discharges.[22]
76 Deposition Technologies for Films and Coatings

Figure 2.11. Schematic representation of a plasma instability resulting in electron


transport across a magnetic field.

4.0 PLASMA DISCHARGES

4.1 Introduction

A glow discharge plasma is a low temperature, relatively low pressure,


gas in which a degree of ionization is sustained by energetic electrons. Glow
discharge configurations used in materials processing differ in both their
general geometry and in the orientation of the electric field that is used to
provide energy to the electrons.
In sputtering, simple planar diodes of the type shown schematically in
Fig. 2.12a are often used. They may be driven at radio frequencies (RF), as
shown in the figure, or by a DC power supply. RF planar diode discharges are
also used for sputter etching, plasma etching, and reactive ion etching, as
illustrated in Figures 2.13b, 2.13d and 2.13e, respectively. Systems with the
configuration shown in Fig. 2.13d are also used for plasma-assisted chemical
vapor deposition (CVD).
During activated reactive evaporation, a plasma discharge is sustained
in a flux of evaporated material and reactive gas that is directed toward the
substrates, as shown in Fig. 2.14. The discharge may be driven by DC or RF
means, using a variety of electrode configurations. The presence of the
plasma has been shown to influence properties such as the chemical
composition of the resultant films.[53]
Plasmas in Deposition Processes 77

Figure 2.12. Schematic illustration of glow discharge devices commonly used in


plasma-assisted materials processing.

In ion plating, the discharge is generally sustained in a mixture of the


evaporated flux and an inert working gas with the substrate holder biased
negatively relative to the plasma potential. Usually this is done by simply
making the substrate holder the cathode electrode for sustaining the plasma
discharge, as shown in Fig. 2.15. The ion bombardment of the growing coating
has been shown to influence its structure.[53]
In plasma etching, plasma-assisted CVD, and glow discharge
polymerization, discharges are often sustained in glass or quartz reactor
tubes by surrounding electrodes which are driven at high frequencies (from 300
kHz to microwave frequencies)[23] Common electrode configurations are a
pair of ring electrodes along the tube, clam-shell electrodes as shown in Fig.
2.12b, or a solenoidal coil electrode as shown in Fig. 2.12c. It should be noted
that all of these discharges are basically capacitive in nature. Although the
coil electrode will introduce considerable inductance into the load seen by the
matching network, the capacitive fields generated by the coil-to-coil potential
drop dominate over those generated by the time rate-of-change of magnetic
flux and therefore act as the primary source of ionization unless special
precautions are taken to shield them. In the case of microwave discharges,
the reactor tube is generally positioned within the waveguide at a location
which places a strong electric field component within the tube.[6][23]

4.2 Ionization Balances and the Paschen Relation

The degree of ionization in a glow discharge depends on a balance


between the rate at which ionization is produced by energetic electrons and
78 Deposition Technologies for Films and Coatings

the rate at which particles are lost by volume recombination and by passage
to the walls of the apparatus. The rate of ionization depends on a relationship
of the form (see Eqs. 6, 8, and 15)

Eq. (43) R ∝ N ne σion (E)1/2

Figure 2.13. Apparatus configurations commonly used in plasma-assisted


etching.
Plasmas in Deposition Processes 79

SUBSTRATES (S)
ELECTRODE

Figure 2.14. Schematic illustration of the activated reactive evaporation (ARE)


process (see Ref. 49).

Figure 2.15. Schematic illustration of a typical ion plating apparatus.


80 Deposition Technologies for Films and Coatings

Thus, the rate of ionization depends on the type of gas (through the ionization
cross sectionσion), the gas pressure (through the particle density N), and the
electric field strength (through the electron velocity). Wall losses generally
dominate over volume recombination. Accordingly, the occurrence of a
breakdown, and the resulting formation of a sustaining plasma discharge, in
a given apparatus depends on the gas pressure, the electric field strength,
and on the surface-to-volume ratio of the plasma. Figure 2.16 shows the inter-
electrode breakdown voltage as a function of the product of the gas pressure
p and the electrode spacing d for plane parallel electrodes in air[5] and Ar.[24]
Such curves are determined experimentally and are known as Paschen
curves. Relationships of the same general form apply to the conditions under
which a steady-state discharge can be sustained. In such cases d may be
replaced by a characteristic diffusion length for the plasma vessel.[6][17][25]

Figure 2.16. Paschen curves for breakdown between plane-parallel electrodes in


air and argon at 20oC.

The rise in voltage at the low pd side in Fig. 2.16 occurs because the
apparatus is small, or the gas density low, such that electrons are lost to the
walls without colliding with gas atoms and producing ionization. The rise in
the required voltage on the right side happens because the electron energy is
becoming too low to produce ionization. This can occur at high pressures,
because electron collisions with gas atoms become so frequent that the
electrons cannot accumulate sufficient energy to overcome the ionization
potential. It can also occur at a given applied voltage in a very large chamber
where local electric fields in the plasma are too weak to deliver sufficient energy
to the electrons between collisions.
Plasmas in Deposition Processes 81

The functional form of the curves in Fig. 2.16 provides a useful guide for
adjusting the operating conditions within a given device in order to produce a
plasma discharge. Conversely, the relation provides guidance for the
prevention of discharges on surfaces such as the back of cathodes. One
simply places a grounded shield over the surface to be protected ensuring that
the spacing d between the shield and the cathode is small enough that the
breakdown voltage is larger than the voltage required to form and sustain
plasma discharge at the operating pressure of interest.
The above considerations are also important in apparatus scaling. A
discharge sustained in a small apparatus must have a high average electron
energy to counteract wall losses. Such a discharge, with the same electron
density but in a larger apparatus size, will be sustained at a lower average
electron energy. This can in turn change the active species that are produced.
Thus, small-bore discharge tubes are sometimes used in lasers to elevate the
average electron energy to a desired value. Typical glow discharge electron
densities are in the range of 108 to 10 12 cm -3 with average electron energies
of 1 to 30 eV. These conditions are shown in Fig. 2.17 and compared with other
forms of discharges.

Figure 2.17. Regions of average electron density and energy representative of


various types of plasmas (from Ref. 7).
82 Deposition Technologies for Films and Coatings

4.3 Cold Cathode Discharges

A low-pressure cold-cathode discharge is one which is maintained


primarily by secondary electrons emitted from the cathode due to
bombardment by ions from the plasma. These secondary electrons are
accelerated in the cathode dark space and enter the negative glow, as shown
in Fig. 2.18, where they are known as primary electrons. Each primary
electron must produce a sufficient number of ions to result in the ejection of
another secondary electron from the cathode.[15] The secondary electron
emission coefficient is typically about 0.1 for low-energy Ar+ ions (such as are
used in sputtering) incident on clean metal surfaces.[26] The coefficient is
larger, for example, for oxidized surfaces but still small enough that each
primary electron must produce, or lead to the production of, a plurality of
ions.[15]

Figure 2.18. Schematic illustration of a cold-cathode discharge.

The negative glow (NG) region of the plasma is where the primary
electrons expend their energy, and its extent corresponds to the range of their
travel from the cathode.[5][15] The electron energy distribution in the NG is
multimodal. It consists of primary electrons, ultimate electrons (primaries that
have transferred their energy), and much larger numbers of low-energy
ionization products. In the classical glow discharge described in most
Plasmas in Deposition Processes 83

textbooks, a positive column (PC) extends from the NG to the anode.[5][15][17]


The PC is a region in which the electric field is just sufficient to transport the
discharge current from the NG to the anode and to produce sufficient ionization
to make up for wall losses.
In planar-diode material-processing sources of the type shown in Figs.
2.12 and 2.13, the substrate mounting table or anode generally intercepts the
NG and there is no PC. A consequence of this small inter-electrode spacing
is that the operating pressures are relatively high (see discussion of the
Paschen relationship in Sec. 4.2). For example, reasonable operating
conditions for DC planar-diode Ar sputtering discharges are: 75 mTorr
pressure with a substrate-to-cathode spacing of 4.5 cm, a current density of
1 mA/cm2, and a discharge voltage of 3,000 V.
In order for a cold-cathode discharge to operate effectively at low
pressures, it is necessary that the primary electrons be preserved and not lost
from the system until they have had a chance to expend their energy in
ionization. The hollow cathode geometry shown in Fig. 2.19 is effective in this
respect. Electrons which are accelerated in the cathode dark space and enter
the NG cannot escape once they have lost an amount of energy about equal
to their initial ejection energy (which is only a few eV)[26] since they encounter
a sheath with repulsive forces whenever they approach the cathode. The only
losses are out of the ends, and long hollow cathodes with minimized end
losses can be operated effectively at low pressures and voltages. Accordingly,
hollow cathodes are often used as ionization sources.[27]

Figure 2.19. Schematic illustration of a hollow cathode discharge.


84 Deposition Technologies for Films and Coatings

4.4 Magnetron Discharges

Magnetron discharge sources are assuming increasing importance for


sputter deposition. Therefore, these discharges are discussed in some detail
in Ch. 5. It will simply be noted here that magnetrons are cold cathode
discharge devices in which magnetic fields are used in concert
r r with cathode
surfaces to form traps which are so configured that the E x B electron drift
currents can close upon themselves.[1] The cylindrical-post configuration
shown in Fig. 2.20 provides one of the simplest examples of a magnetron.
Primary electrons which leave the cathode barrel and enter the plasma find
themselves trapped in an annular cavity which is closed on three sides by
surfaces at cathode potential (the hollow cathode effect) and on the fourth side
by the magnetic field. The electrons can diffuse across the magnetic field and
reach the anode only by making collisions (the process illustrated in Fig. 2.6c)
and by plasma oscillations (see Sec. 3.3).[22] Because of the effectiveness
of the collisions in producing ionization, these discharges are extremely
efficient and operate at pressures of less than 1 mTorr with high current
densities (10 - 200 mA/cm2 ) and low voltages (700 - 1,000 V). Planar
magnetrons in which plasma rings are magnetically confined on planar
cathodes are very important in sputter-deposition technology.[28][29]

Figure 2.20. Cylindrical-post magnetron sputtering source with electrostatic end


confinement.
Plasmas in Deposition Processes 85

4.5 RF Discharges

RF-driven planar diode discharge devices of the type shown in Figs.


2.12a, 2.13b, 2.13d, and 2.13e are used for sputter deposition, plasma-
assisted etching, and plasma-assisted CVD. Their application to sputtering
is discussed in detail in Ch. 5.
The operating frequency is generally 13.56 MHz, since this is the
frequency in the 10 to 20 MHz range that has been allocated by the FCC for
industrial applications. At this frequency, only the electrons can follow the
temporal variations in applied potential. Thus the plasma can be pictured as
an electron gas that moves back and forth at the applied frequency in a sea
of relatively stationary ions. As the electron cloud approaches one electrode,
it uncovers ions at the other electrode to form a positive ion sheath. This sheath
takes up nearly the entire voltage as in the DC case. The ions are accelerated
by this voltage and bombard the electrodes.
The RF discharge can be further understood by examining the electrode
current flow. These discharges are capacitive in nature, both because of
external capacitance which is placed in the electrical circuits and because one
or both electrode surfaces are generally nonconducting. Consequently, the
total ion and electron charge flow to a given electrode during an RF cycle must
balance to zero and a self bias that is negative with respect to the plasma
potential develops on any surface that is capacitively coupled to a glow
discharge.[51] The basis for this behavior is illustrated in Fig. 2.21, where the
current/voltage characteristics are shown for an electrode immersed in a glow-
discharge plasma. Because of the mobility difference between the electrons
and the ions, much larger currents are drawn when the electrode is positive
relative to the floating potential than when it is negative (upper figure). In order
to achieve zero net current flow, it is necessary for the DC bias to develop such
that the average potential is negative relative to the floating potential, as shown
in the lower figure. Thus both electrodes exceed the floating potential (and
become anodes) only for short portions of each RF cycle. Most of the time
they are cathodes. Because of their inertia, the motion of the ions can be
approximated as if they follow the DC potential and flow to both electrodes
throughout the cycle.
RF discharges in planar diodes can be operated at considerably lower
pressures than DC discharges. Typical operating pressures are 5 to 15
mTorr. This is due to two reasons: a reduction in the loss of primary
electrons and, at high frequencies, by an increase in the volume ionization
efficiency. A fraction of the lower-energy primary electrons are repelled
from the electrode toward which they are accelerated and thus remain in the
86 Deposition Technologies for Films and Coatings

(a)

(b)

Figure 2.21. The formation of a negative bias on a capacitively-coupled surface in


an RF glow discharge (from Ref. 51).

discharge longer to make additional ionizing collisions. In addition, electrons


can gain energy from the RF field by making in-phase collisions with gas
atoms. That is, if an electron, accelerated in one direction during a given half-
cycle, makes an elastic collision in which its direction is reversed near the end
of the half-cycle, it maintains most of its velocity (due to the large mass
mismatch between electrons and ions) and will again be accelerated during
the next half-cycle and thus have gained energy during the complete
Plasmas in Deposition Processes 87

cycle. As the pressure is increased, the volume ionization due to electrons


accelerated by the oscillating electric field becomes increasingly important
Accordingly, when the planar and cylindrical plasma discharge devices shown
in Fig. 2.13 are used for plasma-assisted etching, CVD, and polymerization,
the operating pressures are generally high enough that volume-accelerated
electrons dominate in producing excitation and ionization. The same is true
for high-frequency microwave type discharges.

5.0 PLASMA VOLUME REACTIONS

5.1 Introduction

Electron bombardment of atoms and molecules results in excitation


ionization, and dissociation, thereby producing a variety of active species and
radicals having much different chemical activities than those of the parent
gas.[30][31] Thus, although He and Ar atoms are inert, He+ ions with one
valence electron are hydrogenic. Ar+ ions are similar to Cl and can react with
H2 molecules to form HAr+ ions.[30]
Electron ionization processes are obviously important in the sustaining
of plasma discharges. The excitation and dissociation processes are
important in plasma chemistry and form the basis for plasma-assisted
etching, plasma-assisted CVD, and plasma polymerization.

5.2 Electron/Atom Interactions

An electron with a kinetic energy which exceeds the ionization energy


of an atom has as approximately equal probability of producing either
excitation or ionization as it passes in close proximity to the atom. A semi-
classical picture of such a collision is shown in Fig. 2.22. The Coulomb force
from the electron produces an electric field at the atom. The component of this
field which is perpendicular to the direction of electron motion (E⊥) produces
a time-varying “impulsive” electric field which can act on the atom. The electric
field pulse is equivalent to that which would be produced by a beam of photons
having frequencies corresponding to the Fourier components of the pulse.[32]
The point is that an electron passing close by an atom does not simply knock
an electron out of the atom, but produces a perturbation at the atom which may
be approximated as a beam of white light that induces electronic excitation
and ionization in proportion to the optical oscillator strengths.
88 Deposition Technologies for Films and Coatings

Figure 2.22. Virtual photon model of an electron-atom collision (from Ref. 32).

In making plasma calculations, the average energy Wei spent by an


electron in creating an electron-ion pair in a given gaseous medium is often
used. Values of Wei for various atoms and molecules are shown in Table 2
along with values for the ionization potential I. Note that Wei/I ≈ 2; i.e., there
is an almost equal probability of producing either excitation or ionization,
although excitation is more probable in molecules.

5.3 Electron/Molecule Interactions

Electron interactions with molecules produce excitation and ionization


via mechanisms essentially identical to those for atoms as described above.
The primary difference is in the fate of the excitation energy. In the atomic
case, the excitation energy is lost by radiation unless the transitions are
quantum-mechanically forbidden (see Sec. 5.4 below). In the molecular case,
it may result in dissociation of the molecules. Consider the case of CF4 , a gas
which is commonly used in plasma etching. The threshold for producing
excitation is 12.5 eV.[33] The excitation reaction can be written as

e− + CF4 → CF4* + e−
Plasmas in Deposition Processes 89

where the symbol * refers to an excited species. There is evidence that all
electronic excitation processes in CF4 produce dissociation. [33] Furthermore,
because of the two-step nature of the excitation-dissociation process, one
bond is broken, and the primary radicals produced are CF3 and F rather than
CF2 and F2.[34] The active F atoms produced in this way play a very important
role in many plasma etching processes.

Table 2.2. Approximate Energy Spent to Create Electron-Ion Pairs[32]

Atom or Molecule Wei (eV) I (eV) W ei / I

He 46 24.58 1.87
Ne 37 21.56 1.71
Ar 26 15.76 1.65
Kr 24 14.00 1.71
Xe 22 12.13 1.81
H2 36 15.43 2.33
N2 36 15.59 2.31
NO 29 9.25 3.13
CO 35 14.04 2.49
O2 32 12.15 2.63
CO2 34 13.81 2.46
C2H2 28 11.40 2.45
CH4 29 12.99 2.23
C2H4 28 10.54 2.65
C2H6 27 11.65 2.31
C3H6 27 9.73 2.77
C3H8 26 11.15 2.33
C6H6 27 9.23 2.92

The ionization process can also result in dissociation. Thus, one has
dissociative ionization reactions of the form

e− + CF4 → CF3 + + F + 2e−

as well as simple molecular ionization

e− + O2 → O2 + + 2e −

It has been noted that plasma discharges often contain relatively large
numbers of low energy electrons which have expended their energy in making
inelastic collisions (this is particularly true in regions of low electric field such
as the negative glow). These electrons can attach to electronegative
molecules to form negative ions[23] such as

e− + O2 → O2−
90 Deposition Technologies for Films and Coatings

The ion may then dissociate, for example

O2− → O− + O

Atomic constituents of small molecules such as F2 cannot recombine


in two-body gas-phase collisions because the diatomic molecule formed
cannot conserve both energy and momentum. Thus the gas-phase
recombination reaction requires a third body. Accordingly, the lifetime for such
atoms in a plasma reactor can be long except when the working pressure is
high. However, when two molecular radicals associate, the energy of
dissociation can be distributed within a large number of internal degrees of
freedom. Accordingly, the association efficiency is close to unity for simple
radicals.[23] Thus, for example, one has

CH3 + CH3 → C2 H6

The decay of initial reaction products in cascading reactions, with the


development of high molecular weight species, is a well-known characteristic
of the radiation chemistry of hydrocarbons and halocarbons in both the gas and
solid phases.[31] The general hierarchy for the production of active species in
a molecular gas plasma is shown schematically in Fig. 2.23.

5.4 Metastable Species

An important consideration in using plasmas for materials processing is


the ability of active species to diffuse from the point of production to a point of
reaction. Atoms or molecules that are excited into electronic states which can
decay radiatively have very short lifetimes (~10−9 s). However, some excited
states are forbidden by quantum mechanical considerations from undergoing
radiative transitions. Atoms and molecules in these metastable states have
sufficiently long lifetimes that they can carry their stored electronic energy
from the immediate vicinity of the discharge plasma to other points in a reactor.
Atoms or molecules can be excited directly into metastable states, or
can arrive in these states by radiative decay after having been excited into
states of higher energy. Consequently, a plasma may contain relatively large
numbers of metastable species and they can have an important effect on the
overall discharge chemistry. Metastable states are depopulated when the
atoms undergo collisions. Thus, for example, a metastable atom A* may
subsequently pass its excitation energy to another particle, thereby
Plasmas in Deposition Processes 91

producing ionization or dissociative ionization in atoms or molecules of lower


ionization potential, as indicated below.[35]

A* + Y → Y+ + A + e−

A* + XY → XY+ + A + e−

A* + XY → X + + Y + A + e−

These reactions are known as Penning ionization processes.

Figure 2.23. Schematic illustration of the production of active species in a


molecular plasma.
92 Deposition Technologies for Films and Coatings

5.5 Applications of Volume Reactions

Primary applications of interest here are plasma-assisted CVD,[36]


plasma-assisted etching,[37] and plasma polymerization (38). In each of these
cases, the advantage of using a plasma is that it can effectively produce
reactions at low substrate temperatures. In some cases, the reactions are
unique.
An example is provided by the plasma-assisted deposition of Si3N4 using
a SiH4-NH3 plasma. The plasma chemistry is not understood in detail,
however the overall reaction is

3SiH4 + 4NH3 → Si3N4 + 12H2

The important point is that the substrate temperature is typically 300oC or


lower. When the same reaction is carried out by conventional chemical vapor
deposition, the substrate temperatures are typically between 800 - 1200oC.[39]
The lower substrate temperatures in plasma-assisted CVD are particularly
important in electronic applications where coatings are deposited onto device
structures.
The average electron energies in plasma-assisted CVD are typically low,
≈1 - 10 eV, such that the plasma chemistry is dominated by radicals rather
than ions.[52] Bond energies are therefore an important criteria in the selection
of reactants for a desired process. For example, one of the functions of the
plasma during deposition of nitride films is to provide atomic N in the gas phase
since the partial pressure of atomic N required to obtain stoichiometric nitride
films is much smaller than that of N2. However, 9.83 eV is required to obtain
N atoms by cleaving the N2 molecule,

N2 → NH2 + H (∆H = 9.83 eV)

Alternatively, N atoms can be obtained more efficiently through the following


steps starting with NH3:

NH3 → NH2 + H (∆H = 4.76 eV)

NH2 → NH + H (∆H = 3.90 eV)

NH → N + H (∆H = 3.42 eV)


Plasmas in Deposition Processes 93

in which no reaction step requires more than 4.76 eV. This explains why NH3
is commonly used in Si3 H4 plasma-assisted CVD deposition. Similarly, the
following reactions show why nitrous oxide N2 O, rather than O2, is often used
as a parent donor molecule for O atoms during plasma CVD deposition of
oxides.

N2 O → N2 + O (∆H = 1.73 eV)

O2 → 2O (∆H = 4.13 eV)

Plasma-assisted etching is similar to plasma-assisted CVD, except that


a volatile rather than an involatile compound is produced at the substrate.
Thus, for example, Si etching is accomplished by using a glow discharge to
generate active F atoms from an inert molecular gas such as CF4. The F atoms
cause etching of the Si by forming volatile compounds such as SiF4 on the Si
surface.
Plasma polymerization often proceeds in a series of steps.[38] Thus, for
example, high molecular weight species can be formed in a glow discharge
from low molecular weight starting material by the association processes
discussed in the previous section. These high molecular eight species
condense on the substrates, where they are cross-linked by plasma radiation
and electron bombardment to form a polymer film.

6.0 SURFACE REACTIONS

6.1 Introduction

Surfaces in contact with plasmas are bombarded by electrons, ions, and


photons. The electron and ion bombardment is important and is used in
materials processing, particularly during deposition and etching. Less is
known about the influences of the plasma radiation. The relative number of ions
and electrons which are incident on a surface depends on whether it is biased
as a cathode, an anode, or is electrically isolated. In this section, some of the
effects of ion bombardment and electron bombardment, and of plasma
bombardment of an electrically floating surface, are discussed briefly.

6.2 Ion Bombardment

The momentum exchange associated with ion bombardment can


cause rearrangement and ejection (sputtering) of surface atoms. The
94 Deposition Technologies for Films and Coatings

rearrangement can have dramatic effects on the structure and properties of a


growing film[53] and is of importance in the processes of ion plating and bias
sputtering. The ejection is important in the processes of sputter cleaning and
deposition. Accordingly, these mechanisms are discussed in considerable
detail in Chs. 5 and 13.
At low working pressures (collisionless ion transport), the energy of ions
bombarding a cathode surface will be about equal to the difference between
the cathode potential and the plasma potential (approximately equal to the
applied cathode-to-anode potential). The current density, bias voltage, sheath
thickness, and plasma properties are related by Eqs. 38 and 39.
At higher pressures, where ion collisions become important, the
bombarding flux consists of both ions and energetic neutrals because of
charge exchange collisions (see Fig. 2.10). Thus the average bombardment
energies are considerably less than the potential drop across the cathode dark
space. This is illustrated in Fig. 2.24 with a histogram showing the cathode
arrival energies of 100 Ar+ ions which have crossed a sheath having a voltage
Va in Ar gas at 2.5 mTorr. Approximately half (45%) of the ions arrive at the
cathode with energies corresponding to less than 10% of the sheath voltage.
The sheath parameters for the high pressure case are related by Eq. 39.

Figure 2.24. Calculated ion-energy distribution histogram showing the effect of


charge exchange (from Ref. 50).
Plasmas in Deposition Processes 95

Ion bombardment can greatly influence the processes involved in the


adsorption of molecules onto surfaces and their subsequent reactions. The
process of molecular adsorption[41] and surface compound formation is
illustrated in Fig. 2.25 for the case of gas phase etching. The CVD case with
the formation of a nonvolatile product is similar. Any of the steps shown in the
figure can be rate-limiting. Physical adsorption is due to polarization (van der
Waals) bonding. It is a nonactivated process and occurs with all gas surface
combinations under appropriate conditions of temperature and pressure.
Adsorption energies are typically less than 0.5 eV. Chemisorption involves a
rearrangement of the valence electrons of the adsorbed and surface atoms to
form a chemical bond. It involves an activation energy and has a high degree
of specificity between gas-surface combinations. Adsorption energies are
typically 1 to 10 eV. Molecules may be chemisorbed in their molecular state
or may dissociate into atoms. The latter case is known as dissociative
chemisorption. Dissociative chemisorption is generally a precursor to
compound formation, which is also an activated process. Various types of
chemisorption bond sites can exist on a solid surface. Thus both molecular
and dissociative chemisorption can occur simultaneously on the same
surface. Ion bombardment can influence these processes in the following
ways:
1. Ion bombardment can cause adsorbed molecules to dissociate,
thereby overcoming the activation energy for this process.

2. Ion bombardment can create surface defect sites which have


reduced activation energies for the occurrence of dissociative
chemisorption or for the formation of a solid compound.

3. Ion bombardment can remove (by sputtering) foreign species


from a surface. Such species may interfere with the dissociative
chemisorption of a preferred species.
Low-energy ion irradiation during film deposition can have dramatic
effects on the microstructure and microchemistry, and hence physical
properties, of as-deposited layers as discussed in detail in Chapter 13 and Ref.
53. Applications in which low-energy ion/surface interactions have been used
to modify film microstructure include: densification and increased oxidation
resistance of optical films; minimization or elimination of columnar microstructure
in microelectronic metallization layers; altering the state of stress, average
grain size, and preferred orientation; increased film/substrate adhesion;
enhanced conformal coverage; controlled magnetic anisotropy in recording
layers; and “low-temperature” epitaxy.
96 Deposition Technologies for Films and Coatings

Figure 2.25. Schematic representation of surface chemisorption and volatile


compound formation during dry etching.

While films in most of the above application areas are deposited by bias
sputter deposition or plasma-assisted CVD, experiments to isolate ion
irradiation effects are often carried out using ion beams. One example is
illustrated in Fig. 2.26 showing experimental and calculated (Monte Carlo
simulations) densities of CeO2 films deposited at ambient temperature by
simultaneous evaporation of Ce and O2+ irradiation from an ion-beam source.
The experiments were carried out as a function of ion energy Ei for an ion-to-
vapor flux ratio of Ji /Jv of unity.[54] The film density initially increased with
increasing Ei due primarily to ion implantation, recoil implantation, and, to a
lesser extent, sputtering of weakly bound species. However, an optimum Ei
for densification was reached as an increasing fraction of the ion energy was
lost deeper in the lattice leaving vacancies which could not be filled by arriving
vapor species. The optimum ion energy, which depends upon the masses of
the collision partners, was ≈200 eV in this case.
It should be noted, as discussed in Ch. 13, that while ion irradiation is
useful for increasing the density and modifying the microstructure of films
deposited at low temperatures, other irradiation-induced effects such as
increased defect densities occur simultaneously. This is shown in Fig. 2.27
from the work of Huang et al.[55] who studied the effects of Ar+ ion
bombardment during the growth of Ag films at room temperature using a dual
ion beam apparatus. They found that the grain size decreased while the
dislocation number density increased with increasing average irradiation
energy per deposited Ag atom. At elevated growth temperatures, however,
Plasmas in Deposition Processes 97

low-energy ion irradiation can have the opposite effect and actually reduce
residual defect densities in as-deposited films. This has been demonstrated
by Hultman et al.[56][57] who used electron microscopy to investigate the
dislocation structure in epitaxial TiN films deposited by bias magnetron
sputtering of Ti in pure N2 at growth temperatures between 550 and 850oC.

Figure 2.26. Experimental and theoretical values of the density D of CeO2 films
deposited at ambient temperature by simultaneous evaporation of Ce and ion-
beam acceleration of O2+ as a function of ion energy Ei for an ion-to-vapor flux ratio
Ji /Jv = 1. The bulk density of CeO2 is 8.1 g/cm3 (from Ref. 54).

In addition to modifying film microstructure, low-energy ion irradiation


is often used during thin-film growth to controllably alter the composition of
as-deposited layers. Examples include preferential sputtering from the
growing film during deposition of alloys[58]-[61] enhanced reactive gas
incorporation during deposition of compounds[62]-[65] and increased dopant
incorporation probabilities combined with better control of dopant depth
distributions.[66][67] Again, however, ion bombardment can result in potentially
deleterious effects, depending upon experimental design, such as rare-gas
incorporation in sputter-deposited films.[68]-[71] Mechanisms associated
with accelerated-particle/film interactions leading to changes in incorporation
98 Deposition Technologies for Films and Coatings

probabilities range from purely physical effects such as implantation and recoil
processes to irradiation-assisted chemistry.

Figure 2.27. The average grain size and dislocation number density nd in Ag films
deposited at room temperature as a function of the average energy 〈E〉 per
deposited atom (from Ref. 55).

Reactive ion etching technology also relies heavily on ion-irradiation-


induced effects for both stimulating chemical reaction channels and providing
anisotropy control. An example of the former is shown in Fig. 2.28 illustrating
results for Ar+-ion-assisted F2 /Si chemistry. F2 has a very low probability for
dissociative chemisorption on Si.[34] Consequently the etch rate via the
formation of volatile SiF2 is low. Ar+ irradiation greatly increases the etch rate
by promoting dissociative chemisorption. Fig. 2.28 shows that for the
experimental conditions listed, the Si sputter etch rate using 500 eV Ar+ was
2.5 Å/min. The etch rate increased by a factor of approximately 3.5 in the
presence of F2 gas. However, the Si etch rate due to F2 itself, in the absence
of Ar+ irradiation, was less than 0.1 Å/min.
Plasmas in Deposition Processes 99

Figure 2.28. The results of beam experiments designed to investigate ion-


stimulated interactions between F2 and Si (from Ref. 42).
100 Deposition Technologies for Films and Coatings

6.3 Electron Bombardment

Electron irradiation is a primary source of substrate heating during film


deposition by DC and RF diode sputtering.[72][73] Energetic electron, as well
as photon, irradiation of ionically bonded substrates has also been shown to
strongly affect film nucleation kinetics through the creation of charged surface
vacancies which act as preferential adsorption sites.[74]-[77] Reduced epitaxial
temperatures have been reported for many film/substrate combinations
including Si and Ge on NaCl[78] and PbTe on CaF2 .[76] Electron irradiation can
also give rise to surface chemistry during film growth through, for example,
excitation and ionization of adsorbed molecules into states leading to
dissociation, bond rearrangement, or desorption. Adsorbed organic molecules
can be polymerized by electron irradiation.
An example of electron-stimulated surface chemistry during plasma
etching is shown in Fig. 2.29. XeF2 dissociatively chemisorbs on SiO2 but
etching does not occur due to a high activation barrier for the reaction channel
leading to the formation of SiF4. Electron bombardment alone has been
observed to remove O from the surface of SiO2 and produce elemental
Si,[43][44] but it does not cause etching. However, when SiO2 is subjected to
electron bombardment in the presence of XeF2 , etching occurs at relatively
high rates, ≈ 200 Å/min in the example given in Fig. 2.29.[42]

6.4 Glow Discharge Surface Cleaning and Activation

Glow discharge cleaning, in which electrically isolated parts are immersed


in a low-pressure plasma, has been used for many years,[45] particularly for
glass and other non-conducting materials that cannot be subjected to simple
DC sputter etching. The process, although highly empirical, often provides an
effective final cleaning step prior to vacuum deposition. Working gases are
typically air, O2, or Ar.
Recent work on damage production and sputter cleaning of substrate
surfaces prior to epitaxial growth[79]-[82] suggests that low-energy ion-
irradiation-induced damage can be continuously annealed out at elevated
temperatures. Yu[82] used low-energy electron diffraction (LEED) to show
that the temperature required to maintain a Si(111)7x7 surface
reconstruction during Ne+ ion irradiation decreased from≈ 450 to 150 oC as
the ion energy was decreased from 500 to 80 eV. In sputter cleaning
experiments employing cross sectional transmission electron microscopy,
Gaverick et al.[81] used a low power RF plasma with an acceleration potential of 100
V to etch Si(100) substrates at 750oC immediately prior to Si deposition bylow-
Plasmas in Deposition Processes 101

Figure 2.29. The results of beam experiments designed to investigate electron-


stimulated interactions between XeF2 and SiO2 (from Ref. 42).
102 Deposition Technologies for Films and Coatings

pressure CVD. Rutherford backscattering spectroscopy combined with plan-


view and cross sectional transmission electron microscopy analyses showed
the film and substrate to be defect free.
Corona discharges, operated at atmospheric pressure, have long been
used to prepare polymer surfaces for processing. More recently, low-pressure
glow discharges are being used to modify surface chemistry and promote
adhesion with vacuum-deposited metal overlayers. X-ray photoelectron
spectroscopy (XPS) studies of the effects of O2 plasma treatments on ABS,
polypropylene,[46] and polystyrene[47] surfaces showed the formation of both
single and double C-O bonds. This, in turn, led to stronger metal overlayer
adhesion through the formation of oxygen bridge bonds between C and metal
atoms. Bodo and Sundgren[83] obtained similar increases in metal overlayer
adhesion for Ti on polyethylene using an Ar+ bombardment pretreatment to
remove low molecular weight impurities, promote cross-linking, and allow the
formation of a carbidic Ti-C interfacial layer as observed in XPS. Both Ar+ ion
irradiation and O2 plasma pretreatments also increased the adhesion of T on
polydimethylsiloxane (a silicone rubber) due to the formation of Ti-C and Ti-O
bonds.[84]

ACKNOWLEDGEMENTS

The authors gratefully acknowledge the support of the Joint Services


Electronics Program and the Materials Science Division of the Department of
Energy over the course of several years.
Plasmas in Deposition Processes 103

REFERENCES

1. Thornton, J. A. and Penfold, A. S. in:Thin Film Processes, (J. L. Vossen


and W. Kern, eds.), p. 75, Academic Press, New York (1978)
2. McDaniel, E. W., Cermak, V., Dalgarno, A., Ferguson, E. E. and
Friedman, L., Ion-Molecule Reactions, p. 345, Wiley-Interscience, New
York (1970)
3. Hirschfelder, J. O., Curtiss, C. F. and Bird, R. B., Molecular Theory of
Gases and Liquids, p. 523, Wiley, New York (1954)
4. Sutton, G. W. and Sherman, A.,Engineering Magnetohydro-dynamics,
McGraw-Hill, New York (1965)
5. Cobine, J. D., Gaseous Conductors, Dover, New York (1958)
6. The Applications of Plasmas to Chemical Processing, (R. F. Baddour
and Robert S. Timmins, ed.), MIT Press, Cambridge, Mass. (1967)
7. Thornton, J. A., J. Vac. Sci. Technol., 15:188 (1978)
8. Griem, H. R., Plasma Spectroscopy, p. 129, McGraw-Hill, New York
(1964)
9. ter Haar, D., Elements of Statistical Mechanics, p. 381, Holt, Rinehart
and Winston, New York (1960)
10. Rose, D. J. and Clar, M., Jr.,Plasmas and Controlled Fusion, p. 80, MIT-
Wiley, New York (1961)
11. Chen, F. F., Introduction to Plasma Physics, Plenum Press, New York
(1974)
12. Spitzer, L., Jr., Physics of Fully Ionized Gases, Interscience, New York
(1956)
13. Delcroix, J. L. Introduction to the Theory of Ionized Gases, p. 128,
Interscience, New York (1960)
14. Chapman, S. and Cowling, T. G., The Mathematical Theory of Non-
Uniform Gases, p. 90, Cambridge Univ. Press, Cambridge, England
(1960)
15. von Engel, A.,Ionized Gases, Clarendon Press, Oxford, England (1965)
16. McDaniel, E. W., The Mobility and Diffusion of Ions in Gases, p. 132,
Wiley, New York (1973)
104 Deposition Technologies for Films and Coatings

17. Brown, S. C., Basic Data of Plasma Physics, MIT Press, Cambridge,
Mass. (1959)
18. Chen, F. F., in: Plasma Diagnostic Techniques, (R. H. Huddlestone and
S. L. Leonard, eds.), p. 113, Academic Press, New York (1965)
19. Mittleman, M. H., in: Plasma Dynamics, (F. H. Clauser, ed.), p. 54,
Addison-Wesley, New York (1960)
20. Bohm, D., Burhop, E. H. S. and Massey, H. S. W., in:The Characteristics
of Electrical Discharges in Magnetic Fields, (A. Guthrie and R. K.
Wakerling, eds.), p. 13, McGraw-Hill, New York (1949)
21. Glasstone, S., and Louberg, R. H.,Controlled Thermonuclear Reactions,
p. 459, Van Nostrand, New York (1960)
22. Thornton, J. A., J. Vac. Sci. Technol., 15:171 (1978)
23. McTaggart, F. K., Plasma Chemistry in Electrical Discharges, Elsevier,
New York (1967)
24. Ganger, B., Der Elecktrische Durchschlag, Springer-Verlag, Berlin
(1953)
25. Brown, S. C. and MacDonald, A. D., Phys. Rev., 76:1629 (1949)
26. McDaniel, E. S., Collision Phenomena in Ionized Gases, Ch. 13, Wiley,
New York (1964)
27. Williams, D. G., J. Vac. Sci. Technol., 11:374 (1974)
28. Fraser, D. B., in: Thin Film Processes, (J. L. Vossen and W. Kern, eds.),
p. 131, Academic Press, New York (1978)
29. Waits, R. K., Ibid, p. 131
30. Libby, W. F., J. Vac. Sci. Technol., 16:414 (1979)
31. Transfer and Storage of Energy by Molecules,(G. M. Burnett and A. M.
North, eds.), Wiley-Interscience, New York (1969)
32. Christophourou, L. G., Atomic and Molecular Radiation Physics, p. 6,
Wiley-Interscience, New York (1971)
33. Winters, H. F., Coburn, J. W. and Kay, E.,J. Appl. Phys.,48:4973 (1978)
34. Coburn, J. W. and Winters, H. F., J. Vac. Sci. Technol., 16:392 (1979)
35. Muschlitz, E. E., Jr., Science, 159:599 (1968)
Plasmas in Deposition Processes 105

36. Hollahan, J. R. and Rosler, R. S., in: Thin Film Processes, (J. L. Vossen
and W. Kern, eds.), p. 335, Academic Press, New York (1978)
37. Melliar-Smith, C. M. and Mogab, C. J., Ibid, p. 497
38. Yasuda, H. Ibid, p. 361
39. Kern, W. and Ban, V. S., Ibid, p. 257
40. See Ch. 7
41. Chemisorption and Reactions on Metallic films, (J. R. Anderson, ed.),
Academic Press, New York (1971)
42. Coburn, J. W. and Winters, H. F., J. Appl. Phys., 50:3189 (1979)
43. Thomas, S., J. Appl. Phys., 45:161 (1974)
44. Carriere, B. and Lang, B., Surface Science, 64:209 (1977)
45. Holland, L.,Vacuum Deposition of Thin Films, Ch. 3, Chapman and Hall
Ltd., London (1966)
46. Burkstrand, J. M., J. Vac. Sci. Technol., 15:223 (1978)
47. Burkstrand, J. M., Appl. Phys. Lett., 33:387 (1978)
48. Hansen, R. H. and Schonhom, H., Polymer Lett., 4:203 (1966)
49. Bunshah, R. F. and Raghuram, A. C., J. Vac. Sci. Technol., 9:1385
(1972)
50. Davis, W. D. and Vanderslice, T. A., Phys. Rev., 131:219, (1963)
51. Butler, H. S. and Kino, G. S., Phys. Fluids, 6:1346 (1963)
52. Gorczyca, T. B. and Gorowitz, B., in: VLSI Electronics: Microstructure
Science, 8:69, (N. G. Einspruch and D. M. Brown, eds.), Academic
Press, New York (1984)
53. Greene, J. E., Barnett, S. A., Sundgren, J. E. and Rockett, A., in: Ion-
Beam Assisted Film Growth, p. 101, Elsevier, Amsterdam (1988)
54. Muller, K. H., Applied Physics, A40:209 (1986)
55. Huang, T. C., Lim, G., Parmiagiani, F. and Kay, E., J. Vac. Sci. Technol.,
A3:2161 (1985)
56. Hultman, L., Helmersson, U., Barnett, S. A., Sundgren, J. E. and
Greene, J. E, J. Appl. Phys., 61:552 (1987)
106 Deposition Technologies for Films and Coatings

57. Hultman, L., Barnett, S. A., Sundgren, J.-E. and Greene, J. E.,J. Crystal
Growth,92:639 (1988)
58. Winters, H. F., Ramondi, D. L. and Horne, D. E., J. Appl. Phys., 40:2996
(1969)
59. Tarng, M. L. and Wehner, G. K., J. Appl. Phys., 42:2449 (1971)
60. Cuomo, J. J. and Gambino, R. J., J. Vac. Sci. Technol., 12:79 (1975)
61. Zilko, J. L. and Greene, J. E., J. Appl. Phys., 51:1549 (1980)
62. Brett, M. J. and Parsons, R. R., Can. J. Phys., 63:819 (1985)
63. Shimizu, S., Tsukakoshi, T., Komiya, S. and Makita, Y., GaAs and
Related Compounds, Inst. Phys. Conf. Series 79:91 (1985)
64. Harper, J. M. E., Cuomo, J. J. and Hentzell, H. T. G., J. Appl. Phys.,
58:550 (1985)
65. Sundgren, J. E., Johansson, B. O., Rockett, A., Barnett, S. A. and
Greene, J. E., Physics and Chemistry of Hard Coatings, American Inst.
Phys. Series Conf. Proc. 149:95 (1986)
66. Hasan, M. A., Knall, J., Barnett, S. A., Sundgren, J. E., Markert, L. C.,
Rockett, A. and Greene, J. E., J. Appl. Phys. 65:172 (1989)
67. Fons, P., Hirashita, N., Markert, L. C., Kim, Y. W., Greene, J. E., Ni, W.
X., Knall, J., Hansson, G. V. and Sundgren, J. E., Appl. Phys. Letters,
53:1732 (1988)
68. Winters, H. F. and Kay, E., J. Appl. Phys., 38:2928 (1967)
69. Pan, A. and Greene, J. E., Thin Solid Films, 78:25 (1981)
70. Hoffman, D. W. and Thornton, J. A.,J. Vac. Sci. Technol., 20:355 (1982)
71. Hultman, L., Markert, L. C., Sundgren, J. E. and Greene, J. E., Appl.
Phys. Letters, 53:1175 (1988)
72. Ball, D. J., J. Appl. Phys., 143:3047 (1972)
73. Lau, S. S., Mills, R. H. and Muth, D. G., J. Vac. Sci. Technol., 9:1196
(1972)
74. Stirling, D. J., Appl. Phys. Letters, 9:326 (1966)
75. Palmberg, P. W., Todd, C. T., and Rhodin, T. N.,J. Appl. Phys., 39:4650
(1968)
Plasmas in Deposition Processes 107

76. Jordan, M. R. and Stirland, D. J., Thin Solid Films, 8:221 (1971)
77. Lord, D. G. and Prutton, M., Thin Solid Films, 21:341 (1974)
78. Shimaoka, G., J. Cryst. Growth, 31:92 (1975)
79. Ronsille, R., Boch, R., Destefanis, G. L., and Tissot, J. L., Appl. Phys.
Letters, 44:679 (1984)
80. Comfort, J. H., Gaverick, L. M., and Reif, R., J. Appl. Phys., 62:3388
(1987)
81. Gaverick, L. M., Comfort, J. H., Uyeh, T. R., Reif, R., Baiocchi, F. A. and
Luftman, H. S., J. Appl. Phys., 62:3398 (1987)
82. Yu, M. L., Appl. Phys. Letters, 40:986 (1982)
83. Bodo, P. and Sundgren, J. E., J. Vac. Sci. Technol., A2:1498 (1984)
84. Bodo, P. and Sundgren, J. E., Thin Solid Films, 136:147 (1986)
3

Surface Preparation for Film and


Coating Deposition Processes

Donald M. Mattox

1.0 INTRODUCTION

The termsurface preparation has many interpretations depending on the


application. For instance, atomically clean surfaces are of particular interest
in some studies and these surfaces may be prepared by cleaving a crystal (in
an ultrahigh vacuum), or other very careful surface preparation in ultra-high
vacuum.[1] Deposition techniques that are extremely sensitive to surface
preparation include molecular beam epitaxy (MBE) where great pains are
taken to clean the surface before the deposition of the epitaxial layer, and
surface chemical reaction studies where submonolayer coverages are impor-
tant. Other deposition techniques such as ion plating are less sensitive since
surface preparation is integral to the deposition process.
Substrate preparation for our purposes may be defined as the condition-
ing of the substrate surface prior to film/coating deposition in order to obtain
desirable processing and film/coating properties.[2] Substrate preparation
may involve the reduction of the type and amount of “contaminants” to an
acceptable level (cleaning), modification of the physical or mechanical
properties of the surface,activation of a surface species to enhance reactions,
or the addition of desirable species to the substrate surface to aid in nucleation
and reaction (sensitization). In the extreme case, surface preparation may
mean forming a “new” surface by adding a primer or glue layer.
Substrate preparation determines the surface properties and these are
directly or indirectly related to the film formation stages of adatom nucle–

108
Surface Preparation 109

ation, interface formation, and film growth. These, in turn, affect film
properties such as adhesion, pinhole density, porosity, film microstruc-
ture, morphology and mechanical properties. Often local surface prop-
erties determine film properties such as pinholes which determine the
product yield. Surface treatments that do not influence the product in a
desirable way are unnecessary and expensive.
Surface preparation is an integral part of any film/coating deposition
process. The objective of surface preparation processes is to allow the
fabrication of an acceptable product in the most reproducible and
economical way. In many cases there are allowable trade-offs between
surface preparation and subsequent processing. For example, an
increase in the deposition temperature may decrease the surface clean-
ing requirements. As the technological demands on films and coatings
increase, the need for better and more reproducible surface preparation
techniques also increases.
There is a wide variety of approaches to surface preparation and
each film-substrate couple, deposition process, and function requires
specific techniques and development. Typically, surface preparation
processes are developed empirically and controlled by good processing
specifications.
Process specifications and travelers are the key to obtaining repro-
ducible surface preparation processing, fabrication processes, and thus
product reproducibility. Specifications define the materials, equipment
and procedures that are to be used. Travelers define what has been done
to each individual part or lot. Specifications are the end-product of a
surface preparation development program. Travelers should contain a
response by the operator (e.g., time, meter reading, temperature, etc.).
An important factor in surface preparation is the condition of the
initial surface. A process developed for one surface condition may not
be satisfactory for another surface condition. The initial substrate
material, condition and history (contamination) should be known, and its
condition and properties should be specified where possible.
Monitoring of the surface preparation is often difficult since any
testing of the surface usually contaminates the surface. Generally,
processing relies on following specifications and possibly monitoring and
testing samples from each lot of surfaces.
In addition to the surface preparation process, the handling and
storage of prepared surfaces is an important part of the fabrication process.
If the prepared surfaces are used immediately or if the final step of the
cleaning process is done as part of the deposition process, the problems of
110 Deposition Technologies for Films and Coatings

maintaining the desired surface may be appreciably different than if the


surfaces are exposed to the environment and recontamination, or when
surface changes may occur with time.
Some film deposition processes and material combinations are more
sensitive to surface preparation processes than others. Some deposition
processes may have harmful surface effects such as in CVD where hot
corrosion of the substrate surface by reaction products may give poor
adhesion of the deposited material. In others, the deposition process may aid
in surface preparation; in CVD for example, hydrogen firing can clean the
substrate surface before the film precursor gases are injected.
In many deposition processes the surface preparation is a separate step
from the film deposition, but in some cases the deposition process includes
a surface preparation step (e.g., ion-plating/sputter-cleaning, hot dip galvaniz-
ing/fluxing, electroplating/off-plating). When surface preparation is separate
from the deposition process, the preparation of high quality films in many
cases requires a final in situ surface preparation step in the deposition
system.[3][4] An example of in situ surface preparation is the plasma cleaning
of glass prior to deposition of optical coatings and mirror surfaces.
This chapter covers a broad range of surface preparation techniques and
gives the reader an appreciation of the factors involved in developing a
reproducible surface preparation procedure for a specific application.

2.0 CONTAMINATION

A contaminant is any material on a surface that interferes with the


processing or performance of the surface. Contaminants may be reacted
layers such as oxides, adsorbed layers such as hydrocarbons, segregated
surface layers, or particulates. The contaminant may originate from:(i) natural
reaction with the ambient (oxides, sulfides), (ii) adsorption from the ambient
(hydrocarbons, water), (iii) processing steps (oils, fingerprints), (iv) handling
and storage (polymers, oils), (v) settling from the ambient (particulates), (vi)
electrostatic attraction in the ambient (particulates), (vii) outgassing or out-
diffusion from the bulk (plasticizers, water, solvents -plastics) or (viii) contact
with contaminated surfaces (silicone oils have a very high creep rate). Some
of this recontamination is unavoidable but some is avoidable with proper
fabrication, handling, and storage techniques.
Surface Preparation 111

Many contaminants can be predicted by knowing something about the


material in general and the way that it is normally fabricated and handled.
Examples are: (i) plastics absorb water and solvents easily, (ii) metals are
machined and deformed using oil lubricants, (iii) plastics are molded using
plasticizers to make the material fluid, etc.
The presence of contaminants can be detected without necessarily
identifying the composition of the contaminant. For instance, if a glass surface
is contaminated with a hydrocarbon (hydrophobic), the wetting angle of a fluid
drop will be high (doesn’t wet).[5] However, this type of test must be used with
caution since soap residue (hydrophilic) on the surface will make the surface
wettable like a clean surface. Adsorption of a tracer such as a radioactive
material may also be used to detect the presence of many contaminants.
Particulates originate from a variety of sources including: (i) wear
mechanisms,(ii) vaporization,(iii) vapor phase nucleation,(iv) evaporation of
aerosols, and (v) shedding of particles (skin, paper, cloth etc.). Particulates
adhere to the surface by weak chemical bonds (van der Waals),[6] but for small
particles, the most important adhering mechanism is condensation of water
in the “crack” between the particle and the surface. The evaporation of aerosols
and vapor phase nucleation are the most important sources of ultrafine
particles (10 -100 nm).
Surface adsorption can be very dependent on the surface and the
adsorbing species. For instance, most oxide surfaces do not adsorb O2 while
conducting and semiconducting surfaces do so easily.[7]

2.1 Recontamination

Recontamination of surfaces that have been cleaned is a major concern.


The recontamination rate and amount is a function of time, temperature, and
environment. For example, the oxidation of reactive materials begins
immediately on exposure to oxygen. On materials such as aluminum and
silicon, 10 Å of oxide will re-form within seconds then slowly increase in
thickness. Recontamination can also occur by adsorption of vapors from the
environment. Figure 3.1 shows the recontamination rate of cleaned gold
surfaces in various environments, as determined by coefficient of adhesion
measurements.[8][9] The contaminants are assumed to be condensed
hydrocarbon vapors. Note that recontamination begins immediately. Recon-
tamination can come from a number of other sources such as poor environ-
mental control, poor handling and storage, contamination by subsequent
processing, etc.
112 Deposition Technologies for Films and Coatings

Figure 3.1. Recontamination of clean gold surfaces in various environments as


measured by an Au-Au adhesion tester.[8][9]

Recontamination can also occur in the cleaning process itself. Complete


rinsing is necessary; otherwise residues from the processing chemicals will
recontaminate the surface. For example, in the final rinse, if the part is
submerged in the rinse tank then drawn up through the liquid surface on which
particles have accumulated, the particles will be painted on the surface and
must be removed before they are allowed to dry.
During storage and handling, the type and degree of recontamination is
dependent on: (i) time, (ii) temperature, (iii) environment and, (iv) surface
condition. Many contaminants “harden” with time and become more difficult
to remove, so after exposing the surface to a contaminating process or
environment it is best to clean the surface as soon as possible.
Recontamination can occur in the processing system and during the
processing. Reactive gas contamination (such as oxygen or water vapor) may
come from residual gases, gases desorbed from surfaces, real leaks and
virtual leaks. Heating and plasma-surface interactions enhance gas
Surface Preparation 113

desorption from surfaces. Outgassing from virtual leaks is time/temperature


dependent and processing should be designed to allow for desorption from
these sources. Often, in plasma processes, gas throughput is decreased and
contaminants may build up in the system, and proper gas throughput or clean-
flush-pump cycles should be employed to reduce contaminant levels. Exam-
ples of processing recontamination include: (i) plasma desorption and
activation of contaminants in plasma processing, (ii) outgassing of thermal
vaporization source material, (iii) particulate generation in the deposition
system, (iv) particulate deposition due to turbulence in a vacuum pumping
system, etc.
Vacuum and plasma deposition systems may have their contaminant
gas levels lowered by using the proper construction materials and techniques,
and conditioning their internal surfaces. Conditioning may be done by:
(a) Heating (bake-out, thermal desorption)[10]
(b) Oxidizing techniques (UV/O3), [11][12] (NO at 200°C)[13]
(c) Pump/plasma-discharge/pump to desorb wall species (ion
scrubbing: chamber is a grounded anode of the discharge)
using an inert gas, oxygen[14] or hydrogen plasma
(d) Physical or chemical sputtering of the walls using an inert or
reactive plasma species such as hydrogen[15] or compounds
containing chlorine or fluorine (chamber is cathodic to the
plasma)
Recontamination is controlled by controlling the processing and storage
environments.

3.0 ENVIRONMENT CONTROL

A key aspect of surface preparation is the control of the processing


environment to avoid contamination during processing and in subsequent
handling, storage, and processing. Environmental factors include:(i) partic-
ulates,(ii) ambient gases,(iii) processing gases,(iv) condensable vapors, (v)
fluids, and (vi) contacting solids.
Particulates come in all sizes. Metal smokes, aerosols (for example
sneezes and sea spray), viruses and tobacco smoke provide some of the
smallest particle sizes.
114 Deposition Technologies for Films and Coatings

Particulate contamination may be minimized by:


(a) Minimizing dust and particulate generating activities and materials
(e.g., clothing/skin/cosmetics, soldering, aerosols)
(b) Low velocity air currents, little turbulence
(c) Elimination of electrostatic charging of insulator surfaces
(d) Air filtration—“clean” rooms and stations
Particulates on smooth or patterned surfaces (semiconductor) can be
detected by operators using optical microscopes (slow and costly), or by
using a scanning laser microscope which detects scattered light. Ultraviolet
fluorescence can be used to detect some types of particles. Commercial
surface particulate detection systems are available.
Airborne particulate contamination may be effectively controlled by
filtration of air (90 - 100 ft/min), through directional(laminar flow) dry fiber filters
(HEPA—High Efficiency Particle Air). HEPA filters can be made from a variety
of materials, and filters compatible with the environment should be used. For
instance, it has been reported that salt particles on some filter fiber materials
absorb water and degrade the filter to the point that the filter produces
particulates. Filters allow the fabrication of clean rooms, clean benches,
etc.,[16] and must be utilized with care in order to maintain a low particle
count.[17]
It should be noted that air filtration doesnot remove vapor contamination.
In the United States, GSA- Federal Standards 209b utilize the number
of particles per cubic foot of volume with a size greater than 0.5 microns as the
standard (no particles larger than 5 microns). Air filtration with proper flow
patterns can provide a Class 100 or better environment (100 particles per ft3 ).
In 1986, a Class 100 clean room cost an estimated $400 - 500 US per ft2 (some
say $1000) to construct and $30 US per ft2 per day to operate. Continuous
care, maintenance and personnel training are necessary for a properly
functioning clean room!
Airborne particles larger than 0.5 microns are typically counted by light
scattering. Below 0.5 microns the particles are counted by first condensing
a vapor on the surface (like a contrail from a jet) and then using light scattering,
or by electrostatically charging the particle then counting it, or a combination
of the two methods.
Particles may be selectively attracted to charged surfaces. It is
therefore important to prevent electrostatic charging of critical surfaces.
When blowing with an air nozzle, the air should be ionized to prevent
Surface Preparation 115

electrostatic charge buildup. Permanently charged surfaces (electrets)


can be used to preferentially attract particulates. (Note: electret materials have
a permanent surface charge. They are mainly plastics that have been heated
and stretched in a DC electric field. Electret materials may be used in brushes,
filters, or as surfaces.)
Humans, their clothing, and behavior are a major source of contamina-
tion. In clean rooms, particulate generation is minimized by using special
body covering and other techniques. Ultimately robots may be used to
eliminate one of the major sources of particles—man.
In order to attain Class 1 and 10 environments and to control particles
smaller than 0.5 microns, it is proposed that substrate handling and process-
ing will have to be done in small compartmentalized units where the substrates
will not be exposed to the ambient environment. An example of such a system
is the completely-contained processing for metallizing and assembling quartz
crystal oscillators, where vapor and particulate contamination are eliminated
to prevent frequency shift due to contamination of the crystal surface during
use. In the future, more use is expected to be made of containers and
processing equipment that can be mechanically mated so as to only need
small volumes of Class 1 environments. Clean rooms may be less important
in the future!
Particulate contamination from processing gas supplies may be con-
trolled by filtration. Filtration at the point-of-use is often done with 0.2 micron
filters. Teflon filters should be used in oxygen lines. Particulate contamination
in flowing gases may be monitored by the scattering of a laser beam.[18]
Particulates generated in gas piping may be due to:(a) flaking from walls,
oxides, fluxes, polymers, (b) wear particles from mechanical equipment, (c)
contamination from opening system, (d) leaks; and affected by: (i) wear
(valves, pumps),(ii) mechanical vibration, (iii) thermal cycling, and(iv) changes
in flow velocity.
Contamination of gas supplies by unwanted reactive gases can be a
problem. In order to prevent gas contamination, one can: (i) use ultrapure
gases from tanks,(ii) use vapors from liquid gases (LN 2), (iii) purify the gases,
and (iv) be careful to have non-contaminating plumbing. Gas purification can
be used to remove some gaseous contaminants from gas supplies. Purifiers
use hot reactive beds (chips) (Ti, U, Cu) for removal of oxygen, or diffusion—
Pd for H2 , Ag for O2.
Commercial purifiers will purify silane, ammonia, hydrogen and the inert
gases to less than 10 ppb of O2, H2O, CO2 , and chlorinated compounds.
116 Deposition Technologies for Films and Coatings

Particulate contamination from fluids can be avoided by filtration.[19]


Care should be taken that the filter does not contaminate the fluid by extracting
(leaching) material from the filter (use Teflon™ or ceramic filter material).
Particulate contamination in fluids can be measured directly by light scatter-
ing.
Overflow tanks should be used in rinsing operations. Particulates from
the air tend to float on the surface of fluids (like water spiders) and paint on the
substrate surface as it is withdrawn through the fluid surface giving extensive
particulate recontamination of a cleaned substrate surface.
One ambient “contaminant” that should be controlled is electrostatic
charging. This is done by controlling the humidity (typically 40 - 45% relative
humidity) and using ground straps, antistatic coatings, and conductive
clothing on personnel who handle sensitive electronic devices. Electrostatic
charging of insulator surfaces contributes to particulate contamination by
attracting and holding particles. Electrostatic charging of surfaces can result
from blow-off with dry air. The dry air should be ionized before being used for
the blow-off operation.
The humidity in a clean room is normally controlled by dehumidifying
using cold surfaces (air conditioning, or air compression which is more costly)
then re-humidifying using steam or “foggers”. It has been proposed that the
humidifying operation is a major source of fine particulate contamination in the
clean room environment since the evaporation of aerosols is a major source
of fine airborne particulates.
Condensable vapor contamination is generally not controlled in the
processing environment except by venting and segregation of vapor producing
processes (soldering, electroplating, etc.) from “clean” areas. Hydrocarbon
vapors are the most common vapor contaminants and are controlled in the
small volumes used for handling and storage by selective absorption (freshly
oxidized aluminum), or by continuous oxidation in a ultraviolet/ozone atmo-
sphere (UV/O3—see cleaning section), or by condensation on cold surfaces.
Contaminant pick-up from surfaces is controlled by (control of) surface
materials, good housekeeping, smooth surfaces, use of coverings (finger cots,
lint-free cloth), high molecular weight organics (nylon and Teflon™) or metal for
holders and tools, and the use of vacuum tools for handling wherever possible.
Vacuum tooling for holding is preferable to other types of handling tools since
it minimizes abrasive transfer of material.
Special low-contaminant materials have been developed for semicon-
ductor processing applications; unplasticized polyethylene seems to be
Surface Preparation 117

best,and gloves of this material—furnished on paper rolls—is the recommend-


ed handling material. However abrasive transfer of organic materials from soft
plastics can be a problem. Woven nylon gloves prevent direct contact between
skin and surfaces but do allow sweat and body oils to wick through; rubber
finger cots should be worn under the nylon gloves. Alcohol, acetone, and many
other solvents which are used in cleaning processes will leach organics from
vinyl gloves. When using these solvents, unplasticized polyethylene gloves
should be used. Some vinyl (and about all latex) gloves may have powder on
them and, of course, this is a source of particulates.
Processing chemicals may be contaminated when received so ultrapure
chemicals (semiconductor grade) should be used. Improperly rinsed surfaces
which have impure chemicals on them (solvents, etchants) may leave residues
on drying. A chemical may become contaminated by being in contact with a
material which it dissolves or attacks: alcohol in contact with many plastics—
vinyl (use polyethylene - no plasticizers); Tygon™ removes phthalate plasti-
cizers (use Teflon™). Hydroscopic materials such as anhydrous chemicals
(alcohols) will pick up moisture from the atmosphere on exposure. Chlorinated
solvents may react with water vapor and become contaminated with HCl, thus
becoming corrosive.
If impure fluids are allowed to dry on a surface, they leave residues. These
residues are then very difficult to remove. Residue analysis consists of
allowing a volume of the chemical to evaporate and analyzing the residue which
remains (ASTM Method D1353-78), or analyzing the particulate residue from
a sprayed droplet (Wen). Often residue can be detected by the “fogging” of
what should be a clean glass surface on evaporation of some of the solution.
Residues can be minimized by rinsing in copious amounts of ultrapure water
or other appropriate solvent. Wet surfaces should not be allowed to dry without
rinsing with a low residue solution!
Chemicals can be contaminated by “carry-over” from a previous process.
Carry-over can be minimized by good rinsing between cleaning/processing
steps.
Metallic contaminates in electrolytes may result in surface contamina-
tion by displacement plating from solution (Zn and Sn)—don’t use galvanized
parts or soldered plumbing for transferring ultrapure chemicals such as water.
Sodium contamination is of major concern in silicon technology. Sodium
can come from leaching of soft glass, and fingerprints, as well as chemicals,
furnace liners, etc.
118 Deposition Technologies for Films and Coatings

The most common rinsing technique is to use successive rinses


(cascading rinses) in ultrapure water until the rinse water has a high resistivity
(> 15 megohm). This is called “rinse to resistivity”.
Ultrapure water (18 megohm - cm resistivity) is a widely used chemical
for cleaning and rinsing since it leaves a minimum of residues. Water purity
is typically measured using a conductivity cell that measures the ionic
concentration in the water. The semiconductor industry standards call for
detection of ionic impurities to 5 ppb NaCl equivalent. Specific ion content may
be measured using ion chromatography. Conductivity measurements do not
measure the organic or biological contamination and some type of residue
analysis should be used to measure these impurities.
Typical industrial specifications of ultrapure water for endpoint use are:
1. Resistivity—18 megohm continuous at 25°C
2. Particle count—less than 500 particles (0.5 microns or larger)
per liter
3. Bacteria count—less than one colony (cultured) per cc
4. Organics—less than one part per million
5. Total electrolytes—less than 5 parts per billion
6. Quantity requirements
7. Peak-level usage
The ultrapure water is made by:
1. Pretreatments—pH adjustment, coagulation, filtration
2. Reverse osmosis—semipermeable membrane (pore size 10-3
to-4 microns) rejects salts, dissolved solids (90 - 98%) and
organics (99%)—400 to 600 psi feedwater[20]
3. Degasification—remove dissolved CO2
4. Ion exchange resins (anion & cation)—remove ions by ex-
changing H+ for cations and OH- for anions.
5. Absorption materials (activated carbon)—remove organics
6. Filtration—remove particulates and biological matter, 0.2 mi-
crons for bacterial, 1.0 microns general
7. Ultraviolet radiation—kills bacteria on filters
8. Endpoint filtration
PVC plumbing should be used with ultrapure water since the pure water
is rather corrosive to metals (particularly to Cu, Zn). A high volume, ultrapure
water facility can be very large and expensive.
Surface Preparation 119

Bacteriological contamination can penetrate porous filters and has been


correlated to reduced device yields. Ultraviolet radiation or dissolved ozone
may be used to kill the bacteriological contaminate agents (Nebel). End-point
filtration is often used to make sure that bacteriological contamination does
not get on a part and leave a residue.
Activated carbon is an amorphous material with a high surface area (500-
1500 M2/gram). For use in fluids it has a pore size of about 1000 Å. For use
in gases it has a pore size of 12 - 200 Å. Activated carbon has a high affinity
for the absorption of organic molecules (better for non-polar than polar).
Catalytic agents (Cu, Ag, Cr) can be added to improve the absorption of
complex molecules (e.g., gas masks). Activated carbon filters do not remove
biological agents effectively.
An important part of the rinsing operation is the drying of the surface to
prevent particle pickup and adherence—see Sec. 5.0 on drying and outgassing.

4.0 CLEANING PROCESSES

“Cleaning” is the reduction of surface contamination to an acceptable


level. As a practical matter, a “clean” surface is one that contains no significant
amounts of undesirable material; thus what constitutes a clean surface
(degree of cleaning) depends on the requirements. The requirements range
from those concerned with monolayer coverages and atomically clean
surfaces to crude cleaning such as used for fusion welding. The economics
are such that unnecessary cleaning is to be avoided.
Cleaning processes should be as simple and effective as possible in
order to meet the requirements of the processing. Elaborate cleaning
processes are often expensive and self-defeating. Often there is a tradeoff
between the various stages of the cleaning process, handling/storage, and
subsequent processing, such that simple changes in one stage make
complex changes in another step unnecessary.
Effective cleaning generally consists of two or three stages. The first
is removal of gross contamination by fluxes, etchants, or abrasion. In the
second stage, the cleaning steps are designed to remove specific types of
contaminates such as particulates and organics, by solvents, saponifiers,
emulsifiers, oxidation techniques, etc. Cleaning solutions may have several
actions to attack specific contamination, such as detergents, solvents,
wetting agents and mild etchants. Next, the surface is rinsed, dried and
outgassed, (if necessary). Lastly, a final or insitu cleaning step may be used
120 Deposition Technologies for Films and Coatings

in a very controlled environment such as in a vacuum or plasma deposition


chamber or electrochemical solution.

4.1 Particulate Removal

Particulate contamination may be removed by detergent washing, liquid


spray (high pressure), blow-off, brush-off (in liquid or air), flow-off (liquid or
condensing vapor), or spin-off (copious fluids) techniques.
The most effective techniques seem to be detergents (with wetting
agents) and mechanical rubbing in a fluid. High pressure spray, brush-off
under liquid, and flow-off using condensing vapor are less effective. When
using any mechanical rubbing technique, care should be taken to prevent
contamination by abrasive transfer from the rubbing media. Use gentle
pressures.
Blow-off techniques have the advantage that they can be done after the
substrates have been placed in fixtures and even in a deposition system. The
best means of blow-off is to use filtered (0.2 micron) gas from a liquid nitrogen
tank. The gas is filtered in the nozzle and some nozzles allow ionization of
the gas with a radioactive source. Ionized gas should be used when blowing-
off insulator/organic surfaces to prevent electrostatic charge buildup which will
attract particles. When using high velocity gases for blow off one should be
careful not to entrain particles in the gas stream which could impinge on the
surface and stick.
An interesting technique studied at the University of Arizona Center for
Microcontamination Control is the use of high purity carbon dioxide “snow”
formed and blown from a gaseous carbon dioxide cylinder. Apparently the
snow scrubs the particles from the surface without leaving residuals or harming
the surface.
Blow-off of particulates is often done with dusters using canned pressur-
ized gases. One common duster uses dichlorodifluoromethane (DuPont
Freon™ 12—CCl2F2, BP: 30°C) which liquifies under pressure. Residuals from
the blow-off gases should be checked. Also check for residuals with the spray
can in an inverted position (liquid comes out) while spraying. Caution: when
using Freon™ dusters, make sure the gas canister is not intended for
recharging air conditioning systems—these canisters contain oil lubricants
which spray out, particularly when the can is inverted.
In optics, it is common to remove particulates from optical surfaces by
applying a film that is stripped from the surface—leaving hydrocarbon
contamination, no doubt.
Surface Preparation 121

4.2 Abrasive Cleaning

The removal of gross contamination by abrasive cleaning involves the use


of abrasive pads (sandpaper, emery paper, etc.), impacting particles (glass
beads, alumina/silica grit) in air or fluid streams (vapor honing), or mechanical
rubbing of particles in a fluid suspension. Grit blasting uses grit (fractured cast
iron, alumina) of varying sizes and shapes, accelerated in a gas stream, to
deform and gouge the surface. In addition to removing gross contamination,
grit blasting roughens and “activates” the surface, and the surface should be
coated as soon as possible after grit blasting (less than 2 hours). The Society
of Automotive Engineers (SAE) has specifications on grit size and type.
Particle bombardment places the surface in compressive stress and may give
unacceptable distortion of the part.
Glass bead blasting (dry) is a commonly used cleaning technique[21] but
may leave shards of glass embedded in soft surfaces. Particles may be
entrained in a high velocity gas steam by using a siphon system or a pressure
system (sand blasting equipment). Water soluble particles may be used for
abrasive cleaning (example: the Prophy-jet™ dental abrasive unit uses 5
micron baking-soda–magnesium-carbonate particles) and allows easy remov-
al of embedded particles. Bead blasting in a fluid (honing) is also used to clean
surfaces of gross contamination.

4.3 Etch Cleaning

Chemical etching may be used to remove some of the surface material


along with the contaminants. This is a very useful technique for getting the
surface into a “known” condition, removing surface layers (oxides), and
removing difficult-to-remove contaminates. Etchants may change the surface
chemistry! Common etchants for glass are sodium or ammonium bifluoride
and hydrofluoric acid. Note: when using HF extreme care should be taken to
prevent the HF from getting on the skin—bad chemical burns can result. (First
aid: flush with water then use magnesium sulfate to neutralize. A commercial
magnesium-sulfate/glycerin creme is available as Acid-Aid™.)
Acid “pickling” is a common technique for cleaning metal surfac-
es. [22][23] Acid cleaning of metals may have the detrimental effect of
introducing hydrogen into the surface and embrittling the metal. If hydrogen
embrittlement is a problem, either don’t use an acid (best) or give the etched part
a high temperature vacuum fire after etching. When using etchants for
cleaning, care must be taken to prevent selective removal (leaching) of
122 Deposition Technologies for Films and Coatings

surface constituents that are important to further processing (e.g., etching


glass bonded Al2O3 in HF results in selective removal of the glass [Ca-Mg-Al-
Si-O] which can weaken the surface and give problems with adhesion).[24]
Sometimes chemical etching does not remove some constituents from
a surface and leaves a “smut” that must be removed by another etching step.
For example, etching aluminum alloys with NaOH leaves a copper smutand/
or a silicon smut. These may be removed with HNO3 or HNO3/HF respectively.
In some cases an etchant can be devised that will etch all the constituents
uniformly; for instance, in etching aluminum containing silicon (1%) IC
metallization, concentrated nitric acid plus ammonium bifluoride (100 cc:6.8
gr) may be used. The etching mechanism is oxidation of the aluminum and
the silicon, then etching of the resulting oxides—the etchant actually etches
silicon more rapidly than the aluminum.

4.4 Fluxing

Fluxes remove oxides by dissolving them or by undercutting and floating


the surface layers away.[25][26]

4.5 Alkaline Cleaners

Alkaline cleaners are saponifiers which convert organic fats to water-


soluble soaps. Saponifiers are alkaline and are often in the form of hot
solutions. Strong alkaline cleaners have a pH of about 11. When using
alkaline cleaners, the surface should be neutralized by an acid prior to the
water rinse since alkali salts adhere strongly to surfaces. Clean oxide
surfaces strongly adsorb hydrocarbons which detergents and solvents nor-
mally will not completely remove. These hydrocarbons must be removed by
alkaline cleaners or oxidants.

4.6 Detergent Cleaning

In detergent cleaning, the detergent (soap) surrounds the particle


taking it into suspension without actually dissolving the material. This action is
helped by wetting agents which loosen the particles. Many detergents
contain phosphates. Liquid dishwasher soap is an excellent detergent
for many applications (also laboratory green soap). Alconox™ is also a widely used
laboratory cleaning solution though it is somewhat difficult to remove
Surface Preparation 123

from the surface and changes the surface pH. Ajax™ cleaner contains
abrasives and care must be taken to eliminate large chunks which can scratch
surfaces. A major problem with soaps is that metal ions such as the calcium
and magnesium which are found in hard water (high content of ionic material)
make the soaps insoluble and leave a residue. Therefore de-ionized water
should be used for detergent cleaning. There is a tendency for people to use
too much soap in a solution giving problems with rinsing and residues,
particularly if the solution is used cold. About 1 tablespoon of detergent per
gallon of water is generally sufficient.
The author has been told that a slurry of carbon black (from burning
acetylene) in de-ionized water mechanically abraded on a glass surface is very
effective in removing absorbed organic contaminants—I have no first hand
experience with this technique.

4.7 Chelating Agents

Chelating agents keep the normally insoluble phosphates that are


formed in hard water detergent cleaning in solution. Glass cleaning solutions
often use chelating agents such as ethylene diamine tetra-acetic acid (EDTA).

4.8 Solvent Cleaning

Hydrocarbon contaminants may be removed from surfaces by solvents


which dissolve the contaminants. Solvents may vary greatly as to their ability
to dissolve(solvate) contaminants, and their effectiveness needs to be known
by determining the “solubility parameter” for specific contaminants (if contam-
inate is known).[27]
Polar solvents such as water are used to dissolve polar contaminates
(ionic material) while non-polar solvents such as the chlorinated hydrocarbon
solvents, are used to remove non-polar contaminates (grease, rosin solder
flux, etc.). Often a mixture of solvents is used to solvate both polar and non-
polar contaminates.
Chlorinated hydrocarbon solvents are often preferred to hydrocarbon or
petroleum based solvents because of their low flammability (flashpoint),
though there is concern with the toxicity and carcinogenic properties of some
of these materials.
Chlorinated solvents may react with water to form acids. The acids
react with metals causing corrosion. Often stabilizers are added to the
chlorinated solvents to reduce their tendency to react with water (hydrolyze)
124 Deposition Technologies for Films and Coatings

and form acids. Examples of such stabilizers in trichloroethylene (TCE) are:


(i) 1,2 butylene oxide,(ii) cyclohexene oxide,(iii) para-tert-butyl phenol and(iv)
1-propanol. If stabilizers are not used, then the pH of the cleaner should be
monitored to keep a pH of 6 - 7 (IPC Test Method No. 2.2.30; ASTM-D-2989
“Acidity/Alkalinity of Halogenated Organic Solvents”). If there is a possibility
of solvent trapping which prevents complete rinsing, particularly in a stressed
metal joints, chlorinated solvents should not be used since residues will
enhance stress corrosion in those areas. Cleaners containing chlorine-based
oxidants may present the same problem.
Chlorinated halogen solvents are coming under increasing scrutiny as to
their toxicity. Stringent exposure levels are being imposed by OSHA/EPA and
it is anticipated that they will get even more stringent.
Solvent properties to be considered include:
1. Suitability for application technique (spray, vapor degrease,
recycling, etc.).
2. Selective solvency (solubility parameter)—ability to solvate the
contaminants of interest.
3. Wetting characteristics—depends on viscosity and surface ten-
sion. Allows the solvent to wet surfaces and displace soils 17.2
to 21.4 dynes/cm3 for Freon™ solvents.
4. Miscibility with other solvents (to generate solvents for particular
applications)—azeotropes = constant boiling point mixture of two
or more components, i.e., composition of vapor is the same as
the liquid.
5. Safety and environmental concerns—flammability, toxicity
(breathing, contact) carcinogenicity, effect on the ozone layer,
OSHA and EPA regulations present and future.
6. Stability—thermal and chemical, nonreactive with parts to be
cleaned (chlorocarbon and alcohol solvents may react with Al,
Mg, Be, Zn [white metals] to form inorganic salts which give
residues etc). Photochemical stability. Solvents may leach
materials from some container and piping materials.
7. Low energy requirements—low boiling points to give vapors
without high energy requirements (vapor degreasers), parts may
be handled immediately after cleaning.
8. High density—solvents displace soils and float them to the
surface of the cleaning system (e.g., 9.6 to 13 lb/gal for Freon™
solvents)(ASTM-D- 2111 “Specific Gravity of Halogenated Organ-
ic Solvents and their Admixtures”).
Surface Preparation 125

Typical solvent systems are:


1. DuPont Freon™ TF (trichlorotrifluoroethane)
2. Azeotrope mixture of TF with methylene chloride (50%) = Freon™
TMC (metal degreasing)
3. TF with ethanol (4%) and nitromethane (1%) = Freon™ TES (rosin
fluxes and ionic contaminates from solvent sensitive assemblies)
4. TF with ethanol (4%) = Freon™ TE (defluxing)
5. TF with acetone (11%) = Freon™ TA (broad range of solvency)
6. Blends of TF with methanol (6%) and nitromethane (0.25%) =
Freon™ TMS (deflux)
7. TF with anhydrous isopropanol (35%) + stabilizer = Freon™ T-P
35 (cold cleaning )
8. TF with ethanol (35%) = T-E 35 (organic and polar solvents).
This data is taken from DuPont solvent formulation data bulletin no. FST-
5. Other equivalent solvents and solvent blends are available. Caution: Freon™
with water (or alcohol which takes up water) will corrode aluminum, zinc, and
cadmium (white metals) if left in contact for a period of time; aluminum will take
fluorine from the molecule. Aluminum parts should be dried immediately,
preferably by vacuum bake, but at least hot-air-dried to minimize corrosion.
There is also a safety concern: extended breathing of halogenated solvents
can cause liver damage (like glue sniffing). These solvents must be used in
a well ventilated area such as a chemical hood.
Elevated temperatures are often used to increase detergent, solvation,
and etching activities. This is often done using immersion heaters (materials
must be compatible) or externally heated tanks.
Abrasives may also be used in conjunction with solvents to loosen
contaminants from the surface.
Application methods of solvent and fluid type cleaning techniques
include: (i) soaking,(ii) mechanical scrubbing,(iii) mechanical agitation,(iv)
spraying (low and high pressure), (v) vapor condensation (vapor degreasing),
(vi) hydrosonic agitation 2 Hz - 20 kHz),(vii) ultrasonic (20 - 60 kHz) agitation
(cavitation) and(viii) megasonic agitation (850 - 900 kHz) (pressure wave).
In mechanical scrubbing, lint-free, de-sized cloths make good toweling
(sizing can be removed by multiple washings). For brushes, there is a variety
of materials including: camel hair, mohair, polypropylene, Teflon™ and
nylon. In semiconductor technology, mechanical scrubbing combined
126 Deposition Technologies for Films and Coatings

with high pressure fluid jets (2000 - 3000 psi) is a standard cleaning
procedures.
Spraying may be performed at low pressure (50 psi) or at high pressure
(1000 psi). Spray systems often use copious amounts of material so the liquid
is usually recycled. This means that after the fluid becomes contaminated
above a certain level it must be replaced. With increasing concern about
solvent vapors, many of the newer systems are self-contained with condens-
ers to trap the vapors and allow them to be recycled. Some systems allow the
purification of the solvents by distillation.
Vapor degreasers operate by putting a cold part in hot vapor above a vapor
degreaser “sump”. The solvent condenses on the surface and flows off into the
sump. Cleaning action only occurs during the condensation process, and
when the part reaches a temperature where the solvent doesn’t condense,
cleaning stops and the part should be removed. Parts should never be
immersed in the sump fluid. Fluid in the sump should be changed when it
becomes contaminated. Figure 3.2 shows a schematic of a typical old-style
industrial degreaser for cleaning large parts either by spraying or by vapor
degreasing. This type of system allows the escape of vapors and is becoming
increasingly undesirable.

Figure 3.2. Industrial vapor degreaser with spray wand.


Surface Preparation 127

Ultrasonic cleaning[28] relies on the jetting action of collapsing cavitation


bubbles to give a high pressure jet of fluid against a surface. The cavitation
bubbles are formed by the tension wave portion of an ultrasonic wave in a fluid
media. The ultrasonic wave is produced by a transducer typically operating
at 20 - 40 kHz at about 100 watts/gal of fluid. The cavitation nature (size of
bubbles) of the fluid depends on its vapor pressure and temperature (e.g., 3
microns for water at 60°C at 40 kHz). The jet pressure may be as high as 300
psi. The colder the media, the more energetic is the cavitation jetting. The
bubbles nucleate in the fluid or on a surface. With a fixed frequency
transducer, nodes and antinodes are formed (standing waves) which give
variations of cavitation in the fluid. In order to overcome this effect, swept
frequency generation is used with one system at 40 kHz ± 2 kHz. (Frequency
modulation at full amplitude is best for sweeping frequency). If frequency
sweeping is not used, the parts should be moved from one region to another
in the tank.
Variables in ultrasonic cleaning include:
Nature of the transducer fluid (density, vapor pressure)
Temperature of fluid
Gas content of the fluid (function of degassing of fluid and
entrainment with parts)
Energy of cavitation implosion (temperature, pulse height of
ultrasonic wave)
Average cavitation density (volume or surface) with time
Average cavitation density with position in tank
Shape of the ultrasonic pulse
Nature of ultrasonic cycle train (“quiet time”, “degas time”, cycles
per train)
Ultrasonic cleaning has to be used with care since the jetting action
caused by the collapsing gas bubbles on the surface can cause erosion
and introduce fractures in the surface of brittle materials, leading to poor
adhesion. For example: in high power laser applications it has been shown
that improper ultrasonic cleaning increases the light scattering from the
surface, indicating surface damage or possibly surface roughening. Also
ultrasonic agitation has been shown to create particles by erosion of the
container surface, with stainless steel giving 500 times as many particles
as Pyrex™ glass. In all cases studied, particles of the container were
produced. Resonance effects may also damage some parts in an ultrasonic
128 Deposition Technologies for Films and Coatings

cleaner.[29] Surface damage can be controlled by adjusting the energy


density of the jets or controlling the time of application.
The ultrasonic cavitation may be generated by magnetostrictive or
electrostrictive transducers. The power may be from 500 watts for a small
model (5 gallon) on up to very high powers. Ultrasonic erosion of aluminum
foil (or an aluminum metallized glass surface) may be used as an indication
of the cavitation power to which a surface is exposed in the ultrasonic
solution.[30] A general rule is that ultrasonic cavitation will generate 10 holes
in a 1 X 2 inch aluminum foil of 2 mils thickness in 10 sec. The cavitation ability
is dependent on how well the energy is coupled to the fluid.
Fixturing is very important in ultrasonic cleaning to insure that all
surfaces are cleaned. Parts should be held parallel to the stress wave
propagation direction. Energy absorbing containers, such as polyethylene or
TeflonTM beakers and fixtures, should not be used since they absorb the
ultrasonic energy.
Hydrosonic cleaning utilizes hydrodynamic rather than electric genera-
tion of the fluid pressure waves.[31][32] The megasonic agitation system is
applicable to smooth surfaces, particularly for removing particles, but doesn’t
work on configured surfaces since the pressure wave is easily shadowed.

4.9 Oxidation Cleaning

Oxidation cleaning relies on the formation of volatile or soluble oxidation


products. If non-soluble products result from oxidation (e.g., silicone to silica)
then a residue may be left on the surface. Oxidation cleaning may be used
for surfaces that are normally oxides (glass, ceramics, metals that form
coherent oxides) or that don’t oxidize (gold).
High temperature oxygen or air fire is an excellent way to clean surface
that can withstand high temperatures. For instance, to clean Al2O3, air fire
the material to 1000°C then remove it while still warm (to prevent moisture
condensation) and place in container. In thermal oxidation, the type of
contaminate may be determined by monitoring the selective oxidation
products as a function of temperature.
Oxygen (or air) plasmas are very effective in removing hydrocarbons and
absorbed water vapor from surfaces.[33] However the oxygen plasma may
oxidize materials, which may be undesirable. Where oxidation is a problem,
hydrogen plasmas may be used to remove hydrocarbons and adsorbed water
from surfaces.
Surface Preparation 129

The use of oxidation by ultraviolet radiation, which generates ozone and


causes bond scission of the hydrocarbon contaminates (UV/O3 cleaning) has
greatly simplified the production, storage and maintenance of hydrocarbon-
free surfaces.[11][12] UV/O3 exposure also allows the controlled thin-layer
oxidation of surfaces such as silicon and silicon-germanium alloys. In a
typical UV/O3 cleaning/storage chamber, the UV is provided by a mercury
vapor lamp in a quartz envelope so that both the 1849 Å and the 2537 Å
radiation is transmitted. The radiation intensity is 1 - 10 milliwatts/cm2 at the
substrate surface. The chamber is of aluminum with no organic seals, and in
a correctly operating system, ozone can be smelled when the chamber is
opened (10 ppm ozone). The temperature in the chamber is typically 150°F
during the cleaning operation. A heater may be used to decrease the
possibility of moisture condensation when the chamber is open. Typical
exposure times for cleaning are from a few minutes to remove a few
monolayers of hydrocarbon contamination to hours, days, or weeks for
storage of cleaned surfaces. The UV/O3 cleaning technique is also useful for
cleaning holes (vias) in surfaces.[34] Caution: when there are corrosive agents
(or materials that can decompose into corrosive agents, e.g., Freon™) in the
atmosphere, we have found that the UV/O3 greatly enhances the corrosion
rate. For instance, a little chlorine in the atmosphere causes stainless steel
to rapidly corrode.
Hot (115°F) concentrated sulfuric acid plus ammonium persulfate is an
excellent oxidizing cleaner. The addition of the ammonium persulfate (solid)
to the hot sulfuric forms an unstable compound that decomposes releasing
ozone. The ammonium persulfate should be added just prior to the immersion
of the substrate into the solution. This treatment is sometimes followed by a
brief dip in a 10:1 solution of water and HF or immersion for 20 minutes in a
solution of hydrogen peroxide and ammonium hydroxide.

H2 O : H2O2 (30%) : NH4 OH (29%) at 80°C

A hot chromic-sulfuric acid cleaning solution prepared from potassium


dichromate and sulfuric acid provides free oxygen for cleaning but has a
tendency to leave residues unless rinsed very well.

K2 Cr2O7 + 4H2SO4 → K2SO4 + Cr2(SO4)3 + H2O + 3O

Boiling hydrogen peroxide (30%) is a good oxidizing solution. Unstabi-


lized H2 O2 must be used, and it should be stored in a refrigerator to slow
130 Deposition Technologies for Films and Coatings

decomposition. Hydrogen peroxide is sometimes used with ammonia with a


ratio of 8 (H2O2) : 1 (NH3) : 1 (H2O). Caution: 30% H2O2 is extremely reactive
so it must not contact oxidizable materials such as organics.
Oxidation cleaning may be performed using chlorine-containing chemi-
cals. For example, a slurry of sodium dichloroisocyanurate (pool chlorine—
63% available chlorine) in water may be used to scrub an oxide surface to
remove hydrocarbon contamination.

4.10 Volatilization Cleaning

Heating volatilizes some surface contaminates such as water. This


technique can often give problems because it may pyrolyze hydrocarbons into
carbonaceous forms which are then very difficult to dissolve. The temperature
may also cause changes in the surface composition and morphology. The
surface composition may change due to volatilization of a constituent or by
segregation of a bulk constituent to the surface.
Thermally driven surface segregation can be greatly influenced by the
nature of the environment (vacuum or reactive gas). Ga from GaAs surfaces
may be thermally etched to give improved electronic properties at the resulting
film-substrate interface.[35] In the case of some glasses, high temperatures
tend to cause particles of oxidized glass constituents to form on the surface.
Thermal treatment of silicon to >700°C removes the oxide but the surface
begins to vaporize and form surface features.[36]
Thermal cleaning is used to clean porous surfaces by increasing the
surface diffusion of the contaminate from the subsurface regions to the surface
where it can be removed.

4.11 Hydrogen Reduction Cleaning

Hydrogen reduction of oxide layers may be used to clean surfaces in a


furnace environment. Figure 3.3 shows the stability of a number of metal
oxides at various temperatures and varying dew points of the hydrogen. Note
that, depending on the dew point and the temperature, a hydrogen furnace can
be either reducing or oxidizing! In some cases forming gas (90% N2 , 10% H2)
is used instead of hydrogen since it is less explosive. Hydrogen reduction has
been used to clean the oxide from silicon surfaces at 900°C.
Surface Preparation 131

Figure 3.3. Metal-metal oxide equilibria diagram for hydrogen plus water as a
function of temperature.

4.12 Electrolytic Cleaning

Electroetching may be used to anodically remove metal from a surface


(along with contamination) and usually roughens the surface. The higher the
current density, the more roughening occurs. For stainless steel, the surface
is passivated by oxides (hydrated on the surface) at low potentials, while at
higher potentials, the surface is etched.[37]
Carbon fibers often have a weak surface layer and this layer may be
removed by anodically electroetching (oxidizing) the surface followed by
hydrogen firing. This treatment increases the strength of the carbon fiber and
improves the bond when the fiber is used as part of a composite material.
132 Deposition Technologies for Films and Coatings

Electropolishing removes material and smooths the surface.[38][39] The


smoothing action is due to protection of the flat areas by a deposited material
(usually a phosphate) and the preferential erosion of the peaks. Electro-
polishing leaves a surface film (phosphate) which has to be removed (hot water
scrub) to obtain a clean surface.

5.0 DRYING AND OUTGASSING

After fluid cleaning and rinsing it is important to dry the surface quickly
in order to prevent the liquid film from collecting particles. Drying may be done
by blowing the surface with filtered gas (from a liquid nitrogen tank) or by
displacing the water by a high vapor pressure solvent such as anhydrous
alcohol which dries rapidly. The best technique is an “alcohol vapor dry” where
the cold surface is immersed in the vapor above a heated anhydrous alcohol
sump. The cold surface condenses the alcohol vapor which flows off into the
sump taking water and particulates with it. When the surface becomes hot
condensation ceases and the hot part, when withdrawn, will rapidly dry. Spin
drying tends to leave liquid along the outside edges of the substrate which may
result in contamination of this area. If spin drying is used the part should be
flooded with copious amounts of ultrapure water during spinning.
Anhydrous alcohol, which displaces water and dries quickly, is one of the
best materials with which to wipe and flush surfaces—it leaves the least
residue; however it is not a very good solvent. Alcohol should only be used with
polyethylene gloves. Isopropyl alcohol (IPA) is most commonly used since
it requires no denaturant. Ethyl alcohol is generally more pure but requires the
use of denaturants. Alcohol is denatured to avoid tax and accountability.
Denaturants range from ethyl ether to kerosene (over 200 denaturants
allowed). Low residue denaturants include methanol (5% by vol.) and acetone
(10% by vol.). It is best to use pure (undenatured) alcohol if possible.
Anhydrous alcohols can take up water from the atmosphere and lose their
ability to displace water in the drying operation
Drying and outgassing is especially important for polymers and
porous materials which absorb solvents and water. It is often easier to dry
and outgas prior to placing the materials in a deposition chamber. The
usual technique is to heat the material (to some temperature that doesn’t
degrade it) in a vacuum (vacuum bake) or desiccated environment. A
common mistake is to vacuum bake the material for an insufficient time—often many
Surface Preparation 133

hours are necessary. The time-temperature-vacuum conditions necessary


to outgas the material can be determined by weight loss measurements.
Microwave energy may be used to heat polar molecules such as water
(also alcohols, aldehydes, ketones, amides, amines, nitrate, cyanides,
proteins, unsymmetrical halogenated hydrocarbons, and ionic solutions) as
long as there are no electrical conductors present. Microwave heating and
drying of such materials may be more effective than conventional thermal
heating.[40]

6.0 MONITORING OF CLEANING

The best monitoring techniques monitor those elements of the process


which are critical to providing a surface that can be further processed. The
testing of surface preparation such as cleaning will invariably result in
contamination of the surface, so tested surfaces can not be used for
subsequent processing. In some cases, sample surfaces may be tested for
certain properties in order to determine surface conditions. These tests
include(i) contact angle of a water drop (wetting angle),(ii) sheeting behavior
of a fluid draining over a surface, (iii) nucleation of moisture on a surface and
(iv) friction and adhesion tests.
A common check on the cleaning of a glass surface uses the contact
angle of a water drop on the surface of the cleaned glass. If the surface has
no hydrophobic contamination (oil, hydrocarbons, silicones, etc.) the water
will wet and spread over the surface giving a contact angle of <5° as measured
with a contact angle goniometer. This technique must be used with some care
since, if a hydrophilic contaminant such as a soap residue is present, the
contact angle will be low even though the surface is contaminated.
If a glass surface is clean, water will sheet over the surface without
breaking up to avoid areas of contamination (water break test). Observation
of this sheeting during the rinsing operation is a check that an experienced
operator can use in the cleaning process. If the water film breaks up, then the
surface is not clean. This breaking up into “legs” is how a wine taster judges
the viscosity of a wine (i.e., they need a dirty glass).
If you breathe on a clean glass surface, the moisture will condense
uniformly over the surface giving the “black breath figure”.[41] You can see this
effect in your bathroom where condensing moisture shows up the dirt swipes
on the mirror.
A clean glass surface has a high coefficient of friction (“squeakyclean”).
134 Deposition Technologies for Films and Coatings

7.0 IN SITU CLEANING

In situ cleaning is done in the deposition system and is intended to remove


the small amount of contamination that has developed since the primary
cleaning process. Some of the cleaning processes that have already been
described are applicable to in situ processing but others are more desirable.
In situ cleaning in an electrolytic environment may be done by etching or “off-
plating” by making the surface an anode of an electrolytic cell. In situ cleaning
techniques for vacuum or plasma processing include:
! Oxygen plasma cleaning
! Hydrogen plasma cleaning
! UV/O3 cleaning
! Volatilization
! Ion scrubbing
! Sputter cleaning
! Reactive plasma etching (RPE)
! Reactive ion etching (RIE)

7.1 Ion Scrubbing

Ion scrubbing of a surface occurs when the surface is in contact with a


plasma and the plasma sheath potential accelerates low energy ions to the
surface with sufficient energy to desorb absorbed gases. The technique is
often supplemented with a reactive gas to give a version of reactive plasma
cleaning. The technique is widely used in the optical coating business for
substrate preparation in the vacuum deposition system (in situ cleaning) using
an air discharge.[41]

8.0 PLASMAS

Plasmas are gaseous media which contain enough ions and electrons
to be electrically conductive and generally volumetrically neutral. Energy is
introduced into the plasma by the acceleration of electrons in a DC, RF or
microwave field. These electrons then fragment, excite, and ionize particles
by collisions. A processing plasma is one that is used in processing a
material. In a processing plasma, the volume density of the various gaseous
Surface Preparation 135

species and their energies depend on a number of factors including: method


of generating the plasma, processing parameters, and system geometry. In
a processing system, the local plasma densities and properties may vary
significantly due to electrode configurations, presence of surfaces, and other
geometrical factors.
In cleaning processes, the substrate may be in plasma generation
chamber or may be exposed to the plasma in a “downstream” location(remote
plasma processing). In the plasma chamber the substrate may be on a driven
electrode or placed where it is only exposed to the plasma, hence acts like
a wall, though it may be exposed to a variety of plasma-related effects such
as induced bias, electron bombardment, and energetic neutral bombardment.
The downstream location avoids many of these plasma-related effects.
Plasma discharges may also be used as a source of ion beams where ions
are extracted from the plasma chamber and accelerated to a high energy
by using a grid extraction system. Beam intensities are limited by the
extraction grid.

8.1 Generation of Plasmas

In plasmas used for plasma processing, the electron energy is increased


by acceleration in electric field gradients. The most typical configurations for
generation of plasmas are: (i) DC diode discharge, (ii) RF (radio frequency)
discharge,(iii) electron emitter sustained discharge,(iv) magnetron enhanced
discharge,(v) microwave discharge,(vi) vacuum arcs, and (vii) plasma arcs.
Figure 3.4 shows a schematic of some of these plasma generation configu-
rations.
DC Diode Discharge. The DC diode configuration consists of an anode
and a cathode immersed in a low pressure gas. At the cathode, the cathode
potential (-) attracts ions from the edge of the plasma region, and they are
accelerated across the cathode fall region to impinge on the cathode (target).
The cathode fall region, which surrounds the cathode, is where most of the
potential drop in a DC discharge is to be found. The region between the
edge of the cathode fall region and the anode is the plasma region where
there is little potential drop. In the DC discharge, energetic particles (ions
and neutrals) impinging on the cathode (target) cause the ejection of
secondary electrons which are then accelerated across the cathode fall
region and create ions which sustain the discharge process. The
secondary electron emission coefficient of a surface depends on the
chemical nature and morphology of the surface. Oxides typically have
higher electron emission coefficients than do metals. The secondary
136 Deposition Technologies for Films and Coatings

Figure 3.4. Plasma generation configurations: (a) DC diode, (b) DC diode with
permanent magnets giving a planar magnetron (c) RF plasmas with planar
electrodes immersed in the plasma, electrodes external to a dielectric wall and a
coil immersed in the plasma, (e) electron emitter (thermoelectron) with magnetic
confinement and (e) microwave cavity.

electrons can be accelerated to high energies and impinge on the anode or


other surfaces in the system. This can give rise to extensive heating of
surfaces (substrates) in the system. The DC discharge requires a relatively
high gas pressure (> 10 microns argon).
In the cathode fall region, some of the ions may be neutralized by
charge exchange processes which give rise to energetic neutral particles
which are not affected by the applied electric field. The result is fluxes of
Surface Preparation 137

energetic ions and neutrals with a spectrum of energies that bombard the
cathode.
In order to sustain a discharge, the secondary electrons must create
enough ions to compensate for losses. If the anode or ground surface is
brought too close to the cathode, the discharge is extinguished. This effect
can be used to confine the DC discharge to areas of the cathode surface where
bombardment is desired—other areas may have the bombardment prevented
by having a ground shield in closed proximity to the surface. The Paschen
curve gives the relationship between breakdown voltage and the minimum
anode-cathode separation in a gaseous environment. Insulator surfaces
cannot be used as cathodes in a DC diode configuration since charge buildup
on the surface will prevent ion bombardment.
In addition to causing the ejection of secondary electrons, high energy
ions and neutrals which impinge on the target (or other surfaces) cause the
physical ejection of surface atoms (physical sputtering) by momentum
transfer processes. The sputtered particles leave the surface at higher-than-
thermal energies but may be rapidly thermalized by collisions in the gas
phase. The sputtered particles may be scattered back to the target surface;
this effect is more prominent the higher the gas pressure. Some of the
energetic ions that bombard the cathode may be reflected as high energy
neutrals.
The electrical current measured in the DC cathode circuit is the sum of
the charge due to the ion flux to the target and the secondary electron flux away
from the surface. Therefore, the cathode current density and cathode voltage
do not specify the flux and energy of the impinging ions. However these
measurements (along with gas pressure and gas flow) are typically used to
specify the plasma parameters in DC diode plasma processing.
Typically a DC diode discharge plasma is “weakly ionized” with many
more neutral particles than ions (104-107 : 1). It will also have a low electron
temperature and an even lower ion temperature. If molecular gas species are
present in the discharge, many radical species will be formed in the plasma
and they will generally greatly outnumber the ions.
Any surface in contact with the plasma will be subjected to a flux of ions,
neutrals and electrons. A sheath potential will be developed because of the
greater mobility and energy of the electrons as compared to the ions. This wall
potential (typically 3 - 10 volts) will accelerate ions from the plasmas, giving
rise to ion scrubbing of the surface.
In plasma processing, the DC diode configuration has many advantages:
(i) a rather uniform plasma can be generated over large areas; (ii) power
138 Deposition Technologies for Films and Coatings

input (watts/cm2) can be very high;(iii) the power supplies are rather simple,
inexpensive and powerful; (iv) process reproducibility can be attained by
controlling the geometry, gas pressure, and target power (current and
voltage); and(v) sputtering of surfaces may be used as a source of depositing
material. It also has some disadvantages: (i) surface geometries can result
in focusing effects giving non-uniform bombardment; (ii) electron heating of
surfaces can be extensive; and(iii) insulating surfaces cannot be bombarded.
RF Discharge: At high frequencies in a capacitively-coupled discharge,
the electrons oscillate in the changing field thus gaining energy, and by
collision with atoms, create ions and more electrons. Typical RF power
supplies operate at 13.56 MHz (USA industrial frequency) with peak-to-peak
voltages of greater than 1000 volts. The plasma acts as a low density electrical
conductor and the RF field penetrates quite some distance into the plasma.
When the driven RF electrode is a conductor, the surface is bombarded by ions
from the plasma during the half-cycle that the electrode is negative.
If the surface of the RF electrode is an insulator (backed by a conductor),
the metal-insulator-plasma acts as a capacitor and the surface potential that
appears on the insulator surface alternates between a low positive potential
(because the electrons have a high mobility) and a higher negative potential
(because the ions have a relatively low mobility). Ions are extracted from the
RF plasma during the negative portion of the cycle and bombard the insulator
surface. The RF potentials in the plasma can be determined using capacitive
probes. The ion energies bombarding a surface may be determined using a
sampling orifice, a retarding grid and a mass spectrometer.
In capacitively-coupled RF discharges, the plasma potential, hence the
sheath potential at the electrodes, can have a time-varying value of tens to
hundreds of volts. When the electrodes have different effective areas, the
plasma potential can also have a large DC potential with respect to one or more
of the electrodes. These factors affect the distribution of ion energies incident
on the electrode surfaces in an RF discharge. Small area electrodes will attain
higher voltages than large area electrodes and the electrode potentials can be
varied using external capacitance in the circuit.
The amount of energy that is coupled into the RF discharge depends on
the impedance matching (reflected power) and coupling losses to other
surfaces (stray losses). In RF plasma processing, it may be important to
determine just how much energy is actually being coupled into the
plasma.[42] RF power may be coupled to the plasma using metal electrodes
Surface Preparation 139

external to a dielectric wall, or the RF plasma may be excited using immersed


electrodes. Very high plasma densities and ionization can be attained in RF
driven plasmas and the discharge may be established at lower pressures than
the DC diode discharge.
Surfaces immersed in an RF plasma will assume a self-bias. This bias
depends strongly on the surface areas and configurations. Often the value of
the self-bias is not known (or controlled) and can vary within the system, giving
anisotropic bombardment effects.
In plasma cleaning, the RF discharge has the advantage that insulating
surfaces or insulating films can be bombarded by applying an RF potential.
Disadvantages are: (i) high power inputs (heating) to insulating materials
cause cracking; (ii) electrode geometries can cause problems with coupling
to the RF power;(iii) there are many sources of RF power loss in systems;(iv)
plasma uniformity is difficult to obtain over complex surfaces; and (v) the bias
conditions on surfaces in the RF plasma are variable and often difficult to
control.
Microwave Discharges. Plasmas can also be excited at much
higher frequencies, 300 MHz to 10 GHz, where electron cyclotron
resonance coupling gives more efficient ionization.[43] Ionization can be as
high as 20% in a such a microwave discharge. Microwave plasmas are
most often used in the downstream processing configuration since
substrates in the microwave cavity can “detune” the system.
Electron Emitter Discharge. In the DC diode and RF plasma
configurations, the electrons necessary to sustain the plasma are pro-
duced in the plasma. When using electron emitters, the electron source
is independent of the plasma processes. Common electron emitters are
hot thermoelectron emitting cathodic surfaces and hollow cathodes. For
example: LaB6 surfaces can give an electron emission of >20 A/cm 2 at
1700°C. [44] Often the electrons are confined by a magnetic field (50 - 500
gauss) directed along the anode-cathode axis. The magnetic field
increases the electron path length in its movement from the cathode to the
anode by causing the electron to spiral in the magnetic field. This
increases the ionization efficiency of the electron. The ions in the plasma
may be extracted using an electrode at a DC or RF potential to give
bombardment of a surface (triode configuration). The triode configuration
suffers from a nonuniform plasma density along its axis which gives nonuniform
bombardment and a density variation in activated species over a large biased
surface. The thermoelectron emitter system is very amenable to forming dense
plasmas and for application to downstream processing. By applying highmagnetic
140 Deposition Technologies for Films and Coatings

fields, the plasma (ions and electrons) may be confined and steered into a
processing chamber.
In plasma processing, some of the advantages of the electron emitter
configurations are:(i) the flux of electrons is independent of other plasma and
electrode processes; (ii) very high plasma densities can be attained; iii) the
plasma properties can be controlled by controlling the electron emission; and
(iv) the electron beam can be used as a source for thermally vaporizing
material. Disadvantages are:(i) need for well controlled and long life electron
emitting sources, and(ii) plasma non-uniformity over large areas and complex
surfaces.
Low strength (50 - 500 gauss) magnetic fields may be used to confine the
electrons and increase their path length in any plasma system. There are a
number of ways to establish magnetic fields in plasma chambers including:
(i) internal permanent magnets,(ii) external permanent magnets, (iii) external
electromagnets, and (iv) moving magnets. Permanent magnets have the
advantage that they may be placed in such a way as to position the field lines
in a desirable manner; however, getting a uniform magnetic field over a large
or complex surface is difficult.
Magnetron enhanced plasma configurations have many advantages
including: (i) confining the plasma to a small region, (ii) increased ionization
and plasma density, (iii) may be operated at low pressures where gas phase
collisions are reduced. Disadvantages include:(i) non-uniform magnetic fields
give non-uniform plasma generation; (ii) isolation of the plasma to a small
region of the processing chamber requiring auxiliary plasma sources in some
applications; and (iii) low pressure processing can give rise to a flux of high
energy reflected neutral which may affect the processing in an undesirable
manner.

8.2 Plasma Chemistry

Plasma is a very energetic environment and many chemical processes


can occur.[45]-[47] The principal chemical processes are: (i) electron impact
ionization, (ii) dissociation (fragmentation) of molecules (formation of radi-
cals), (iii) Penning ionization (metastable collision),(iv) dissociative electron
attachment, (v) electron attachment, (vi) excitation,(vii) momentum transfer
collisions, (viii) de-excitation of excited species, and (ix) recombination
(neutralization). As an example of the complexity of plasma chemical
processes, consider that there can be 24 reactions and 16 species formed by
the decomposition and reaction of CF4 in a plasma.[48]
Surface Preparation 141

As an example of Penning ionization, consider argon which has


metastable excited states of 11.55 and 11.75 eV and copper which has an
ionization energy of 7.86 eV. Thus a copper atom colliding with a metastable
argon atom is easily ionized. Metastable atoms may be very effective in
ionizing other species by collision.
Many of these processes are characterized by “cross sections” for
collision processes and threshold energies for attachment processes. For
example, CF3Cl has a high cross section and low threshold energy (2 - 3 eV)
for electron dissociative attachment. CF4 has a low cross section and high
threshold energy (5 - 6 eV) for electron dissociative attachment and CCl4 is not
activated at all by electron attachment. Therefore CF3Cl is much more easily
fragmented and ionized in a plasma than is CF4 or CCl4 . The degree of
ionization, dissociation and excitation of the species depends strongly on the
gaseous species, electron energy, and density in the plasma. Generally
there is much more dissociation than there is ionization of molecular species.
Many of these plasma processes serve to activate gas species, i.e., to
make them more chemically active by dissociation, ionization, or excitation
(plasma activation).
Plasma discharges are very effective in desorbing contaminates (e.g.,
H2 O) from surfaces in a plasma processing chamber. These impurities are
activated in the plasma and may contaminate the depositing material.
A number of techniques may be used to determine plasma properties.[49]
Optical emission is the most common. Actinometry compares the emission
interactions of the excited states of reference and subject species to obtain
the relative concentrations of the ground states. Optical absorption tech-
niques may also be used to characterize the gaseous species and tempera-
ture in a gas discharge. Electron and ion densities in a plasma may be
measured by the use of small area Langmuir probes.

8.3 Bombardment Effects on Surfaces

The physical effects of energetic particle bombardment on surfaces and


depositing films is very dependent on the mass, flux, and energy of the
bombarding particles, the flux of non-energetic particles (i.e., depositing or
absorbing species), and the atomic mass and chemical nature of the
bombarded surface. In many cases the fluxes of impinging particles are not
determined or controlled except by the processing parameters.
Figure 3.5 depicts the effects of bombardment by energetic species
(not electrons) on the surface and the subsurface region. Surface effects
142 Deposition Technologies for Films and Coatings

include: (i) desorption of weakly bonded surface species, (ii) ejection of


secondary electrons, (iii) reflection of the energetic species as high energy
neutrals, (iv) sputter ejection (physical sputtering) of surface atoms by
momentum transfer through collision cascades, (v) sputtering and redeposi-
tion of sputtered species by collisions in the gas phase, ionization and
acceleration back to the surface and byforward sputter deposition due to the
ejection angle on a rough surface, (vi) enhanced surface mobilities of atoms
on the surface, and (vii) enhanced chemical reaction of impinging and
adsorbed species to produce condensed species (“reactive deposition”) or
volatile species (etching). In the subsurface region:(i) the impinging particles
may be physically implanted (ii) the collision cascades cause displacement
of lattice atoms and the creation of lattice defects, (iii) surface species may
be recoil-implanted into the subsurface lattice, (iv) mobile species may be
trapped at lattice defects, and (v) much of the particle kinetic energy is
converted into heat. Lattice channeling processes can carry these effects
deeply into the surface.

Figure 3.5. Schematic depiction of the energetic particle bombardment effects on


surfaces and growing films.

The desorption of weakly bound surface species is important to plasma


cleaning and may be used to reduce the incorporated contaminants in
deposited films. The desorption may also be useful in desorbing unreacted
species in reactive deposition processes giving rise to more stoichiometric
and chemically stable deposits.
Surface Preparation 143

The physical sputtering of a surface may lead to surface texturing to give


a roughened surface (e.g., Ref. 50, 51). Preferential crystallographic
sputtering will result in some crystalline orientations being etched at faster rate
than others (sputter etching). Preferential atomic sputtering can cause
changes in the chemical composition of alloy and compound surfaces.[52][53]
If a reactive species is used for bombardment, the surface may be etched if
the resulting chemical species is volatile (reactive ion etching, chemical
sputtering), or the surface may be converted to a compound if the chemical
species is not volatile.
Most of the bombarding energy goes into heating the bombarded
surface.[54]
The incorporation of bombarding species into the surface gives rise togas
charging which increases the chemical potential between this region and the
interior and thus the diffusion of the gas into the material. In hydrogen-
bombardment cleaning of a hydrogen-sensitive metal, the hydrogen must be
desorbed while the surface is hot.

8.4 Sputter Cleaning and Etching

Sputter cleaning uses physical sputtering to remove some of the surface


layer which includes contaminates. Sputter cleaning has been called the
universal etch since everything can be removed by the sputtering process at
approximately the same rate.
Sputtering from a plasma environment has disadvantages:
! Contaminates in the plasma become activated and can react
with the surface being cleaned.
! Sputtered species can be returned to the surface by scattering
(redeposition).
! Surface species can be recoil implanted into the surface.
! Sputtering may develop undesirable surface features.
! High voltages are used in the process.
! Bombardment from the plasma may electronically damage
semiconductor materials.
! Special equipment and fixturing may be required.
Low energy ion bombardment can be used to clean surfaces without
electronic damage.[3][4][55][56] The low energy ion bombardment can be
obtained from high pressure plasmas, downstream processing with low
biases, and with low energy ion beams.
144 Deposition Technologies for Films and Coatings

Ion beam sputter cleaning may be done in a vacuum environment where


the sputtered species are not redeposited on the substrate surface.
Reactive plasma cleaning/etching (RPE) uses a reactive species in the
plasma which reacts with the surface to form a volatile species which will leave
the surface (no concurrent ion bombardment of the surface).[57] Plasmas
containing reactive species are used in plasma etching (dry etching) and
“reactive plasma cleaning”.[58] Fluorine (from CF4, CHF3, C2F6 , C3F8 and SF6)
and chlorine (from Cl2 , CCl4 and BCl3 ) are the most widely used reactive
gases. Oxygen is often added to the fluorine system to promote the formation
of atomic fluorine and thus increase the etch rate. One of the most common
gas mixtures is 96% CF4 with 4% O2. Helium is often added as a diluent and
to increase the thermal conductivity of the plasma hence reducing the
temperature rise of the surface. The reactive plasma technique is typically
specific and may be selectively used to take the oxide from the substrate
materials and then etch the substrate material at a low rate. Numerous gases
and gas mixtures are available for RPE.[59]-[61]
Examples of plasma etching (cleaning) of aluminum with various gases
are: Al etched with Cl2, BCl3, CCl4 and SiCl4.[62] The BCl3 removes the oxides,
others don’t do very well on oxides. (BCl3 is a good scavenger of H2O and O2
in the plasma system; it produces condensible material—B2O3). If Cu is
present in the aluminum there will be a CuCl2 residue which may be volatilized
by heating above 200°C. Most metals are most easily cleaned using fluorine
gas (because the products are more volatile) rather than chlorine. Caution:
etching and cleaning with compound gases should be done with caution since
the decomposition products (B,C,Si) may react with or deposit on the surface,
thereby changing the chemical composition or contaminating the surface.
When using a carbon containing chemical (e.g., CCl4, CF3) in the
plasma, a residual carbon contaminate remains—using of HCl or SF6 avoids
this problem. Exposure to reactive plasmas may leave a reacted/chemisorbed
layer of halogen species. This layer may be very important to the sensitization
of the surface to atomic nucleation, or the wettability of organic species to a
surface. For instance, the NH4 plasma treatment of Ti gives good adhesion
when coated with an amine epoxy. Reactive plasma etching of silicon in CCl4
plasmas has been reported to give a very thin fluoride layer that passivates
semiconductor surfaces to oxidation.
Oxygen plasmas can be used to reactively remove materials that have
volatile oxidation products (e.g., C, hydrocarbons). Hydrogen plasmas can
be used to remove materials with volatile hydrides (e.g., C, Si, hydrocarbons).
Surface Preparation 145

In reactive ion etching (RIE), ion bombardment of the surface is used


to add energy and secondary electrons to the depositing/etching surface
environment. It has been shown with RIE of silicon that carbon residue limits
the rate of etching; when etching oxides, the oxygen prevents the formation
of the carbon layer and higher etch rates result.[63] In RIE of silicon, the residue
that remains on the surface must be removed by a postdeposition treatment
of low temperature oxygen annealing.[64] Carbon residuals, when using
carbon-containing etchant gases, have also been found in the reactive plasma
cleaning of metals where the problem was avoided by using HCl as the etching
gas.[58] Typically RIE introduces less surface damage in semiconductor
materials than does sputter etching[65] but more than does RPE.
The use of ion bombardment with a molecular beam of the etchant gas
in vacuum (bombardment enhanced chemical etching) allows reactive clean-
ing to be used in a vacuum environment.[66] It has been shown that
bombardment does increase chemical reactivity at a surface although the
mechanism is not well defined.[67] For instance the role of absorbed reactive
species, which are subjected to the bombardment, has not been determined
and this effect will be different in a plasma environment than in a vacuum
environment. Secondary electron emission may play an important role in
chemical reactions on a bombarded surface.
Plasma etching is used for pattern delineation in semiconductor wafer
fabrication, particularly VLSI fabrication. In RIE, electric fields direct ions
normal to the surface and etch anisotropy can be obtained. This anisotropy
can be used to etch steep-walled features but can be a problem on non-
planar surfaces where off-normal surfaces are etched slowly. A major concern
in plasma etching is the etch selectivity which determines the ability to stop
or significantly slow down the etching process when materials change (SiO2
on Si).
Plasma etching was introduced into the semiconductor industry in the
mid-70s in the form of batch reactors, namely barrel reactors (or volume-
loading or tubular reactors)[68] and parallel-plate reactors (diode, Reinberg
reactors, surface-loading reactors), and more recently, the “HEX” reac-
tors.[69] These reactors hold a number of wafers and are available in a large
number of configurations and plasma generation techniques, ranging from
planar diodes to triodes to magnetrons. In barrel reactors the etching is
due to the activated species, and electric fields (with their associated bias)
are often eliminated by the addition of a conducting etch tunnel around the
wafers which confines the plasma generation to the region between the
146 Deposition Technologies for Films and Coatings

tunnel and the reactor walls. The etching in a barrel reactor with an etch tunnel
depends on long-lived activated species and the etching is isotropic.
To achieve high reaction rates, one needs high plasma densities and a
large number of reactive species at reasonable power densities. These
characteristics can be increased by increasing the electron-atom collision
probability by:
! Short mean free paths (diode)—“high” pressures (<1 torr)
! Auxiliary electron source (triode)—low pressures (0.01- 0.2
torr)
! Increased path length (magnetron)—very low pressures(<0.01
torr)(Hill)
! Microwave plasma excitation
Flow uniformity is extremely important to etch/cleaning uniformity. Gas
is typically introduced through a series of orifices or in some cases porous
diffusers (though these may clog up easily). Large orifices allow high pressure
regions and electron trapping that give local high density plasma that affect
uniformity.
In high pressure reactors, the electrode spacing is small and plasma
uniformity is difficult to obtain. Various techniques are used to hold the plasma
over the driven electrode. The use of guard rings on the edge of the driven
electrode is the most common technique.
In low pressure plasma reactors, an auxiliary electron (or plasma) source
is used to sustain the plasma and allow the decoupling of the plasma source
and the driven electrode. This allows more process variation to be used.
Electrode spacing is larger but the non-symmetry of the plasma may make
plasma uniformity difficult to achieve, particularly when varying process
parameters. The higher plasma densities may also increase the plasma
sheath potential giving rise to increased radiation damage of the wafer, but it
provides more directional ion bombardment therefore better directional etch-
ing.
In very low pressure reactors, the use of the magnetic fields at high
plasma densities results in a lowered plasma sheath potential so a biased
electrode may be useful.
The reactive etching/cleaning process gives volatile species which may
be deposited in other parts of the system under different conditions and may
have a detrimental effect on the gas handling/pumping system, and may be
a source of particulates in the etching system.[70]
Surface Preparation 147

9.0 STORAGE AND HANDLING

An integral, and often neglected aspect of surface preparation is that of


handling and storage before the next processing step or usage. Handling and
storage during processing and after cleaning is a major source of recontam-
ination. It is not unusual to see someone put a carefully cleaned substrate into
a plastic bag where it is contaminated by the polymer.
Storage should be in a non-recontaminating (particles, vapors) environ-
ment. Non-contaminating environments may be passive or active. Passive
environments are those such as carefully cleaned glass containers—possibly
evacuated and desiccated. Active environments are ones where the contam-
inants are continually removed by adsorption or oxidation. Adsorption can be
on freshly oxidized aluminum or activated carbon (particulate problem).
Oxidation may be done using the UV/O3 cleaning cabinet described in Sec.
4.9. This is by far the best technique for storing surfaces where surface
oxidation is not a problem.
The materials used for storage are very important. Storage should
generally not be done in a plastic container since recontamination can occur
from vapors, physical contact, abrasive transfer or the diffusion of moisture
through the polymer. If polymer bags are to be used, and moisturepermeation
is a problem or potential problem, the best ones have a metal foil laminated
between two layers of polymer. Most paper products are acidic and can be
corrosive. Paper products may have also absorbed corrosive gases from the
environment. Many polymer sheet products have antistatic coatings on the
surface; these antistatic coatings are often hydrophilic electrolyte materials
which may be corrosive. Polymers may have plasticizers in them that will
volatilize and contaminate surfaces. Polyvinyl chloride (PVC) can breakdown
and form hydrochloric acid. Most adhesives have corrosive components.
Cloth has “sizing” on the surface of the fibers to aid in weaving and this may
be transferred to parts wrapped or handled with the cloth. Aluminum foil
generally has a layer of oil on the surface.
Materials that may be suitable for storage of surfaces that are extremely
sensitive to corrosion are:
! Cleaned glass containers
! Cleaned metal containers
! Cleaned aluminum foil
! Desized cloth (desize by multiple washing)
! Acid-free paper products—buffered or non-buffered
148 Deposition Technologies for Films and Coatings

! Polyester polymers (e.g., Mylar™) (no plasticizers, no antistat-


ic coating), Polyester laminate (aluminum foil between plastic
sheets - reduces moisture permeation)
! Polypropylene (no plasticizers, no antistatic coating)
! Polyethylene (no plasticizers, no antistatic coating)
! Methyl cellulose glue (neutral pH, high water content)

10.0 ACTIVATION AND SENSITIZATION

Activation of a surface means making the surface more reactive without


the addition of material to the surface. For example, activation in electroplating
may be the removal of oxide layers by chemical or electrolytic treatments just
prior to insertion into the electroplating bath. Such activation is used for
plating: nickel-on-nickel, chrome-on-chrome, gold-on-nickel, silver-on-nickel,
nickel-on-Kovar™. For example: the acid cleaning of nickel by immersion into
an acid bath (20% by volume sulfuric acid) then transferring through the rinse
into the deposition tank, keeping the part wet at all times and minimizing the
transfer time.
Methods for activating polymer surfaces include:[71] corona discharges
(air), glow discharges (radiation, ion bombardment) (oxygen, fluorine, ar-
gon),[72] x-ray irradiation,[73] electron irradiation,[73] low energy electron
bombardment, ion bombardment,[74][75] ultraviolet radiation, and mechanical
abrasion
Plasma activation of a polymer involves taking an existing member of a
polymeric chain (atom, molecule etc.) and making it more reactive by breaking
bonds and leaving dangling bonds which are capable of reacting with
depositing species. The resulting chemical bonding contributes to the
adhesion of the deposited film to the polymeric substrate. The number of
active sites generated by the plasma treatment determines the nucleation
density and the strength of the chemical bond contributes to the adhesion
strength. The plasma treatment of polymers is sometimes called CASING
(Crosslinking by Activated Species of Inert Gas).[76]-[78] Sometimes plasma
activation is followed by exposure to ammonia before the surface is used for
bonding.
The following are some bond strengths between fluorine (the most
electronegative element) and common depositing atomic species.
Surface Preparation 149

Bond Dissociation energy

Ag-F 135.5 Kcal/mole


Al-F 163.8
Fe-F 135.0
C-F 116.8
Ni-F 148.1
Ti-F 145.5
Au-F Unstable

The more electronegative the element, the higher the chemical bond strength
one would expect. Active bonding sites may become saturated (“poisoned”)
by reacting with molecules in the ambient atmosphere (oxygen, OH, etc.) so
activation of a surface will degrade with time. The activation process may also
permanently change the polymer surface by increasing crosslinking.
Plasma treatment of polymer surfaces with inert gas species may give
surface texturing, and the improved bond strengths are then attributed to
mechanical interlocking.
Mechanical activation of metal surfaces by mechanical brushing just
prior to film deposition is a technique that gives improved adhesion of vacuum
deposited coatings on steel.[79][80]
Plasma deposited polymer films (plasma polymerization) have high
concentrations of dangling bonds and are adherent to many surfaces and may
act as good coupling layers (primer) for subsequent metal deposition if used
before the unsaturated bonds become saturated.
Activation of ionically bonded solids may be by exposure to radiation
which creates point defects which may act as bonding sites. Electrons and
photon radiation of insulator and semiconductor surfaces prior to film deposi-
tion have been used to enhance the adhesion of the film,[81] probably by
changing the nucleation behavior.
Sensitization of a surface means adding a small amount of material to
the surface to act as nucleation sites for adatom nucleation. This may be less
than a monolayer of material. In electroplating, the addition of nucleating
agents (Sn - stannate, Zn - zincate) to the surface of difficult-to-plate metals
such as Ti, Al, Zr, and U[82] allows the deposition of adherent metal coatings
(example, zincate process for Al, ASTM -B-253). In electroplating, the
sensitization process often involves simultaneous etching and displacement
plating.
150 Deposition Technologies for Films and Coatings

Various materials are used to sensitize polymer surfaces for bonding and
electroplating (Teflon™—napthelenides or alkali metals, Nylon™— iodine). In
plasma processing, polymer sensitization is the addition (chemisorption) of a
surface species which acts as a coupling agent to react with the depositing
atoms. One sensitization technique is plasma activation with the addition of
a coupling agent (usually oxygen) to the active site; this coupling agent in turn
reacts with other organics (amine epoxy treated with oxygen plasma then
coated with urethane) or with depositing metal atoms.
Oxygen plasma treatment of polymer surfaces has been shown to form
an oxygen complex with the carbon in the plastic.[83]-[85] The deposition of
copper allows the copper to react with the oxygen giving improved adhe-
sion.[86]

11.0 SURFACE MODIFICATION

Surface modification may involve changing the surface chemical compo-


sition by: (i) conversion to a chemical compound (nitride, carbide, oxide); (ii)
changing the chemical composition by selective loss, e.g., hydrogen ion
bombardment of carbide surfaces results in carbon depletion to a depth
corresponding to the physical penetration of the hydrogen into the surface,[87]
hydrogen firing of a carbide surface results in the decarburization of the
surface;[88] (iii) the addition of a surface layer which is compatible with the
substrate material and forms a new surface on which to deposit the film. In
thin film metallization this layer is sometimes called the “glue” layer (e.g., Ti
on oxides under Au, Ni on metals, Cr on polymers,[89]).
Surface modification may be done by changing the physical properties
of the surface such as roughness or hardness. Surface roughening may be
used to give more mechanical bonding. Roughening may be accomplished by
grit blasting, mechanical abrasion, chemical etching (grain boundaries,
crystal orientation, phase), oxidation then reduction or etching, electrochem-
ical etching, or sputter texturing[51]
Examples of surface roughening are:
! AlN ceramics with NaOH[90]
! Al2O3 ceramics with molten NaOH[91]
! ABS copolymer: etch to remove one phase and give porous
surface
! Chemical etching of Kovar™ by ferric chloride
Surface Preparation 151

! Sputter texturing of copper[92]


! Oxidation and reduction of a molybdenum surface to make it
porous for gold deposition
! Sputter texturing of medical implants
! Sputter texturing of polymers
! Anisotropic chemical etching of silicon[93]

12.0 PASSIVATION AND PRESERVATION

Clean surfaces (or those freshly prepared) may be passivated or


protected by the addition of a layer of a material that is easily removed.
Examples are:
! Au flash on metallization surface to prevent oxidation and make
the surface solderable
! Strippable organic films on metal surfaces to prevent corrosion
! Strippable coating on optical surfaces to prevent particulate
contamination
! Water-wetted surface after activation (oxide strip) in electro-
plating to prevent re-oxidation
! I on HF-cleaned Si to prevent oxidation—desorbed at 500°C in
vacuum[94]
Cleaned parts may also be stored under liquids to exclude reactive
gaseous agents. Metals may be stored in anhydrous liquids such as alcohol
or acetone until needed. Storage of material in deoxidized water (boiled) will
decrease the oxidation of the surface compared to air or oxidated (cold) water.
Nitridation of UHV cleaned surfaces minimizes recontamination and
makes subsequent cleaning easier.[13] Coating of UHV surface with gold
makes them less likely to adsorb contamination.
The UV/O3 oxidation of GaAs [95][96] has been used to form a passivating
(sacrificial) layer that can subsequently be vaporized as an in situ cleaning
technique that leaves no residue and doesn’t damage the crystal surface. This
has been reported to be better than thermal or air oxidation since thermal
oxidation selectively oxidizes one constituent and when removed, leaves a poor
surface composition.
152 Deposition Technologies for Films and Coatings

Some silicon surfaces have been preserved by coating with a metal such
as Ga,[97] In[98] or I[99] onto the clean surface and then evaporating the species
from the surface as an in situ technique.

13.0 SAFETY

Chemical manufacturers and distributors are required to provide “Material


Safety Data Sheets” (MSDS’s) for hazardous materials with or before
shipment of the materials. OSHA has mandated that employees must be
given information and training as to the hazards of the materials that they are
using (Hazard Communication Standard 29 CFR 1910.1200)
It should be recognized that chemicals in combination can generate a
safety hazard where the separate chemicals may not. Examples are:
! Organics with oxidants = fire, explosion
! Cyanide compounds with acids = poison (hydrogen cyanide)
Various industry organizations have formulated guidelines for using of
industrial chemicals safely. For instance the Institute for Interconnecting and
Packaging Electronic Circuits has issued a guideline entitled “Guidelines for
Chemical Handling Safety in Printed Board Manufacture” (IPC-CS-70).

REFERENCES

1. Musket, R. G., McLean, W., Colmenares, C. A., Makowiecki, D. M., and


Siekhaus, W.J., Appl. of Surf. Sci., 10:143 (1982)
2. Mattox, D. M., Thin Solid Films, 53:81 (1978)
3. Vossen, J. L., Thomas, J. H., III, Maa, J-S., and O’Neill, J. J.,J. Vac. Sci.
Technol., A2:212 (1984)
4. Vossen, J. L., Thin Solid Films, 126:213 (1985)
5. Jones, W. C., Met Finish, 83(10):13 (1985)
6. Bowling, R. A., J. Electrochem. Soc., 132:2208 (1985)
7. Henrich, V. E., Rep. Prog. Phys., 48:1481 (1985)
8. Cuthrell, R. E. and Tipping, D. W., Rev. Sci. Instrum., 47:595 (1976)
9. Cuthrell, R. E., Surface Contamination, (K. L., Mittal, ed.), 2:831,
Plenum Press (1979)
10. Comsa, G., and David, R., Surf. Sci. Repts., 5:145 (1985)
Surface Preparation 153

11. Sowell, R. R., Cuthrell, R. E., Bland, R. D., and Mattox, D. M., J. Vac.
Sci. Technol., 11:474 (1974)
12. Vig, J. R., J. Vac. Sci. Technol., A3:1027 (1985)
13. Grunze, M., Strasser, G., and Elshazly, O., J. Vac. Sci. Technol.,
A4:2396 (1986)
14. Holland, L., Vacuum, 26:97 (1976)
15. Bouwman, R., van Mechelen, J. B., and Holscher, A. A., J. Vac. Sci.
Technol., 5:91 (1978)
16. Newhouse, R. D., Microelectronic Manuf. Test, 9:1 (1986)
17. Meeks, R. F.,Contamination Control Training Manual, General Electric
report 74ND-3 (GEPP-121) available from NTIS
18. Malczewski, M. L., Borkman, J. D., and Vardian, G. T., Solid State
Technol., 29(4):151 (1986)
19. Goldsmith, S. H. and Grundelman, G. P., Solid State Technol., 28:219
(1985)
20. Grant, R. D., Mat & Design, 9:22 (1988)
21. Balcar, G. and Woelfel, M., Met Finish, 83(12):13 (1985)
22. Bibliography on Chemical Cleaning of Metals, Vol. 1 (#52135), Vol. 2
(#52129), available from NACE (National Association of Corrosion
Engineers), PO Box 218340, Houston, TX 77218
23. Cherepnin, N. V., Treatment of Materials for Use in High Vacuum,
Ordentlich, Isreal (1976)
24. Sundahl, R. C., J. Vac. Sci. Technol., 9:181 (1972)
25. Manko, H. H., Solders and Soldering, Ch. 2, McGraw-Hill (1981)
26. Brazing Manual, Ch. 4, American Welding Society (1975)
27. Jackson, L. C., Adhesives Age, p. 23 (Dec.1974)
28. Physical Principles of Ultrasonic Cleaning, Vol. 1, (L. D. Rozenberg,
ed.), Plenum Press (1973)
29. Cieslak, W. R., Proc. ASM Third Conf. on Electronic Packaging:
Materials and Processes & Corrosion in Microelectronics, Minneapolis,
MN (April 28-30, 1987)
30. Fredrick, J. R., Ultrasonic Engineering, Wiley (1965)
31. Walker, R., Treatise on Clean Surface Technology, (K. L., Mittal, ed.),
1(3) Plenum Press (1987)
32. Walker, R., TSF 119, 223, 84
33. Holland, L., The Properties of Glass Surfaces, Ch. 6, Wiley (1964)
154 Deposition Technologies for Films and Coatings

34. Norstrom, H., Ostling, M., Buchta, R., and Petersson, C. S., J.
Electrochem. Soc., 132:2285 (1985)
35. Saito, J., Ishikawa, T., Nakamura, T., Konagai, M., and Takahashi, K.,
Jpn. J. Appl. Phys., 25:1216 (1986)
36. Ishikawa, Y., Ikeda, N., Kenmochi, M., and Ichinokawa, T., Surf. Sci.,
159:256 (1985)
37. Olefjord, I., Brox, B., and Jelvestam, J., J. Electrochem. Soc., 132:2854
(1985)
38. Faust, C. L., Metal Finish, 82:29 (1984)
39. Shigolev, P. V., Electrolytic and Chemical Polishing of Metals, Freund
Pub. (1974) from the Russian
40. Smith, F. J., R & D Mag, 30, 54 (1988)
41. Holland, L., The Properties of Glass Surfaces, Ch. 5, Wiley (1964)
42. Horwitz , C. M., J. Vac. Sci. Technol., A1:1795 (1983)
43. Dahimene, M. and Asmussen, J., J. Vac. Sci. Technol., B4:126 (1986)
44. Goebel, D. M., Hirooka, Y., and Sketchy, T. A., Rev. Sci. Instrum.,
56:1717 (1985)
45. McDaniel, E. W., Collision Phenomona in Ionized Gases, Wiley (1964)
46. Hollahan, J. R., and Bell, A. T., Techniques and Applications of Plasma
Chemistry, J. Wiley (1972)
47. McTaggert, F. K., Plasma Chemistry in Electrical Discharges, Elsevier
(1967)
48. Kushner, M. J., J. Appl. Phys., 53:2923 (1982)
49. Thornton, J. A., J. Vac. Sci. Technol., 15:188 (1978)
50. Berg, R. S. and Kominiak, G. J., J. Vac. Sci. Technol., 13:403 (1976)
51. Kowalski, Z. W., J. Mat. Sci. Lett., 6:69 (1987)
52. Betz, G., Surf. Sci., 92:283 (1980)
53. Malherbe, J. B., Hofmann, S., and Sanz, J. M., Appl. Surf. Sci., 27:355
(1986)
54. Mathews, A. and Gethin, D. T., Thin Solid Films, 117:261 (1987)
55. Achard, B., Gruzza, B., and Pariset, C., Surf. Sci., 160:L519 (1985)
56. Fonash, S. J., Solid State Technol, 28(4):201 (1985)
57. Sawin, H. H., Solid State Technol, 28(4):211 (1985)
58. Kominiak, G. J. and Mattox, D. M., Thin Solid Films, 40:141 (1977)
Surface Preparation 155

59. Baker, W. A., and Mossman, A. L., The Matheson Gas Data Book,
Matheson Co.
60. Webber, J.,Microelectronic Manufacturing and Testing, p. 40 (Jan 1985)
61. Boyd, H. and DeBord, D., Microelectronic Manufacturing and Testing,
8:1 (April 1985)
62. Choe, D. H. G., Knapp, C., and Jacob, A.,Solid State Technol, 28(3):65
(1985)
63. Nordstrom, H., Buchta, R., Runovc, F., and Klund, P. W., Vacuum
32:737 (1982)
64. Oehrlein, G. S., Clabes, J. G., and Spirto, P., J. Electrochem. Soc.,
133:1002 (1986)
65. Pang, S. W., J. Electrochem. Soc., 133:784 (1986)
66. Geis, M. W., Lincoln, G. A., Efremow, N., and Piacentini, W. J., J. Vac.
Sci. Technol., 19:1390 (1981)
67. Winters, H. F., Coburn, J. W., and Chuang, T. J., J. Vac. Sci. Technol.,
B1:469 (1983)
68. Poulsen, R. G., J. Vac. Sci. Technol., 14:266 (1977)
69. Broydo, S., Solid State Technol, 26(4):159 (1983)
70. Poll, H. U., Meichsner, J., and Steinrucken, A., Thin Solid Films, 112:
369 (1984)
71. Kelber, J. A.,Plasma Treatment of Polymers for Improved Adhesion To
be published in Vol 119 of MRS Proceedings (D. M., Mattox, J. E. E.,
Baglin, R. Gottschall, and C. D. Batich, eds.)
72. Bodo, P. and Sundgren, J.-E., Thin Solid Films, 136:147 (1986)
73. Wheeler, D. R. and Pepper, S. V., J. Vac. Sci. Technol., 20:442 (1982)
74. Suzuki, K., Christie, A. B., and Howson, R. P., Vacuum, 36:323 (1986)
75. Bodo, P. and Sundgren, J.-E., J. Appl. Phys., 60:1161 (1986)
76. Schonhorn, H., Ryan, F. W., and Hansen, R. H., J. Adhesion, 2:93
(1970)
77. Sowell, R. R., DeLollis, N. J., Gregory, H. J., and Montoya, O., Recent
Advances in Adhesion, (Lieng-Huang Lee, ed.), pp. 77–89, Gordon &
Breach (1973)
78. Ouellette, R. P., Barbier, M. M., and Cheremisinoff, P. N., Low-
temperature Plasma Technology Applications, Technomic Publishing
79. Schiller, S., Foerster, H., Hoetzsch, G., and Reschke, J., Thin Solid
Films, 72:351 (1980)
156 Deposition Technologies for Films and Coatings

80. Schiller, S., Foerster, H., Hoetzsch, G., and Reschke, J., Thin Solid
Films, 83:7 (1981)
81. Gazecki, J., Sai-Halasz, G. A., Alliman, R. G., Kellock, A., Nyberg, G.
L., Williams, J. S., Appl. Surf. Sci., 22/23:1034 (1985)
82. Dini, J. W. and Johnson, H. R., ASTM Spec. Pub. 830, (R. T. Webster
and C. S. Young, eds.), p.113-123 (1984)
83. Burkstrand, J. M., J. Vac. Sci. Technol., 16:363 (1979)
84. Burkstrand, J. M., J. Vac. Sci. Technol., 15:223 (1978)
85. Burkstrand, J. M., Appl. Phys. Lett., 33:387 (1978)
86. Hosokawa, T. and Hosokawa, N., J. Vac. Sci. Technol., 16:348 (1979)
87. Sharp, D. J. and Panitz, J. K. G., Surf. Sci., 118:429 (1982)
88. Sproul, W. D. and Richman, M. H.,J. Vac. Sci. Technol., 12:842 (1975)
89. Mattox, D. M., Thin Solid Films, 18:173 (1973)
90. Osaka, T., Nagata, H., Nakajima, E., and Koiwa, I., J. Electrochem.
Soc., 133:2345 (1986)
91. Elmore, G. V. and Hershberger, R. F., J. Electrochem. Soc., 121:107
(1974)
92. Berg, R. S. and Kominiak, G. J., J. Vac. Sci. Technol., 13:403 (1976)
93. Campbell, P. and Green, M. A., J. Appl. Phys., 62:243 (1987)
94. Liberman, R. and Klein, D. L., J. Electrochem. Soc., 113:957 (1966)
95. Ingrey, S., Lau, W. M., McIntyre, N. S., J. Vac. Sci. Technol., A4:984
(1986)
96. McClintock, J. A., Wilson, R. A., and Byer, N. E., J. Vac. Sci. Technol.,
20:241 (1982)
97. Wright, S. and Kroemer, H., Appl. Phys. Lett., 36:210 (1980)
98. Yang, H. T. and Berry, W. S., J. Vac. Sci. Technol., B(2):206 (1984)
99. Liberman, R. and Klein, D. L., J. Electrochem. Soc., 113:957 (1966)
4

Evaporation: Processes, Bulk


Microstructures and Mechanical
Properties

Rointan F. Bunshah

1.0 GENERAL INTRODUCTION

Physical Vapor Deposition (PVD) technology consists of the techniques


of evaporation, ion plating and sputtering. It is used to deposit films and
coatings or self-supported shapes such as sheet, foil, tubing, etc. The
thickness of the deposits can vary from angstroms to millimeters. The wide
variety of applications of these techniques ranges from decorative to
utilitarian over significant segments of the engineering, chemical, nuclear,
microelectronics and related industries. Their use has been increasing at a
very rapid rate since modern technology demands multiple, and often
conflicting, sets of properties from engineering materials, e.g., combinations
of two or more of the following: high temperature strength, impact strength,
specific optical, electrical or magnetic properties, wear resistance, ability to
be fabricated into complex shapes, biocompatibility, cost, etc. A single or
monolithic material cannot meet such demands in high technology
applications. The solution is, therefore, a composite material, i.e., a core
material and a coating each having the requisite properties to fulfill the
specifications.
PVD technology is very versatile, enabling one to deposit virtually
every type of inorganic materials—metals, alloys, compounds and mixtures
thereof, as well as some organic materials. The deposition rates can be
varied from 10 to 750,000 Å (10 -3 to 75 µm) per minute, the higher
rates having come about in the last twenty years with the advent of

157
158 Deposition Technologies for Films and Coatings

electron beam heated sources. For zinc and aluminum, deposition rates
as high as 25 µm per second have been reported using electron beam
evaporation sources.
The thickness limits for thin and thick films are somewhat arbitrary. A
thickness of 10,000 Å (1 µm) is often accepted as the boundary between thin
and thick films. A recent viewpoint is that a film can be considered thin or thick
depending on whether it exhibits surface-like or bulk-like properties.
Historically the first evaporated thin films were probably prepared by
Faraday[1] in 1857 when he exploded metal wires in a vacuum. The
deposition of thin metal films in vacuum by Joule heating was discovered in
1887 by Nahrwold[2] and was used by Kundt[3] in 1888 to measure refractive
indices of such films. In the ensuing period, the work was primarily of
academic interest concerned with optical phenomena associated with thin
layers of metals, researches into kinetics and diffusion of gases, and gas-
metal reactions.[4][5] The application of these technologies on an industrial
scale had to await the development of vacuum techniques and therefore
dates to the post World War II era, i.e., 1946 and onwards. This proceeded
at an exponential pace in thin films and is covered in an excellent review by
Glang[6] on evaporated films and in other chapters of the Handbook of Thin
Film Technology[7] as well as in the classic text by Holland.[8] A more recent
reference on the Science and Technology of Surface Coatings[9] includes
material on PVD techniques as well as the other techniques for surface
coatings. The work on mechanical properties of thin films has been reported
in several review articles.[10]-[15]
The work on the production of full-density coatings or self-supported
shapes by high deposition rate PVD processes started around 1961
independently at two places in the U.S.A. Bunshah and Juntz at the
Lawrence Livermore Laboratories of the University of California produced
very high purity beryllium foil,[16]-[21] titanium sheets,[22] and studied the
variation of impurity content, microstructure and mechanical properties with
deposition conditions, thus demonstrating that the microstructure and
properties of PVD deposits can be varied and controlled. At about the same
time, Smith and Hunt were working at Temescal Metallurgical Corporation in
Berkeley on the deposition of a number of metals, alloys and compounds and
reported their findings in 1964.[23][24] The development of evaporation
processes in the U.S.S.R is described in the Appendix kindly supplied to the
author by Dr. A. V. Demchishin of the Paton Electric Welding Institute, Kiev.
In the years between 1962 and 1969, there was considerable effort on
the part of various steel companies to produce Al and Zn coatings on steel
using HRPVD techniques on a production scale.[25][26] In 1969, Airco
Evaporation 159

Temescal Corp. decided to manufacture Ti-6Al-4V alloy foil in pilot production


quantities for use in honeycomb structures on the SST aircraft. The project
was eminently successful but the patient, the supersonic transport aircraft
“SST,” died. The results of this work were published in 1970.[122a] To give
some idea of the production capability, 1,200 ft/run of Ti-6Al-4V foil, 12" wide,
0.002" thick was produced at the rate of 2 to 3 ft/min. The stated cost at that
time was about one-fifth of the cost for similar material produced by rolling
(i.e., $60/lb for HRPVD vs. $300/lb for rolled material). It is very difficult to roll
this alloy because it work-hardens very rapidly and therefore needs many
annealing cycles to be reduced to thin gauge (A. B. Sauvegot, TMCA Tech.
Report AFML-TR-67-386, Dec. 1967).
The work on thick films and bulk deposits has matured later than the
work on thin films and reviews on it have been given by Bunshah[114][116] and
by Paton, Movchan and Demchishin[122] who summarized the work done at
the Paton Electric Welding Institute up to 1973. In addition, the Soviet
literature in the 1960s has numerous references to the extensive work on thin
and thick films by Palatnick and coworkers of the Kharkov Polytechnic
Institute (see Appendix). Note should also be made of a recent book in
German on electron beam technology by Schiller, Heisig, and Panzer in
which many of the PVD aspects are treated.[27]

2.0 SCOPE

The scope of this chapter will be to review the evaporation technologies,


theory and mechanisms, processes, deposition of various types of materials,
the evolution of the microstructure and its relationship to the properties of the
deposits, preparation of high purity metals, current and future applications,
and finally cost analysis as far as possible.

3.0 PVD PROCESSES

3.1 Preamble

In general, deposition processes may principally be divided into two


types: (i) those involving droplet transfer such as plasma spraying, arc
spraying, wire-explosion spraying, detonation gun coating, and (ii) those
involving an atom by atom transfer mode such as the physical vapor
160 Deposition Technologies for Films and Coatings

deposition processes of evaporation, ion plating and sputtering, chemical


vapor deposition, and electrodeposition. The chief disadvantage of the
droplet transfer process is the porosity in the final deposit which effects the
properties.
There are three steps in the formation of any deposit:
1. Synthesis of the material to be deposited:
a. Transition from a condensed phase (solid or liquid) to
the vapor phase.
b. For deposition of compounds, a reaction between the
components of the compound, some of which may be
introduced into the chamber as a gas or vapor.
2. Transport of the vapors between the source and substrate.
3. Condensation of vapors (and gases) followed by film nucleation
and growth.
There are significant differences between the various atom transfer
processes. In chemical vapor deposition and electrodeposition processes,
all of the three steps mentioned above take place simultaneously at the
substrate and cannot be independently controlled. Thus, if a choice is made
for a process parameter such as substrate temperature (which governs
deposition rate in CVD), one is stuck with the resultant microstructure and
properties. On the other hand, in the PVD processes, these steps (particularly
steps 1 and 3 can be independently controlled and one can therefore have
a much greater degree of flexibility in controlling the structure and properties,
and deposition rate. This is a very important consideration.

3.2 PVD Processes

There are three physical vapor deposition processes, namely


evaporation, ion plating, and sputtering. Ion plating is a hybrid process.
In the evaporation process, vapors are produced from a material
located in a source which is heated by direct resistance, radiation, eddy
currents, electron beam, laser beam or an arc discharge. The process is
usually carried out in vacuum (typically 10-5 to 10-6 torr) so that the
evaporated atoms undergo an essentially collisionless line-of-sight transport
prior to condensation on the substrate. The substrate is usually at ground
potential (i.e., not biased).
Figure 4.1 is a schematic of a vacuum evaporation system illustrating
electron beam heating. It may be noticed that the deposit thickness is greatest
directly above the center-line of the source and decreases away from
Evaporation 161

it.[28] This problem is overcome by imparting a complex motion to substrates


(e.g., in a planetary or rotating substrate holder) so as to even out the vapor
flux on all parts of the substrate; or by introducing a gas at a pressure of 5
to 200 µm into the chamber so that the vapor species undergo multiple
collisions during transport from the source to substrate, thus producing a
reasonably uniform (±10%) thickness of coating on the substrate. The latter
technique is called gas-scattering evaporation or pressure plating. [29][30]

Figure 4.1. Vacuum-evaporation process using electron beam heating.


162 Deposition Technologies for Films and Coatings

In the ion-plating process, the material is vaporized in a manner similar


to that in the evaporation process but passes through a gaseous glow
discharge on its way to the substrate, thus ionizing some of the vaporized
atoms (see Fig. 4.2). The glow discharge is produced by biasing the
substrate to a high negative potential (-2 to -5 kV) and admitting a gas,
usually argon, at a pressure of 5 to 200 mTorr into the chamber. In this
simple mode, which is known as diode ion-plating, the substrate is

Figure 4.2. Ion-plating process.


Evaporation 163

bombarded by high-energy gas ions which sputter off the material present
on the surface. This results in a constant cleaning of the substrate (i.e., a
removal of surface impurities by sputtering) which is desirable for producing
better adhesion and lower impurity content. This ion bombardment also
causes a modification in the microstructure and residual stresses in the
deposit. On the other hand, it produces the undesirable effects of decreasing
the deposition rates since some of the deposit is sputtered off, as well as
causing a considerable (and often undesired for microelectronic applications)
heating of the substrate by the intense gas ion bombardment. The latter
problem can be alleviated by using the supported discharge ion-plating
process[31a,b] where the substrate is no longer at the high negative potential;
the electrons necessary for supporting the discharge come from an auxiliary
heating tungsten filament. The high gas pressure during deposition causes
a reasonably uniform deposition of all surfaces due to gas-scattering as
discussed above.
In the sputtering process, illustrated schematically in Fig. 4.3, positive
gas ions (usually argon ions) produced in a glow discharge (gas pressure:
20 to 150 mTorr) bombard the target material (also called the cathode)
dislodging groups of atoms which then pass into the vapor phase and deposit
onto the substrate. Alternate geometries of importance in various processing
applications are shown in Fig. 4.4. For example, hollow cathode sputtering
would be the ideal geometry for coating the outer surface of a wire.
Sputtering is an inefficient way to induce a solid-to-vapor transition. Typical
yields (atoms sputtered per incident ion) for a 50 eV argon ion incident on a
metal surface are unity. Thus the phase change energy cost is from 3 to 10
times larger than evaporation.[32] Thornton[32] has provided an excellent
review on sputtering as applied to deposition technology. The reader is also
referred to the proceedings of a special conference on "Sputtering and Ion-
Plating."[33]
The deposition rates for the various processes are indicated in Table
4.1. The deposition rates of the evaporation and ion-plating processes are
much higher than those of the sputtering process. Recently, Schiller and
Jasch,[228] reported on large scale industrial applications of deposition of Al
on strip steel continuously at a deposition rate of 20 µ/min. It should be noted
that sputter deposition rates at the high side (approximately 10,000 Å/min)
with diode sputtering can only be obtained for target materials of high thermal
conductivity like copper, since heat extraction from the target is the limiting
parameter. For most materials, it is much lower, i.e., 50 to 1,000 Å/min. With
magnetron sputtering, much higher deposition rates are obtained (see Ch.
5 in this volume).
164 Deposition Technologies for Films and Coatings

Figure 4.3. Basic sputtering process.

Figure 4.4. Cylindrically symmetric sputter-coating systems.


Evaporation 165

Table 4.1. Deposition Rates for Various PVD Processes

Evaporation, Å/min 100 - 250,000*


Ion Plating, Å/min 100 - 250,000
Sputtering, Å/min 25 - 10,000

*In special cases to 500,000 Å/sec

3.3 Advantages and Limitations

There are several advantages of PVD processes over competitive


processes such as electrodeposition, CVD, and plasma spraying. They are:
1. Extreme versatility in composition of deposit. Virtually any
metal, alloy, refractory or intermetallic compound, some
polymeric type materials and their mixtures can be easily
deposited. In this regard, they are superior to any other
deposition process.
2. The ability to produce unusual microstructures and new
crystallographic modifications, e.g., amorphous deposits.
3. The substrate temperature can be varied within very wide
limits from subzero to high temperatures.
4. Ability to produce coatings or self-supported shapes at high
deposition rates.
5. Deposits can have very high purity.
6. Excellent bonding to the substrate.
7. Excellent surface finish which can be equal to that of the
substrate.
8. Elimination of pollutants and effluents from the process which
is a very important ecological factor.
The present limitations of PVD processes are:
1. Inability to deposit polymeric materials with certain exceptions.
2. Higher degree of sophistication of the processing equipment
and hence a higher initial cost.
166 Deposition Technologies for Films and Coatings

4.0 THEORY AND MECHANISMS

4.1 Vacuum Evaporation

Reference to the various steps in the formation of a deposit enumerated


in the previous section shows that the theory of vacuum evaporation involves
thermodynamic considerations, i.e., phase transitions from which the
equilibrium vapor phase pressure of materials can be derived, as well as the
kinetic aspects of nucleation and growth. Both of these are of obvious
importance in the evolution of the microstructure of the deposit.
The transition of solids or liquids into the gaseous state can be
considered to be a macroscopic or an atomistic phenomenon. The former
is based on thermodynamics and results in an understanding of evaporation
rates, source-container reactions and the accompanying effect of impurity
introduction into the vapor state, changes in composition during alloy
evaporation, and stability of compounds. An excellent detailed treatment of
the thermodynamic and kinetic bases of evaporation processes is given by
Glang.[6] He points out that the application of kinetic gas theory to interpret
evaporation phenomena resulted in a specialized evaporation theory. Such
well known scientists as Hertz, Knudsen and Langnuir were the early
workers in evaporation theory. They observed deviations from ideal behavior
which led to refinements in the theory to include concepts of reaction kinetics,
thermodynamics, and solid state theory. From the kinetic theory of gases,
the relationship between the impingement rate of gas molecules and their
partial pressure, p, is given by

dNi
Eq. (1) = (2πmkT )−½ p
A e dt

where Ni is the number of molecules striking a unit area of surface, and Ae


is the area of the surface.
Hertz,[34] in 1882, first measured the evaporation rate of mercury in
high vacuum and found that the evaporation rate was proportional to the
difference between the equilibrium vapor pressure of mercury, p*, at the
evaporant surface and the hydrostatic pressure, p, acting on the surface,
resulting from the evaporant atoms or molecules in the gas phase. Thus, the
evaporation rate based on the concept of the equilibrium vapor pressure,
(i.e., the number of atoms leaving the evaporant surface is equal to the
number returning to the surface) is given by:
Evaporation 167

dN e
Eq. (1a) = ( 2 πmkT ) −½(p* − p ) cm2 sec −1
A e dt

such that dN e, the number of molecules evaporating from a surface area


A e in time dt, is equal to the impingement rate of gas molecules based on
the kinetic theory of gases with the value of p* inserted therein, minus the
return flux corresponding to the hydrostatic pressure p of the evaporant
in the gas phase. In the above equations, m is the molecular weight, k
is Boltzmann’s constant, and T is the temperature in °K. The maximum
possible evaporation rate corresponds to the condition p = 0. Hertz
measured evaporation rates only about one-tenth as high as the theoretical
maximum rates. The latter were subsequently measured by Knudsen[35]
in 1915. Knudsen postulated that some of the molecules impinging on
the surface were reflecting back into the gas phase rather than becoming
incorporated into the liquid. As a result, there is a certain fraction (1 - αν)
of vapor molecules which contribute to the evaporant pressure but not to
the net molecular flux from the condensed phase into the vapor phase.
To this end, he postulated the evaporation coefficient, αν, which is
defined as the ratio of the real evaporation rate in vacuum to the
theoretically possible value defined by Eq. (1a). This then results in the
well-known Hertz-Knudsen equation

dN e
Eq. (2) = α ν ( 2 πmkT ) −½(p* − p )
A e dt

The value of αν is very dependent on the cleanliness of the evaporant


surface and can range from very low values for dirty surfaces to unity for
clean surfaces. In very high rate evaporation with a clean evaporant
surface, it has been found that the maximum evaporation given by Eq. (2)
has been exceeded by a factor of 2 to 3 for the evaporation of a light metal
such as beryllium[21] using electron beam heating. The reason for this is
that the high power input results in considerable agitation of the liquid
evaporant pool resulting in a real surface area much larger than the
apparent surface area.
The directionality of evaporating molecules from an evaporation
source is given by the well-known cosine law. Figure 4.5 shows a small
surface element dAr receiving deposit from a small area source Ar. The mass
deposited per unit area
168 Deposition Technologies for Films and Coatings

dMr ( σ, θ) Me
Eq. (3) = cos φ cos θ
dA r πr 2

where Me is total mass evaporated.

Figure 4.5. Surface element dA r receiving deposit from a small-area source dAe.
(From Handbook of Thin Film Technology. © 1970, McGraw-Hill. Used with
permission of McGraw-Hill Book Company.)

For a point source, Eq. (3) reduces to:

dMr M
Eq. (4) = e cos φ
dAr πr 2

For a uniform deposit thickness, the point source must be located at the center
of the spherical receiving surface such that r is a constant and cosθ = 1.
In high rate evaporation conditions, e.g., using a high power electron
beam heated source, the thickness distribution is steeper than with a point
or small area source discussed above. This has been attributed by some
Evaporation 169

authors[28][36] to the existence of a virtual source of vapor located above the


molten pool. On the other hand, at high power, the electron beam impact
area on the surface of the molten pool is not flat but pushed down into an
approximate concave spherical segment which as Riley shows[37] can
equally well account for the steeper thickness distribution.
The above discussion points out one of the problems with evaporation
technology, i.e., the variation in thickness of the deposit on a flat substrate.
Numerous solutions are possible which involve either moving the substrate
in a manner so as to randomly sample the vapor flux, the use of multiple
sources, or sources of special shapes. These have been discussed in some
detail by Holland[8] as well as by Bunshah and Juntz.[38]
Models have also been presented for calculating the deposit
temperature[39] and thickness distribution[40] during high-rate evaporation
and verified against experimental data. In a more recent paper, Szekely and
Poveromo[41] have given a more general formulation describing the net rate
of vapor deposition from a molten source onto an initial cold surface, making
allowance for both molecular transport and diffusion effects.

5.0 EVAPORATION PROCESS AND APPARATUS

5.1 The System

A schematic of the evaporation apparatus has been illustrated in Fig.


4.1. It consists of the following: chamber, vacuum pumps, vacuum gages,
including total and partial pressure gages on sophisticated systems,
evaporation sources, substrate holders, rate monitors, process controller,
etc.
Vacuum Chamber: This ranges from a simple bell jar or rectangular
box for experimental or batch type production to more complex gear for
production applications. The latter may consist of a deposition chamber with
loading and unloading chambers attached to the deposition chambers by
manifolds with isolation high vacuum valves. These are called fast cycle
coaters. Alternate approaches are semi-continuous in-line systems where
a strip substrate stored in the vacuum chamber can be fed continuously over
the source (Fig. 4.6) or a continuous system where the strip or sheet
substrate is inserted and removed from the deposition chamber through air-
to-air seals[4][42] as shown in Figs. 4.7 and 4.8.
170 Deposition Technologies for Films and Coatings

Figure 4.6. A schematic representation of a 24 inch continuous high vacuum strip


processing line.

Figure 4.7. A three-high roll seal arrangement for stripline.


Evaporation 171

Figure 4.8. Vacuum seal using steam jet or curtain.

Vacuum Pumping System: The gas loads in evaporation processes


are fairly high due to outgassing from chamber walls promoted by the heat
load from the evaporation source and substrate heaters, particularly for high
deposition rate conditions. Therefore the pumping system is usually based
on a diffusion pump with a liquid nitrogen cooled anti-creep type baffle-
backed with a mechanical pump or a Roots blower/mechanical pump
combination for large systems. For very high purity, low deposition rate, low
heat flux conditions, ion pumped systems backed with cryosorption rough
pumping are used, since a base pressure of 10-9 to 10-10 torr is needed. More
recently, turbomolecular and cryogenic pumps are used instead of diffusion
pumps where desired (e.g., oil-free systems). This is particularly true for
molecular beam epitaxy where extreme control over composition and layer
thickness are essential and deposition rates can be quite low. In such cases,
the chamber and pumps are to be baked as with any other ultra-high vacuum
operation.
Pressure Measurement: The vacuum gages used depend again on
specific applications. A combination of high pressure gages (such as the
Pirani or Thermocouple Gage) for monitoring the roughing of the system in
172 Deposition Technologies for Films and Coatings

combination with high vacuum gages (such as the hot cathode ionization
gage and/or capacitance manometer). A partial pressure gage is highly
desirable particularly for ultra-clean applications as well as for leak hunting.
Evaporation Sources: These are discussed separately in Sec. 6).
Substrate Holders and Heaters: Substrate holders may be very
simple for stationary flat substrates or can incorporate quite complex motions
as illustrated by planetary or rotating devices. The reason for this is to ensure
deposition thickness uniformity and control over a large number of small
parts such as lenses or silicon wafers. Substrate heating can be accomplished
by radiant heaters with refractory wires or quartz lamps acting as the heat
source. Occasionally, substrates are directly heated by a scanning or diffuse
electron beam.
Deposition Rate Monitors: These are discussed in Sec. 8.

6.0 EVAPORATION SOURCES

6.1 General Considerations

Evaporation sources are classified by the mode of heating used to


convert the solid or liquid evaporant to the vapor phase. Thus one talks of
resistance, arc, induction, electron beam, arc imaging, lasers, and exploding
wire types of sources. A very important fact to be noted is that we cannot
evaporate every material fromany of the types of sources listed above for the
following reasons.
1. Chemical interaction between the source material and the
evaporant which would lead to impurities in the deposit. For
example, evaporation of titanium from a MgO source would
cause oxygen and magnesium contamination of the deposit;
the titanium would reduce the MgO. Therefore, for the
evaporation of reactive metals like titanium, zirconium, etc.,
we use water cooled copper crucibles.
2. Reaction between metallic source (such as a W or Ta boat)
and evaporant (Ti) could occur. In many cases at high
temperatures two metals can mutually dissolve in each other
leading to a destruction of the source.
3. The power density (i.e., watts per sq. cm) varies greatly
between the various heat sources.
Table 4.2 from Ref. 6 from the article by Glang lists the temperature
and support materials to be used in the evaporation of elements. Similar
tables are found in the literature of many of the manufacturers.
Evaporation of alloys and compounds pose additional problems and
they are considered in Sec. 9.
Evaporation 173

Table 4.2. Temperatures and Support Materials Used in the Evaporation of


the Elements
174 Deposition Technologies for Films and Coatings

Table 4.2. (Cont'd)


Evaporation 175

6.2 Resistance Heated Sources

The simplest vapor sources are resistance heated wires and metal
foilsof various types shown in Fig. 4.9.

Figure 4.9. Wire and metal-foil sources. (A) Hairpin source. (B) Wire helix. (C) Wire
basket. (D) Dimpled foil. (E) Dimpled foil with alumina coating (F) Canoe type.
(From Handbook of Thin Film Technology. © 1970, McGraw-Hill. Used with
permission of McGraw-Hill Book Co.)

They are available in a variety of sizes and shapes and at sufficiently


low prices so that they can be discarded after one experiment if necessary.
They are usually made from the refractory metals, tungsten, molybdenum,
and tantalum which have high melting points and low vapor pressure so as
not to contaminate the deposit. Their properties are given in Table 4.3.
Platinum, iron or nickel are sometimes used for materials which
evaporate below 1000°C. The capacity (total amount of evaporant) of such
sources is small. The hairpin and wire helix sources are used by attaching
the evaporant to the source in the form of small wire segments. Upon
melting, the evaporant must wet the filament and be held there by
176 Deposition Technologies for Films and Coatings

surface tension. This is desirable to increase the evaporation surface area


and thermal contact. Multistrand filament wire is preferred because it
increases the surface area. Maximum amount held is about 1 gram. Dimpled
sources and basket boats may hold up to a few grams.

Table 4.3. Properties of Refractory Metals

Since the electrical resistance of the source is small, low voltage power
supplies, 1 to 3 kW, are recommended. The current in the source may range
from 20 to 500 amps. In some cases, the evaporant is electroplated onto the
wire source.
The principal use of wire baskets is for the evaporation of pellets or
chips of dielectric materials which either sublime or do not wet the wire on
melting. In such cases, if wetting occurs, the turns of the baskets are shorted
and the temperature of the source drops.
The rate of evaporation from such sources may vary considerably due
to localized conditions of temperature variation, wetting, hot spots, etc.
Therefore, for a given thickness of film, the procedure is to load the source
with a fixed weight of evaporant and evaporate to completion or use a rate
monitor and/or thickness monitor to obtain the desired evaporation rate and
thickness.

6.3 Sublimation Sources

For materials evaporating above 1000°C, the problem of non-reactive


supports may be circumvented for materials such as Cr, Mo, Pd, V, Fe and
Evaporation 177

Si which reach a vapor pressure of 10-2 torr before melting. Hence, they can
sublime and produce a sufficiently high vapor density. The contact area
between the evaporant and the source crucible is held to a minimum. Figure
4.10 shows such a source designed by Roberts and Via.

Figure 4.10. Chromium sublimation source after Roberts and Via. The electric
current flows through the tantalum cylinder (heavy lines). (From Handbook of Thin
Film Technology. © 1970, McGraw-Hill. Used with permission of McGraw-Hill Book
Co.)

A different type of sublimation source is used for the vaporization of


thermally stable compounds such as SiO which are commonly obtained as
powders or loose chunks. Such source material would release large
quantities of gases upon heating thus causing ejection of particles of the
evaporant which may get incorporated into the film. Figure 4.11 shows two
sources which solve this problem by reflection of the vaporized material.
178 Deposition Technologies for Films and Coatings

Figure 4.11. Optically dense SiO sources. (A) The Drumheller source. (B)
Compartmentalized source. (After Vergara, Greenhouse and Nicholas.) (From
Handbook of Thin film Technology. © 1970, McGraw-Hill. Used with permission of
McGraw-Hill Book Co.)

6.4 Evaporation Source Materials

We have already discussed the potential problems concerned with the


reaction between metal sources and evaporants. Oxides and other
compounds are more stable than metals. Table 4.4 gives the thermal stability
of refractory oxides in contact with metals. There are many metals not listed
in Table 4.4 which can be evaporated from refractory oxide sources. Note
that there is no such thing as an absolutely stable oxide, nitride or other
compound. Reaction is controlled by kinetics, i.e., temperature and time.
Oxide crucibles have to be heated by radiation from metal filaments or
their contents can be heated by induction heating. This is illustrated in Fig.
4.12 and 4.13 for resistance heated sources.
Other source materials are nitrides such as boron nitride. A 50% BN-
50% TiB2 is also well established as a crucible material. This material (HDA
composite, Union Carbide) is a fairly good electrical conductor and hence
can be directly heated to evaporate materials. It can be readily machined to
shape.
Pyrolytic BN and carbon are also used.
Evaporation 179

Table 4.4. Thermal Stability of Refractory Oxides in Contact with Metals*

Figure 4.12. Oxide crucible with wire-coil heater. (From Handbook of Thin Film
Technology. C 1970, McGraw-Hill. Used with permissionof McGraw-HillBookCo.)
180 Deposition Technologies for Films and Coatings

Figure 4.13. DaSilva crucible source. (From Handbook of Thin Film Technology.
© 1970, McGraw-Hill. Used with permission of McGraw-Hill Book Co.)

6.5 Induction Heated Sources

Figure 4.14 shows the induction heated sources using a BN-TiB2


crucible. Figure 4.15 shows an induction heated evaporation sublimation
source using a water cooled copper crucible.[19] This is suited to the
evaporation of reactive metals such as Ti, Be, etc., which will react with all
the refractory oxides, nitrides, etc.

Figure 4.14. RF heated aluminum source with boron-nitride/titanium-diboride


crucible. (After Ames, Kaplan and Roland). (From Handbook of Thin Film
Technology. © 1970, McGraw-Hill. Used with permission of McGraw-Hill Book Co.)
Evaporation 181

Figure 4.15. Schematic representation of the distillation setup.

6.6 Electron Beam Heated Sources

Electron beam heated sources have two major benefits. One is a very
high power density and hence a wide range of control over evaporation rates
from very low to very high. Two, the evaporant is contained in a water-cooled
copper hearth thus eliminating the problem of crucible contamination.
The evaporation rate for pure metals like Al, Au, Ag, which are good
thermal conductors, from water-cooled copper crucibles decreases due to
heat loss to the crucible walls. In such cases, crucible liners of carbon and
other refractory materials are used.
Any gun system must consist of at least two elements—a cathode and
anode. In addition, it is necessary to contain these in a vacuum chamber in
order to produce and control the flow of electrons, since they are easily
182 Deposition Technologies for Films and Coatings

scattered by gas molecules. A potential difference is maintained between


the cathode and the anode. This varies from as little as a few kilovolts to
hundreds of kilovolts. In melting systems, a normal operational range is of
the order of 10 - 40 kV. In the simple diode system, the cathode emits
electrons, which are then accelerated to the anode across the potential drop.
Where the anode is the workpiece to be heated, this is termed a work-
accelerated gun. It is shown schematically in Fig. 4.16a. In a self-
accelerated gun structure, an anode is located fairly close to the cathode,
electrons leave the cathode surface, are accelerated by the potential
difference between the cathode and anode, pass through the hole in the
anode and continue onward to strike the workpiece. Self-accelerated guns
have become the more common type in use and offer more flexibility than the
work-accelerated gun.

Figure 4.16. Simple electron beam guns. (a) Work-accelerated gun. (b) Self-
accelerated gun.
Evaporation 183

Electron beam guns may be further subdivided into two types depending
on the source of electrons: (i) thermionic gun and (ii) plasma gun.
Thermionic Gun: In thermionic guns, the source of electrons is a
heated wire or disc of a high temperature metal or alloy, usually tungsten or
tantalum. Such guns have the limitation of a minimum operating gas
pressure of about 1 x 10-3 torr. Higher pressures cause scattering of the
electron beam as well as a pronounced shortening of the cathode life (if it is
a wire or filament) due to erosion by ion bombardment. Figure 4.17 shows
examples of thermionic electron beam heated work-accelerated sources.
The close cathode gun shown in Fig. 4.17A is not a desirable configuration
since molten droplet ejection from the pool impinging on the cathode will
terminate the life of the cathode due to low melting alloy formation. Thus
cathodes are hidden from direct line-of-sight of the molten pool and the
electron beam is bent by electrostatic fields (Figs. 4.17B and 4.17C) or
magnetic field (Figs. 4.18 and 4.19) generated by electromagnets. The latter
is a preferred arrangement since variation of the X and Y components of the
magnetic field can be used to scan the position of the beam on the molten
pool surface.

Figure 4.17. Work-accelerated electron-bombardment sources. (A) Pendant-drop


method. (B) Shielded filament (Unvala). (C) Shielded filament (Chopra and
Randlett). (From Handbook of Thin Film Technology. © 1970, McGraw-Hill. Used
with permission of McGraw-Hill Book Co.)
184 Deposition Technologies for Films and Coatings

Figure 4.18. Bent-beam electron gun with water-cooled evaporant support. (With
permission of Temescal Metallurgical Co., Berkeley, CA). (From Handbook of Thin
Film Technology. © 1970, McGraw-Hill. Used with permission of McGraw-Hill Book
Co.)

Figure 4.19. Transverse electron beam gun.


Evaporation 185

Figures 4.17, 4.18 and 4.19 show linear cathodes (i.e., wires or rods)
and are referred to as transverse linear cathode guns. Figure 4.20 shows a
disc cathode which is characteristic of a high power Pierce type electron
beam gun. Low power Pierce type guns may have a hair pin filament or awire
loop as the cathode. In either case the beam geometry of the Pierce gun is
different than that of the transverse linear cathode guns. In some instances,
the electron emitter assembly is located at a distance from the crucible in a
separately pumped chamber to keep the pressure below 1 x 10 -3 torr, with
a small orifice between the emitter chamber and the crucible chamber for the
passage of electrons.

Figure 4.20. Schematic representation of a Pierce gun.


186 Deposition Technologies for Films and Coatings

Plasma Electron Beam Gun:A plasma is defined as a region of high-


temperature gas containing large numbers of free electrons and ions. By a
proper application of electrical potential, electrons can be extracted from the
plasma to provide a useful energy beam similar to that obtained from
thermionic guns. There are two types of plasma e-beam guns:
(a) The Cold Cathode Plasma Electron Beam—The plasma
electron beam gun has a cylindrical cathode cavity made from
a metal mesh or sheet (Fig. 4.21) containing the ionized
plasma from which electrons are extracted through a small
aperture in one end. The cathode is maintained at a negative
potential, e.g., -5 to -20 kV, relative to the workpiece and
remainder of the system, which are at ground potential. After
evacuation of the system, a low pressure of ionizable gas
in the range of 10 -3 to 10 -1 torr is introduced. Depending
upon the high voltage level, a long path discharge between the
cathode and other parts of the system will occur in the gas at
a particular pressure. Ionizing collisions in the gas then
produce positive ions which are accelerated to the cathode,
causing electrons to be released from the cathode surface.
Although the cathode may heat up slightly due to ion
bombardment, no heating is required for electron emission.
Upon proper adjustment of cathode voltage and gas pressure,
a beam mode of operation is established, since interaction
between the plasma inside and outside of the cathode and the
electric fields between cathode surface and plasma boundary
will largely confine electron emission to the end of the cathode
and its interior. In argon, a beam mode is supported at about
10 -2 torr with 5 - 10 kV. Beam currents range up to 3 A for a
3 inch diameter cathode in argon at 20 kV. With lighter gases,
e.g., helium, higher pressure to about 10 -1 torr will yield a
beam mode in this same voltage range. Beam current will
vary with voltage and pressure control, also. More specific
information is given by Cocca and Stauffer.[44] The beam
is self-collimating because of the focusing effect of positive
ions in the beam path and the electrostatic lensing action of
the aperture since it separates regions of different potential
gradient. The beam is well collimated, having a cross section
equal to that of the cathode aperture. Adjustment of focus
can be achieved to some extent by varying pressure and voltage,
Evaporation 187

but external focusing may also be used if desired, with


magnetic or electrostatic lenses, as with conventional election
beams.

Figure 4.21. Cold cathode plasma electron beam gun.

(b) The Hot Hollow Cathode Discharge Beam—The hollow cathode


discharge beam applied to vacuum processing has been reported
by Morley[45] and differs in a number of respects from the plasma
beam. A schematic of the hollow cathode discharge beam is
shown in Fig. 4.22. Here the cathode must be constructed of a
refractory metal since it operates at elevated temperature. An
ionizable gas, usually argon, is introduced into the system
through the tubular-shaped cathode. A pressure drop across the
orifice in the cathode provides a sufficient amount of gas inside
the cathode to sustain the plasma, which generates the beam.
188 Deposition Technologies for Films and Coatings

A low voltage, high amperage DC power source is utilized.


When RF power from a commercial welding starter is coupled
to the gas, it becomes ionized and the plasma is formed.
Continued ion bombardment of the cathode results in heating
of the cathode and increased electron emission. Ultimately, a
high current “glow discharge” will occur, analogous to that
experienced in vacuum arc melting at higher pressures. At this
point, the discharge appears as a low power density beam
“flowing” from the cathode aperture and fanning out in conical
shape into the chamber. However, a parallel axial magnetic
field is imposed on the beam (as seen in Fig. 4.22) which then
forms a high power density, well-collimated beam. The hollow
cathode discharge beam is operationally stable and efficient
over the pressure range from 10-4 to 10-1 torr. A more detailed
description of physical aspects, operational characteristics,
and cathode design has been given by Morley.[45]

Figure 4.22. Schematic of the hot hollow cathode electron beam gun.
Evaporation 189

Comparisons:Thermionic as well as the plasma e-beam guns can be


used equally well for evaporation. Focusing of the beam spot is easier for the
thermionic guns. The plasma guns have the advantage of being able to
operate at higher pressures which can be important for gas scattering
evaporation, reactive evaporation, and ion plating.

6.7 Arc Evaporation

The definitions of arcs are:


Karl T. Compton, Princeton University: “A discharge in a gas or vapor
that has a voltage drop at the cathode of the order of the minimum ionizing
or exciting potential of the gas or vapor.” Lafferty: “The arc is a self sustained
discharge capable of supporting large currents by providing its own mechanism
of electron emission from the cathode.”
Berghaus[46] describes the use of arcs to form refractory compounds
by reactive evaporation. Since 1940, consumable and nonconsumable
vacuum arc melting processes have been developed to melt and refine
various reactive metals such as Ti, Hf, Zr, etc. More recently, arc techniques
have been used to deposit metals[47][48] and refractory compounds, and
even for extraction of ions from the vacuum arc plasma for the deposition of
metal films.[49]
Wroe[50] in 1958 and Gilmour et al.[51] suggested vacuum arcs as a
source for metallic coatings. The US patents to Snaper[52][53] in 1971 and the
Russian patents to Sablev[54][55] in 1974 set the stage for the commercial
production of arc coatings which were achieved in the USSR around 1977
- 1978. The first commercial use of the arc evaporation-deposition method
was for TiN coatings deposited at low temperatures, particularly for high
speed steel cutting tools by arc evaporation of titanium in a nitrogen plasma.
This follows on the heels of the Activated Reactive Evaporation (ARE)
process developed in 1971 for deposition of refractory compounds such as
TiN using electron beam evaporation techniques and discussed in Sec. 9.6.
There is very extensive Russian literature on vacuum arc coating technology
and the reader can find a convenient source in recent reviews by Sanders[56]
and by Martin.[57]
There are two types of cathodic arc systems—pulsed and continuous.
In the pulsed devices, the arc is repeatedly ignited and extinguished using
a capacitor blank to supply the arc power.[51] Pulsed arcs have the
advantage of letting the target cool between the pulses. The disadvantage
is the decrease in steady state coating rates.
190 Deposition Technologies for Films and Coatings

The continuous cathodic arc can be random in nature or controlled. By


the use of an insulating ring, a random arc source can be constrained at the
edge of the target, but allowed random motion within that constraint. Random
arc sources have the advantage of simplicity and excellent target utilization
because the entire target (except near the very edge) is utilized in the arc of
very large parts. The main disadvantage of random arcs is the formation of
macroparticles which may cause the resulting coating to be unsuitable in
some applications. Figure 4.23 shows that macroparticles are ejected at
small angles with respect to the target surface, and can therefore be
minimized using appropriate shielding. Such a strategy has made possible
arc-produced decorative coatings where surface finish and optical specularity
are of concern.

Figure 4.23. Phenomena occurring at a discrete cathodic arc spot.


Evaporation 191

Magnetic fields can be used to control the trajectories of the arcs.


These fields can be used to discourage the arc from leaving the desired
portion of the target surface or can actually be used to define a well controlled
path for the arc to follow in the so called “steered arc” devices. While the
mechanism is still the subject of some debate, it is clear, at least in the case
of ceramic coatings based on refractory metals, that steered arcs can
produce coatings having extremely low or no measurable macroparticle
component.
Macroparticles can also be removed by the use of suitable filters as
discussed by Sanders[56] and by Martin.[58] This is the so-called “filtered arc
evaporation process.“ Other strategies for macroparticles involve the
production of diffuse arcs. In one case, the cathode is contained in a crucible
which is allowed to heat up to a temperature where the target material has
a substantial vapor pressure.[59] This causes a decrease in the arc voltage
and current density, the discharge becomes diffuse and macroparticles no
longer form. The other approach is the so-called "anodic arc,“[60]-[62] (see
Fig. 4.24). In this process the cathode initially supplies electrons as well as
ions until the anode heats up. At this point, with sufficient electron emission,
a diffuse arc forms on the hot anode target material which supplies the ions
necessary to sustain the discharge. The cathode material is not evaporated
and the coating material now emanates from the anode. There are no
macroparticles formed. High deposition rates (several µm per minute) are
obtained for a variety of metals including Al, Ti, V, Ca, Mn, Fe, Ni, Cu, Pd, Ag,
Au and Pt.[60] Since the substrate is left relatively cool, the process makes
it possible to produce adherent coatings on plastics at temperatures less
than 70°C which makes this relatively new process a competitor for sputter
deposition. Alloy coatings such as stainless steel can be readily deposited
with good stoichiometric transfer. For example, Ni, Al, and stainless steel
coatings less than 1 µm thickness impart excellent corrosion protection to
iron.[63]
One of the main advantages of arc deposition processes is the
relatively high level of ionizing atoms in the plasma. This makes it convenient
to extract ion beams from the plasma and deposit macroparticle free coatings
entirely from the ion beam.[56][58]
192 Deposition Technologies for Films and Coatings

Figure 4.24. Schematic of the anodic arc evaporation process.

7.0 LASER INDUCED EVAPORATION/LASER ABLATION/PULSED


LASER DEPOSITION (PLD)

This technique with many names was first used by Smith and Turner[64]
in 1965 to deposit thin films in a vacuum chamber using a pulsed ruby laser.
Systematic studies in the 1970’s were performed to provide a better
understanding of the physics of laser-solid interactions and the related
issues of deposition mechanisms and film quality. More recently the process
has been extensively used for growing highly crystalline dielectric films,[65]
compound semiconductor epitaxial layers, layers for band-gap
engineering,[66][67] and very extensively for high T c superconducting
films.[68][69] The reader is referred to an excellent review by Cheung and
Sankur.[70]
Evaporation 193

In this technique, material is vaporized and ejected from the surface


of a target as it irradiated by a laser beam. Films are formed by condensing
the material ablated from the target onto a solid substrate. Absorption
characteristics of the material to be evaporated determine the laser wavelength
to be used. To obtain the high power density required in many cases, pulsed
laser beams are generally employed. Pulse width, repetition rate, and pulse
intensity are selected for specific applications.
In some studies on YBCO film deposition, the laser version of a
plasma-assisted reactive evaporation process was used. Oxygen was bled
into the system and a plasma was created in the target-substrate space by
the use of a positively biased electrode placed some distance above the
target. This is the ARE process geometry developed earlier and described
in Sec. 9.6
Although laser evaporation is an attractive approach for synthesis of
high purity metal alloys and compound films, it suffers from the following
limitations:
1. Complex transmitting and focusing systems need to be
employed to direct the beam from the laser located outside the
vacuum system onto the evaporant placed inside the system.
This involves special optical path designs and increases the
cost of the set-up. Also, a window material which efficiently
transmits the wavelength band of the laser must be found and
mounted in such a way that it is not rapidly covered up by the
evaporant flux.
2. It is not always possible to find a laser with wavelength
compatible with the absorption characteristics of the material
to be evaporated.
3. Energy conversion efficiency is very low—usually around 1 to
2%.
4. The size of the deposited film is small (10 to 20 mm, or 0.4 to
0.8 in., diameter), resulting from the small size of the laser
impact spot.
5. The “splashing effect,”[69] which involves the production of
microparticles between 0.1 and 10 µm in size, diminishes film
quality.
The main advantages of this technique are:
1. the production of high-energy species which enhances film
quality.
194 Deposition Technologies for Films and Coatings

2. Excellent transfer of stoichiometry between the target and the


film, e.g., the deposition of hydroxyl apatite thin films for
biomedical applications such as implants.
The macroparticle density can be decreased by lowering the power
level at the expense of deposition rate. The latter may not be important for
many thin film applications.
The question of large area deposition has been recently addressed by
Greer.[71] He has constructed a vacuum deposition system in which the laser
beam is scanned on a rotating YBCO target and the substrate is itself rotated.
This rather complex apparatus is capable of depositing YBCO films onto two
or three inch diameter substrates.

8.0 DEPOSITION RATE MONITORS AND PROCESS CONTROL

The properties of deposits are dependent on the control exercised


during the process. The thinner the deposit, the more critical is the control
of the operation.

8.1 Monitoring of the Vapor Stream

Ionization Gauge Rate Monitor: This device is very similar to a hot


cathode ionization gauge and monitors the atom density in the vapor phase
by ionizing the vapors, collecting and measuring the ion current. Several
arrangements are shown in Fig. 4.25.
Particle Impingement Rate Monitors: The gauge which is a cylinder
suspended by a wire or riding on a bearing is imparted a momentum by the
impinging particles which can be measured by the torsional forces. They are
illustrated in Fig. 4.26.
Ion Current Monitor for Electron Beam Heated Source:An electron
beam heated molten pool has a plasma sheath above it. Positive ions from
the plasma follow a very similar trajectory as the electrons with a slightly
larger radius of curvature, due to their higher mass, and are beamed away
from the molten pool by the same magnetic field which bends the electrons
towards the pool. Therefore an ion collector can be placed so as to intercept
this ion beam and the resultant ion current can be used in a feed-back loop
to control the evaporation rate. Two manufacturers of electron beam guns
have offered this option.
Evaporation 195

Figure 4.25. Ionization rate monitor designs and arrangements. (A) After Schwarz.
(B) After Giedd and Perkins. (C) After Perkins. (D) After Dufour and Zega. (From
Handbook of Thin Film Technology. © 1970, McGraw-Hill, Used with permission
of McGraw-Hill Book Co.)

Spectroscopic Methods: Monitoring and control of the deposition


rate can be done on the basis of mass spectrometry, atomic absorption
spectrometry and electron emission impact spectrometry. Each of them
involves the choice of an appropriate materials-selective sensor. The
principles, advantages and limitations of each of these are presented in a
good review paper by Lu in Thin Solid Films 45:487 (1977). The reader is
referred to this paper and the references cited therein.
196 Deposition Technologies for Films and Coatings

Figure 4.26. Particle-impingement-rate monitors. (A) Torsion-wire device. (After


Neugebaur.) (B) Pivot-supported device. (After Beavitt.) (From Handbook of Thin
Film Technology. © 1970, McGraw-Hill. Used with permission of McGraw-Hill Book
Co.)

8.2 Monitoring of Deposited Mass

Microbalances: There are various types of devices which measure a


change in mass due to condensed atoms based on elongation of a thin
quartz-fiber helix, the tension of a wire or the deflection of a pivot-mounted
beam. Examples are shown in Figs. 4.27 and 4.28.
Crystal Oscillators: The crystal oscillator monitor utilizes the
piezoelectric properties of quartz. The resonance frequency induced by an
AC field is inversely proportional to crystal thickness. In practice, the change
in frequency of a crystal exposed to the vapor beam is compared to that of
reference crystal. An example is shown in Fig. 4.29.

8.3 Monitoring of Specific Film Properties

In preparing thin films, often only one property is of interest, e.g.,


optical or electrical.
Optical Monitors: They measure phenomena such as light
absorbence, transmittance, reflectance or related interference effects during
film deposition. An example is shown in Fig. 4.30.
Resistance Monitors: The film thickness can be continuously
monitored using in situ resistance measurements as shown in Fig. 4.31.
Evaporation 197

Figure 4.27. (A) Schematic drawing and (B) circuit diagram of a microbalance
constructed from a micro-ammeter movement. (Hayes and Roberts.) (From
Handbook of Thin Film Technology. © 1970, McGraw-Hill. Used with permission
of McGraw-Hill Book Co.)

Figure 4.28. Microbalance with torsion-fiber suspension and electromagnetic


force compensation at beam end (Mayer et al.) (From Handbook of Thin Film
Technology. © 1970, McGraw-Hill. Used with permission of McGraw-Hill Book Co.)
198 Deposition Technologies for Films and Coatings

Figure 4.29. Oscillator crystal holders for deposition monitoring. (A) After Behrndt
and Love. (B) After Pulker. (From Handbook of Thin Film Technology. © 1970,
McGraw-Hill. Used with permission of McGraw-Hill Book Co.)

Figure 4.30. Schematic of an RF sputtering system (after Davidse and Maissel) with
optical-thickness monitor. (From Handbook of Thin Film Technology. © 1970,
McGraw-Hill. Used with permission of McGraw-Hill Book Co.)
Evaporation 199

Figure 4.31. Wheatstone-bridge circuit for resistance monitoring. (From Handbook


of Thin Film Technology. © 1970, McGraw-Hill. Used with permission of McGraw-
Hill Book Co.)

8.4 Evaporation Process Control

Thickness Control: Usually monitoring of an evaporation process is


combined with means to control film deposition. Frequently, the only
requirement is to terminate the process when the thickness or a thickness-
related property has reached a certain value. The simplest way is to
evaporate a weighed amount of source material to completion. Knowing the
emission characteristics of the source will allow the film thickness to be
calculated. Alternately, monitoring devices discussed earlier can be calibrated
to measure thickness directly.
Rate Control: Rate control is a more complex task and involves
measuring the signal from a rate monitor and using it in a feedback loop to
control the power to the source and hence its temperature and evaporation
rate. Table 4.5 illustrates the pros and cons of various evaporation process
control methods.
200
Table 4.5. Evaporation Process Control

Deposition Technologies for Films and Coatings


From Handbook of Thin Film Technology. Copyright © 1970, McGraw-Hill. Used with permission of McGraw-Hill Book Company.
Evaporation 201

9.0 DEPOSITION OF VARIOUS MATERIALS

The family of materials which are deposited by evaporation include


metals, semiconductors, alloys, intermetallic compounds, refractory
compounds (i.e., oxides, carbides, nitrides, borides, etc.) and mixtures
thereof. An important point is that the source material should be pure and free
of gases and/or inclusions to forestall the problem of molten droplet ejection
from the pool commonly called spitting.
Let us consider each of the materials.

9.1 Deposition of Metals and Elemental Semiconductors

Evaporation of single elements can be carried out from a variety of


evaporation sources subject to the restrictions discussed above dealing with
melting point, reactions with container, deposition rate, etc. A typical
arrangement is shown in Fig. 4.1 for electron beam heating. As discussed
above, either heating method can also be used. These are the simplest
materials to evaporate. Fortunately, at this time, it is estimated that 90% of
all the material evaporated is aluminum!

9.2 Deposition of Alloys

Alloys consist of two or more components, which have different vapor


pressures and hence different evaporation rates. As a result, the composition
of the vapor phase, and therefore the deposit, has a constantly varying
composition. There are two solutions to this problem—multiple sources and
single rod-fed or wire-fed electron beam sources.
Multiple Sources: This is the more versatile system. The number of
sources evaporating simultaneously is equal to or less than the number of
constituents in the alloy. The material evaporated from each source can be
a metal, alloy or compound. Thus, it is possible to synthesize a dispersion-
strengthened alloy, e.g., Ni-ThO2. On the other hand, the process is complex
because the evaporation rate from each source has to be monitored and
controlled separately. The source-to-substrate distance has to be sufficiently
large (15 inches for 2 inch diameter sources) to have complete blending of
the vapor streams prior to deposition, which decreases the deposition rate
(See Fig. 4.32). Moreover, with gross difference in density of two vapors, it
may be difficult to obtain a uniform composition across the width of the
substrate due to scattering of the lighter vapor atoms. Some examples are
given in Table 4.6.
202 Deposition Technologies for Films and Coatings

Figure 4.32. Two-source evaporation arrangement yielding variable film composition.


(From Handbook of Thin Film Technology. © 1970, McGraw-Hill. Used with
permission of McGraw-Hill Book Co.)

It is possible to evaporate each component sequentially, thus producing


a multi-layered deposit which is then homogenized by annealing after
deposition. This procedure makes it even more difficult to get high
deposition rates. A multiple source arrangement for production of alloy
deposits at high rates is not known.
Single Rod-Fed Electron Beam Source: The disadvantages of
multiple sources for alloy deposition can be avoided by using a single
source.[72][73] It can be a wire-fed or rod-fed source; the latter is shown in
Fig. 4.33. There is a molten pool of limited depth above the solid rod. If the
components of an alloy, A1 B10, have different equilibrium vapor pressures,
then the steady-state composition of the molten pool will differ from the feed
rod, e.g., A1 B10. Under steady-state conditions, the composition of the
vapor is the same as that of the solid being fed into the molten pool. One
has the choice of starting with a button of appropriate composition A1B10 on
top of a rod A1 B1 to form the molten pool initially or one can start with a rod
of alloy A1B1 and evaporate until the molten pool reaches compositions
A1 B10. Precautions to be observed are that the temperature and volume of
the molten pool have to be constant to obtain a constant vapor composition.
A theoretical model has been developed and confirmed by experiment. Ni-
20Cr, Ti-6Al, Ag-5Cu, Ag-10Cu, Ag-20Cu, Ag-30Cu, Ni-xCr-yAl-xY alloy
deposits have been successfully prepared. To date, experimental results
indicate that this method can be used with vapor pressure differences of a
factor of 5,000 between the components. This method cannot be used
where one of the alloy constituents is a compound, e.g., Ni-ThO2 .
Table 4.6. Two-Source Evaporation, Experimental Conditions, and Types of Films Obtained

Evaporation
203
204 Deposition Technologies for Films and Coatings
Table 4.6. (Cont'd)
Evaporation 205

Figure 4.33. Schematic of direct evaporation of an alloy from a single rod-fed


source.

In a recent paper, Shevakin et al.[74] investigated the relationship


between the composition of the evaporant material and the condensates for
alloy evaporation using electron beam evaporation techniques. They used
this method to determine thermodynamic activities of the components of
binary alloys at temperatures above the melting point of the alloy.

9.3 Deposition of Intermetallic Compounds

Intermetallic compounds which are generally deposited such as


GaAs, PbTe, InSb, etc. have as their constituents elements with low melting
points and high vapor pressures. These compound semiconductors need to
have a carefully controlled stoichiometry, i.e., cation:anion ratio. Therefore,
they can best be prepared by flash evaporation or sputtering.
206 Deposition Technologies for Films and Coatings

In flash evaporation, powder or chips of the two components are


sprinkled onto a superheated sheet to produce complete evaporation of both
components. Various possible arrangements are shown in Fig. 4.34. Table
4.7 gives examples of the use of this technique.

Figure 4.34. Flash-evaporation mechanisms. (A) Belt feeder. (Harris and Siegel.)
(B) Worm-drive feeder with mechanical vibrator. (Himes, Stout, and Thun, Braun
and Lood.) (C) Disk feeder (Beam and Takahashi.) (D) Disk magazine feeder.
(Marshall, Atlas, and Putner.) (E) Mechanically vibrated trough and cylinder source.
(Richards.) (F) Electromagnetically vibrated powder dispenser. (Campbell and
Hendry.) (From Handbook of Thin Film Technology. © 1970, McGraw-Hill. Used
with permission of McGraw-Hill Book Co.)
Table 4.7. Flash Evaporation of Materials

Evaporation
207
208 Deposition Technologies for Films and Coatings
Table 4.7. (Cont'd)
Evaporation 209

9.4 Deposition of Refractory Compounds

Refractory compounds are substances like oxides, carbides, nitrides,


borides, and sulfides which characteristically have a very high melting point
(with some exceptions). In some cases, they form extensive defect structure,
i.e., exist over a wide stoichiometric range. For example, in TiC, the C:Ti ratio
can vary from 0.5 to 1.0, demonstrating vacant carbon lattice sites. In other
compounds, the stoichiometric range is not so wide.
Evaporation processes for the deposition of refractory compounds are
further subdivided into two types: (i) Direction Evaporation [75] where the
evaporant is the refractory compound itself; and(ii) Reactive Evaporation[76]
or Activated Reactive Evaporation (ARE)[77] where the evaporant is a metal
or a low-valence compound, e.g., where Ti is evaporated in the presence of
N2 to form TiN or where Si or SiO is evaporated in the presence of O2 to form
SiO2.
Direct Evaporation: Table 4.8 gives the experimental conditions for
the direct evaporation of refractory compounds. Evaporation can occur with
or without dissociation of the compound into fragments. As seen from Table
4.8, the observed vapor specie show that very few compounds evaporate
without dissociation. Examples are SiO, MgF2, B2 O3 , CaF2 and other Group
IV divalent oxides (SiO homologs like GeO and SnO).
In the more general case, when a compound is evaporated or
sputtered, the material is not transformed to the vapor state as compound
molecules but as fragments thereof. Subsequently, the fragments have to
recombine, most probably on the substrate, to reconstitute the compound.
Therefore, the stoichiometry (anion:cation ratio) of the deposit depends on
several factors including the deposition rate and the ratios of the various
molecular fragments, the impingement of other gases present in the
environment, the surface mobility of the fragments (which in turn depends on
their kinetic energy and substrate temperature), the mean residence time of
the fragments of the substrate, the reaction rate of the fragments on the
substrate to reconstitute the compound, and the impurities present on the
substrate. For example, it was found that direct evaporation of Al2O3 resulted
in a deposit which was deficient in oxygen, i.e., which had the composition[78]
Al2O3-x. This O2 deficiency could be made up by introducing O2 at a low
partial pressure into the environment. In other cases, for example the direct
evaporation of TiB2 and ZrB2 , the deposit contains both the monoboride and
diboride phases.[79]
210 Deposition Technologies for Films and Coatings

Table 4.8. Direct Evaporation of Inorganic Compounds


Evaporation 211

Table 4.8. (Cont'd)


212 Deposition Technologies for Films and Coatings

Table 4.8. (Cont'd)


Evaporation 213

9.5 Reactive Evaporation Process

The difficulties involved in direct evaporation processes due to


fragmentation of the vaporized compounds are overcome in reactive
evaporation where a metal is evaporated in the presence of the reactive gas;
the compound is formed by reaction of the evaporated metal species with the
molecules of the reactive gas. Though this technique has been extensively
used to deposit a variety of oxide films for optical applications, it is generally
observed that the films are deficient in oxygen. It is also observed in some
cases, especially in the synthesis of carbide films, that the deposition rate
becomes a limiting factor governing the growth of the films. In such cases,
stoichiometric TiC films could only be deposited at very low rates (~1.5 Å/sec
max).[80] This limitation of deposition rate in the case of the reactive
evaporation process is due to the reaction kinetics of the compound
formation by this process. The presence of a “plasma” in the ARE process
influences the reaction kinetics by providing activation energy to the reactive
species, thereby making it possible to synthesize compound films at
considerably higher rates[82]-[84] and lower temperatures.

9.6 Activated Reactive Evaporation (ARE)

The ARE process generally involves evaporation of a metal or an alloy


in the presence of the plasma of a reactive gas.[81][82] For example, TiC and
TiN coatings are deposited by this process by evaporating Ti in the presence
of C2H2 and N2 plasma respectively. The two basic variants of the ARE
process are shown in Figs. 4.35, 4.36. For more information on the ARE
process, please refer to a review by Bunshah and Deshpandey.[83] The role
of the plasma in this process is two-fold:
1. To enhance the reactions that are necessary for deposition of
compound films.
2. To modify the growth kinetics and hence the structure/
morphology of the deposits.
In the following section we discuss the above two aspects.
Thermodynamic and Kinetic Considerations In Plasma Assisted
Deposition Processes. For the formation of a compound by any chemical
reaction, the corresponding thermodynamic and kinetic constraints must be
satisfied which also apply to the deposition of refractory compound films by
reactive evaporation. In order to understand the role of plasma in enhancing
the chemical reactions essential for the formation of a particular compound,
one has therefore to consider the kinetics of these reactions.
214 Deposition Technologies for Films and Coatings

Figure 4.35. Schematic of the Activated Reactive Evaporation Process.

Let us consider the reactions involved in the synthesis of some oxides,


carbides, and nitrides by reactive evaporation. Given below are the reactions
for forming Al2O3, TiC, and TiN.

2Al + 3 /2 O2 → Al2O3 ∆G° = -250 kcal (mol O2)-1 at 298 K


2Ti + C2H2 → 2TiC + H2 ∆G° = -7.65 kcal (mol C 2H2 )-1 at 298 K
2Ti + N2 → 2TiN ∆G° = -73.5 kcal (mol N2 )-1 at 298 K
Evaporation 215

Figure 4.36. The Activated Reactive Evaporation (ARE) Process[182] using


resistance-heated evaporation source.

As can be seen from the above reactions, the thermodynamic criterion


of free energy of formation is satisfied for the respective compounds.
The reaction kinetics in reactive evaporation process can be treated
in exactly the same manner as for reactions occurring in heterogeneous
systems of condensed phases. The model for heterogeneous metallurgical
kinetics involves: (i) transport of reactant to the reaction interface; (ii)
transport of reaction products away from the reaction interface; (iii) the
chemical reaction at the chemical interface;(iv) the nucleation of new phase;
and (v) heat transfer to or away from the reaction interface.
For reactive evaporation, this model may be depicted as follows (e.g.,
for TiC formation):
216 Deposition Technologies for Films and Coatings

Reactants Products
Ti (metal atoms) TiC (deposit)
C2 H2 (gas) H2 (gas)
Reaction
Interface

On the basis of the above model, the rate-controlling steps in the


reactive evaporation process are: (i) adequate supply of reactants; (ii)
adequate collision frequency; (iii) the rate of chemical reactions at the
interface; and (iv) the rate of removal of the reaction products from the
interface.
It is easy to satisfy (i), (ii), and (iv) above for a reactive evaporation
process. However, condition (iii), i.e., the rate of reaction, becomes the rate
governing step. The “plasma” in the ARE process influences this step, i.e.,
the rate of reaction, by providing the necessary activation energy to the
reactive species. The effect of plasma on rate of reaction can be clearly
demonstrated by considering the results of Abe et al.[80] and Bunshah and
Raghuram[84] on deposition of TiC coatings. Abe et al. found that titanium
carbide with a carbon-to-titanium ratio of 1 could be formed by a reaction
between Ti and C2H2 or C2 H4 molecules on a substrate at 300 - 500°C only
if the deposition rate is 1 to 1.5 Å/sec. At higher deposition rates, no TiC was
formed. Clearly the activation barrier could not be overcome at the higher
deposition rates. Bunshah and Raghuram[84][85] have similarly reported that
the deposition of TiC by reactive evaporation at higher deposition rates (150
- 200 Å/sec) required a very high substrate temperature, exceeding 1000°C.
However, in the presence of plasma, these authors reported that it was
possible to deposit TiC at a high rate at a relatively low substrate temperature.
The plasma imparts sufficient energy to the reacting species to overcome the
activation energy barrier, and hence condition (iii), i.e., the rate of reaction,
no longer remains the rate-governing step.
Basic Variants of the ARE Process. The two basic variants of the
ARE process are activated reactive evaporation with an electron-beam
evaporation source[82] and the ARE processes with a resistance-heated
source.[86]
1. ARE processes with an electron-beam-heated evaporation
source are illustrated in Fig. 4.35. In this process, the metal is
heated and melted by a high-acceleration-voltage electron
beam that produces a thin plasma sheath on top of the melt.
The low energy secondary electrons from the plasma sheath
Evaporation 217

are pulled upwards into the reaction zone by an electrode


placed above the pool biased to a low positive DC or AC
potential (20 to 100 V), thus creating a plasma-filled region
between the electrode and the electron-beam gun. The low-
energy electrons have a high ionization cross section, thus
ionizing or activating the metal and gas atoms and increasing
the reaction probability on collision. Charge-exchange
processes between positive ions and neutral atoms take place
in the plasma. In addition, as suggested by Yee, [87] transient
highly excited compound species are formed. The formation
of the compound is completed most probably on the substrate
from these energetic and excited transient species. The
synthesis of TiC by reaction of Ti metal vapor and C2H2 gas
atoms with a carbon-to-metal ratio approaching unity was
achieved with this process.[82][84] Moreover, by varying the
partial pressure of either reactant, the carbon-to-metal ratio of
carbides could be varied[84] at will. The ARE process has also
been applied to the synthesis of all five different Ti-O oxides.[88]
These authors noted that in the ARE process (i.e., with a
plasma) as compared to the RE process (i.e., without a
plasma), a higher oxide is formed for the same partial pressure
of O2 , thus demonstrating a better utilization of the gas in the
presence of a plasma. The same observation was noted by
Bunshah and Raghuram,[84] as well as by Granier and
Besson,[89] for the deposition of nitrides.
2. A variation of the ARE process uses a resistance-heated
evaporation source. The basic ARE process uses electron-
beam-heated sources, which are expensive and inconvenient
for the evaporation of low-melting-point high-vapor-pressure
materials. Nath and Bunshah[86] modified the ARE process for
resistance-heated sources, as shown in Fig. 4.36. The metal
vapors are generated from the chamber; the reaction is
enhanced by a plasma generated by injecting low energy
electrons from a heated thoriated tungsten emitter towards a
low-voltage anode assembly. A transverse magnetic field is
applied to cause the electrons to go into a spiral path, thus
increasing the probability of electron/atom collision and
subsequent ionization.
218 Deposition Technologies for Films and Coatings

Modifications of the Basic ARE Process. The ARE process has


substantial versatility since the substrate can be grounded, positively or
negatively biased, or it can be allowed to float electrically. There are several
modifications of the basic ARE process, as illustrated in Fig. 4.37.
1. The Enhanced ARE Process.[90] This is the conventional ARE
process using electron-beam heating with the addition of a
thermionic electron emitter (e.g., a tungsten filament) for the
deposition of refractory compounds at lower deposition rates
as compared to the basic ARE process. The low-energy
electrons from the emitter sustain the discharge, which would
otherwise be extinguished since the primary electron beam
(used to melt the metal) is so weak that it does not generate an
adequate plasma sheath above the molten pool from which low
energy electrons can be extracted by positively biased
interspace electrode. The substrate may be biased, grounded
or floating.
2. Low-Pressure Plasma Deposition (LPPD) Process. Using
electron-beam evaporation sources, the electric field may be
generated by biasing the substrate positively instead of using
a positively biased interspace electrode. In this case, it is called
low-pressure plasma deposition (LPPD) by Nakamura et al.[91]
However, this version has a disadvantage over the basic ARE
process since one does not have the freedom of choice to
ground the substrate, let it float, or bias it negatively (the BARE
process—see #4 below).
3. ARE Using Plasma Electron-Beam Guns. The plasma electron-
beam gun, instead of the thermionic electron-beam gun, can
be used to carry out the ARE process. The hot hollow cathode
gun has been used by Komiya et al.[92] to deposit TiC films,
whereas Zega et al.[93] used a cold cathode discharge electron-
beam gun to deposit titanium nitride films. The plasma e-beam
sources produce an abundant supply of low-energy electrons
for the ARE-type process.
4. Reactive Ion Plating (RIP) Processes. If the substrate is biased
in the ARE process, it is called biased activated reactive
evaporation (BARE). This bias is usually negative to attract the
positive ions in the plasma. The BARE process has been
reinvented and called reactive ion plating by Kobayashi
Evaporation 219

Figure 4.37. Basic “ARE” process and later variations.

and Doi.[94] Reactive ion plating (RIP) is very similar to the


reactive evaporation process in that metal atoms and reactive
gases react to form a compound aided by the presence of a
plasma. Since the partial pressure of the gases in reactive ion
plating are much higher (> 10-2 torr) than in the ARE process
(> 10-4 torr), the deposits can become porous or sooty. The
plasma cannot be supported by lower pressure in the simple
diode ion plating process; therefore, Kobayashi and Doi[94]
introduced an auxiliary electrode biased to a positive low
220 Deposition Technologies for Films and Coatings

voltage (as originally conceived for the ARE process) to


initiate and sustain the plasma at low pressure (~10-3 torr).
This is no different than the ARE process with a negative bias
on the substrate reported[81] much earlier by Bunshah, which
was designated by him as the biased ARE (or BARE) process.
5. Another variation of reactive ion plating using a triode
configuration[95] involves injection of electrons into the reaction
zone between the electron-beam-heated evaporation source
and the negatively biased substrate from a heated tungsten
filament transversely to the metal vapor path. These low-
energy electrons are pulled across the reaction zone by a
positively biased anode located opposite to the cathode. The
arrangement is very similar to that shown in Fig. 4.27 except for
the use of an electron-beam-heated evaporation source, and
is also very similar to the triode sputtering. This adds versatility
as well as complexity to the process through the addition of
another process variable.
6. Murayama[96] uses an electron-beam-heated source with a
negatively biased substrate and RF activation of the reactants
by means of a coil electrode of aluminum wire in the reaction
zone to deposit oxide and nitride films.
7. ARE Process Using an Arc Evaporation Source. Evaporation
of metals using a low-voltage arc in the presence of a plasma
and a negatively biased substrate is used by Snaper[52][53] and
Dorodnov[97] to deposit nitride and carbide films, with N2 and
hydrocarbon reactive gases, respectively.
Recent Developments in the ARE Process. In the last few years,
new techniques based on ARE are being developed for synthesis of novel
and unique materials. The emphasis of such developments is generally on
two aspects: i) new approaches to produce the vapor species, and ii) new
plasma excitation and confinement techniques and development of modified
plasma excitation geometries.
New Approaches to Produce the Various Species. The basic
process involves evaporation of the constituent metal alloy or compound
using e-beam or resistance/induction heated sources. However, it is
difficult to use this approach with certain materials such as boron and
carbon. Two possible solutions can be used to overcome these difficulties:
i) use a low melting point compound of the respective element, and ii) use
Evaporation 221

a pulsed laser beamwherethe pulse rate and pulse width can be appropriately
adjusted to control the rate of material generation and fragmentation.
Moreover, in many cases, the energy of the laser beam can also be used as
source for plasma excitation.
Both of these approaches have been explored. A process developed
by Bunshah et. al.[98] for the synthesis of cubic boron nitride involves boric
acid as an reactant, which can be easily evaporated from a resistance-
heated tungsten boat. In addition to the ease of evaporation, this process
also excludes the toxicity problems associated with fine boron particles
which can be produced during e-beam evaporation of boron. A similar
approach can be extended to evaporation of carbon using a low melting point
carbon compound such as adamantine. It is likely that many new materials
hitherto difficult to synthesize may possibly be deposited using this routine.
Moreover, this novel approach may contribute to further development in
reactive MBE processes and other vapor deposition processes involving
organometallic compound reactants.
The use of pulsed laser beams in an ARE type of process has been
demonstrated in recent literature on high Tc superconducting films. Films
with high Tc (90 K) and high critical current density (0.7 x l06 A·cm-2 at 77 K)
have been produced.[99] It is claimed that pulsing of the laser beam avoids
fractionation of the compound and hence good control of film stoichiometry
is achieved. It is also suggested that the photon energy is sufficient to
activate the reactive gas/metal species thereby increasing their reactivity,
leading to increase in oxygen concentration in the deposited films.
New Plasma Excitation Modes and Geometries. As discussed
earlier, the attributes of the ARE processes are due to the possibility of
controlling the plasma parameters independently of the deposition process.
However, improvement in excitation and confinement of the plasma, as well
as control and optimization of plasma parameters in the ARE processes, are
likely to enhance the process capabilities. Recent developments include (i)
the use of inductively coupled RF with parallel plate RF geometries, and (ii)
the use of multiple filaments and anodes with magnetic confinement. These
enhancements have led to substantial improvements in film properties as
well as process control. Examples are high rate deposition of a-Si-H
films,[100] transparent conducting films on polymeric substrates(101) and TiSx
and MoSx[102][103] films with variable x values.
Two additional modes of ionization are being explored. Currently an
auxiliary RF excitation source similar to that reported by Oeschner[104] is
being developed for use in ARE. It is believed that the high electron density
222 Deposition Technologies for Films and Coatings

and energy selectivity offered by this source is likely to enhance advantages


of the ARE processes for compound synthesis. Also, work is underway to
integrate Electron Cyclotron Resonance (ECR) excitation at microwave
frequencies with the ARE process. ECR plasmas are characterized by a
very high level of ionization and excitation, and may greatly enhance the use
of ARE for the deposition and synthesis of films.
Mechanism of the ARE Process. A reactive evaporation process
can be simply written as a reaction between the reactants giving rise to the
products. Illustrating this for the deposition of TiC films, one may write

xTi (vapor) + CxHy (gas) → xTiC (solid) + yH (gas)

In a plasma-assisted deposition process, the reactants dissociate into


fractions/radicals and ionic species are produced. Therefore a multiplicity of
reaction paths are possible and the overall reaction becomes more complex.
Deshpandey, O’Brien, Doerr, and Bunshah[105][106] studied the
synthesis of TiC and TiN films, evaporating Ti in a plasma of CxHy gases for
the synthesis of TiC films and N2 or NH3 with Ti for the synthesis of TiN films.
Several spectroscopies were used to carry out diagnostics on the plasma in
the source-substrate volume to determine the species present and the
potential reaction paths leading to film formation. Neutral mass spectrometry
(MS), plasma mass spectrometry (PMS), and optical emission spectroscopy
(OES) were used to examine the nature and relative concentrations of
neutral, excited and ionized species present in the process.
The main results of these investigations are as follows:
1. Polymerizing reactions producing higher molecular weight
hydrocarbon species are dominant in the case of methane.
Polymerization increases with increasing flow rate of CH4 for
a given electron beam current. The above reactions lead to the
formation of relatively soft films containing TiC and graphitic
phases.
2. Hard, single-phase TiC films are formed at flow rates of about
50 standard cm3 min-1 C2 H2 for beam currents in the range of
0.2 - 0.3 A. Polymerization reactions do not take place when
C2 H2 is used as a reactive gas. Species such as carbon, CH,
and CH2 formed in the plasma from the dissociation of C2H2
react with titanium to form TiC. The PMS and MS data indicate
the following possible routes for formation of TiC:
Evaporation 223

a. formation of TiC in the plasma volume through reactions


such as:

Ti + C → TiC Ti + CH → TiC + H
Ti + CH2 → TiC + 2H Ti + CH3 → TiC + 3H

followed by condensation of TiC molecules on the substrate;


or
b. formation of TixCy or Ti2 Cy or Ti2CyHz complexes in the
plasma volume followed by condensation on the substrate
to form TiC according to:

TixCy → TiC + C TixCyHz → TiC + CyHz

Present data are not sufficient to determine which of these two


schemes is dominant in the formation of TiC. PMS and MS
sampling of the arriving flux on the substrate as well as studies
with a biased substrate are necessary to resolve this issue.
Similar studies on the deposition of TiN films revealed the following:
1. Evaporation of Ti in a N2 plasma showed that the predominant
species leading to hard stoichiometric TiN films is 2Ti+ + N2+ →
2TiN. The ratio of Ti+/N2+ in the plasma was 1.05, i.e., close to
unity. When this ratio was increased to 1.5, soft films with
excess Ti in the deposit were produced. Yee[87] also proposed
the same reaction path based on his optical emission
spectrographic studies.
2. Evaporation of Ti in an NH3 plasma showed similar results.
Under conditions where the Ti+/N2+ ratio was high, the films
were soft and titanium rich. With a higher flow rate of NH 3, the
N2+ concentration in the plasma was higher and the films were
hard.

9.7 Materials Synthesized by Evaporation-based Processes

A variety of metals, alloys, and compounds (oxides, nitrides, carbides,


sulfides) have been deposited using evaporation and related processes. In
particular, the plasma-assisted variant of the evaporation process, such as
activated reactive evaporation, has been successfully used for deposition of
a variety of compounds for tribological as well as opto-electronic applications.
Recently, a modified process basedon the ARE technique has also proved
224 Deposition Technologies for Films and Coatings

to be successful in synthesizing c-BN.[98][107] A representative list of the


compounds synthesized by the ARE process is given below. In a very recent
development, the ARE process has been able to deposit Al2O3 films at very
high deposition rates (8 to 12 µm/hr); these rates are 10 to 30 times higher
than those by sputter deposition.[108]
The compounds synthesized by the ARE process include:
Carbides: TiC, HfC, ZrC, VC, W2C, TaC
Carbonitride: Ti (C,N)
Nitrides: TiN, HfN, ZrN
Oxides: TiO2, ZrO2, Al2O3, SiO2
Sulfides: TiS2, MoS2, MoS3
Superconductors: Low Tc: Nb3Ge, CuMo6 S8
High Tc: YBa2CU3O7-8
Photovoltaic Materials: a-SiH, CuInS2
Opto-electric Materials: In(Sn)O2, ZnO
Novel Materials: c-BN, Diamond, i-C, a-C

10.0 MICROSTRUCTURE OF PVD CONDENSATES

10.1 Microstructure Evolution

PVD condensates deposit as single crystal films on certain crystal


planes of single crystal substrates, i.e., by epitaxial growth,[109] or in the more
general case, the deposits are polycrystalline. In the case of films deposited
by evaporation techniques, the main variables are: (i) the nature of the
substrate;(ii) the temperature of the substrate during deposition; (iii) the rate
of deposition; (iv) the deposit thickness; (v) the angle of incidence of the
vapor stream; and (vi) the pressure and nature of the ambient gas phase.
Contrary to what might be intuitively expected, the deposit does not start out
as a continuous film one monolayer thick and grow. Instead, three-
dimensional nuclei are formed on favored sites on the substrates, e.g.,
cleavage steps on a single crystal substrate; these nuclei grow laterally and
in thickness (the so-called island growth stage) ultimately impinging on each
other to form a continuous film. Figure 4.38 shows the growth of gold film on
rock-salt. The average thickness at which a continuous film forms
depends on the deposition temperature and the deposition rate (both
of which influence the surface mobility of the adatom) and varies from
10 Å for Ni condensed at 15°K to 1000 Å for Au condensed at 600°K.
Evaporation 225

This familiar model of island growth of a polycrystalline film during the


initial stages of deposition illustrates the case where there is limited interaction
between depositing atoms and the substrate. This is not always the case.

Figure 4.38. Sequence of micrographs illustrating the effect of increasing deposit


thickness of gold on rock salt (x 8000). (After Pashley,[101] with permission.)

Important differences have been observed. Namba and Mori[237]


found that by converting a significant fraction (~ 10%) of the vapor flux of
Ag to positive ions, epitaxial growth of a single crystal Ag film on a single
226 Deposition Technologies for Films and Coatings

crystal Ag film on a single crystal NaCl substrate biased to -3,000 V was


observed, whereas with vacuum evaporation, the Ag film was polycrystalline.
No clear explanation is possible except to note that the mobility of the
deposited species is much greater when partially ionized than for neutral
vapor specie. The effective surface temperature of the growing film is much
higher due to ion bombardment, thus permitting greater surface mobility and
resulting in epitaxial growth. Taylor(238) used low energy electron diffraction
(LEED) techniques to study the epitaxial deposition of Cu onto a single
crystal[196] face of tungsten under ultra-high vacuum conditions. This
represents the case where there is appreciable bonding between depositing
atoms and the substrate. The deposit on a clean tungsten surface was a
uniformly thin[166] Cu film, i.e., no island growth prior to the formation of a
continuous film even at thicknesses of 1½ atomic layers. He further
observed that chemisorption of even a half monolayer of oxygen severely
inhibited epitaxial growth.
Sherman, Bunshah, and Beale[119] studied the deposition of thick Mo
films onto a rolled Mo sheet substrate as a function of deposition temperature.
They observed polycrystalline deposits at all temperatures except in the
range of 973° to 1188°K, where the surface oxide MoO3 is unstable and
evaporates rapidly, thereby leaving behind a “clean” Mo surface on which
epitaxial growth can readily occur aided by the high surface mobility at the
elevated deposition temperature.
Once a continuous film has formed, the subsequent evolution to the
final structure of the thin film is poorly understood at present. It undoubtedly
depends on the factors mentioned above which in turn influence the primary
variables of nucleation rate, growth rate, and surface mobility of the adatom.
The problem has been tackled by Van der Drift[110] and is also the subject of
a paper by Thornton.[111]
The microstructure and morphology of thick single phase films have
been extensively studied for a wide variety of metals, alloys and refractory
compounds. The structural model was first proposed by Movchan and
Demchishin,[75] Fig. 4.39, and was subsequently modified by Thornton as
shown in Fig. 4.40. Movchan and Demchishin’s diagram was arrived at from
their studies on deposits of pure metals and did not include the transition zone
of Thornton’s model, Zone T, which is not prominent in pure metals or single
phase alloy deposits, but becomes quite pronounced in deposits of refractory
compounds or complex alloys produced by evaporation, and in all types of
deposits produced in the presence of a partial pressure of inert or reactive
gas, as in sputtering or ion plating processes.
Evaporation 227

Figure 4.39. Structural zones in condensates. (Movchan and Demchishan.)

Figure 4.40. Structural zones in condensates. (Thornton.)

The evolution of the structural morphology is as follows:


At low temperatures, the surface mobility of the adatoms is reduced
and the structure grows as tapered crystallites from a limited number of
nuclei. It is not a full density structure but contains longitudinal porosity of
228 Deposition Technologies for Films and Coatings

the order ofa few hundred angstroms width between the tapered crystallites.
It also contains a high dislocation density and has a high level of residual
stress. Such a structure has also been called “Botryoidal” and corresponds
to Zone 1 in Figs. 4.39 and 4.40.
As the substrate temperature increases, the surface mobility increases
and the structural morphology first transforms to that of Zone T, i.e., tightly
packed fibrous grains with weak grain boundaries, and then to a full density
columnar morphology corresponding to Zone 2 (Fig. 4.40).
The size of the columnar grains increases as the condensation
temperature increases. Finally, at still higher temperatures, the structure
shows an equiaxed grain morphology, Zone 3. For pure metals and single
phase alloys, T1 is the transition temperature between Zone 1 and Zone 2
and T2 is the transition temperature between Zone 2 and Zone 3. According
to Movchan and Demchishin’s original model,[75] T1 is 0.3 Tm for metals, and
0.22 - 0.26 Tm for oxides, whereas T2 is 0.45 - 0.4 (Tm is the melting point in
°K).
Thornton’s modification shows that the transition temperatures may
vary significantly from those stated above and, in general, shift to higher
temperatures as the gas pressure in the synthesis process increases.
It should be emphasized that:
1. The transition from one zone to the next is not abrupt but
smooth. Hence the transition temperatures should not be
considered as absolute, but as guidelines.
2. All zones are not found in all deposits. For example, Zone T is
not prominent in pure metals, but becomes more pronounced
in complex alloys, compounds, or in deposits produced at
higher gas pressures. Zone 3 is not seen very often in
materials with high melting points.
The reader is referred to a more extensive description given by Greene
in this book in Ch. 13, which includes a discussion of the effects of substrate
surface roughness and pressures.
Most thick deposits exhibit a strong preferred orientation (fiber texture)
at low deposition temperatures and tend towards a more random orientation
with increasing deposition temperature. Figure 4.41 shows the evolution of
a large-grained columnar morphology in a Be deposit from a much larger
number of fine grains which were originally nucleated on the substrate. As
growth proceeds, only those grains with a preferred growth direction survive,
presumably due to considerations of the minimization of surface energy.
Evaporation 229

Figure 4.41. Photomicrograph of a Be deposit showing the evolution of large


columnar grains.

Elegant proof of the importance of surface mobility was also provided


by Movchan and Demchishin.[75] Plots of the log of the grain diameter versus
the inverse of deposition temperature in Zones 2 and 3 yield straight lines
from which activation energies can be computed. It was found that the
activation energy for Zone 2 growth corresponded to that for surface self-
diffusion and for Zone 3 growth to volume self-diffusion.
The morphological results reported by Movchan and Demchishin for
nickel, titanium, tungsten, Al2O3 and ZrO2 have been confirmed for several
metals and compounds. The data are given in Table 4.9.[114][124][115][116]
Bunshah and Juntz [117] studied the influence of condensation
temperature on the deposition of titanium. Their microstructures, shown in
Fig. 4.42, agree substantially with those of Movchan and Demchishin for
Zones 1 and 2 and T1, the transition temperature between Zones 1 and 2.
However, they failed to observe Zone 3 at the temperatures above 700°C
found by Movchan and Demchishin.[75] The structure was columnar up to
833°C, which is the α:β phase transformation temperature for titanium. At
deposition temperatures above 833°C, the deposit crystallizes as the β
phase and on cooling to room temperature, should transform to theα phase,
resulting in the typical “transformed-beta” microstructure shown in Fig. 4.42
(900°C deposit), which could be mistaken for an equiaxed microstructure.
Hence, the claim of such a transition in structure from Zone 2 to 3 by Movchan
and Demchishin for titanium deposits is confusing.
230 Deposition Technologies for Films and Coatings

Table 4.9. Transition Temperatures between Various Structural Zones

Kane and Bunshah[118] observed the change in morphology in deposited


nickel sheet. At 425°C deposition temperature, the deposit showed a Zone
2 morphology, whereas, at 554°C, the deposit showed a Zone 3 morphology.
Chambers and Bower[123] studied the deposition of magnesium,
copper, gold, iridium, tungsten, and stainless steel. Of the photomicrographs
presented, gold and magnesium showed Zone 2 columnar morphology at the
appropriate substrate temperatures.
Figure 4.43 shows surface and cross section photomicrographs of a
Ni-20Cr sheet deposited by Agarwal, Kane and Bunshah.[124] At 950°C,
760°C, 650°C, and 427°C deposition temperatures, the surface and cross
section showed an equiaxed Zone 3 morphology.
Mah and Nordin[121] found that the Movchan-Demchishin model was
obeyed by beryllium also. They observed structures corresponding to all
three zones with transition temperatures as predicted by the model.
Evaporation
231
Figure 4.42. Structure of titanium deposits at various substrate temperatures (Bunshah and Juntz).
232 Deposition Technologies for Films and Coatings

Figure 4.43. Photomicrographs of typical Ni-20Cr deposits at various substrate


temperatures. (Agarwal, Kane, and Bunshah.)[124]
Evaporation 233

Neirynck, Samaey and Van Poucke[125] studied the influence of


deposition rate and substrate temperature on the microstructure, adhesion,
texture, and condensation mechanism of aluminum and zirconium coatings
on steel substrates and wires in batch and continuous-coating methods.
Kennedy[120] showed a change in morphology from columnar to
equiaxed in Fe and Fe-10Ni alloy with higher deposition temperature.
Deposits of Fe-1%Y which is a two phase alloy, showed columnar morphology
only, the structure becoming coarser at higher deposition temperature. The
second phase appears to nucleate new grains so that the grain size in Fe-
1%Y alloys is much finer than that of iron.
The microstructure of copper-nickel alloys[122] produced by co-
deposition from two sources showed a single phase, as might be expected
for this system, which shows a complete solid solubility. On the other hand,
sequential deposition of Cu and Ni from two sources shielded from each
other onto a rotating substrate produced a microlaminate structure in the
deposit where the laminate size can be varied from 0.01 to 40 µm by adjusting
the deposition parameters.[239] Similar structures were also developed in the
Fe-Cu[239] and in the Ti-B4C system.[239]
ln alloy systems showing the presence of several phases, e.g., Ni-B
and Cr-Si, the deposits showed the phases present corresponded to those
expected from the diagram.[122]
Smith, Kennedy, and Boericke[122a] studied the deposition of the two
phase (α+β) type Ti-6Al-4V alloy deposited from a single rod-fed source. The
microstructure was very similar to wrought material with the same
characteristic α+β morphology present on a finer scale in the deposited
material.
Dispersion-strengthened alloys produced by co-deposition from multiple
sources have also been produced. Paton et al.[122] produced Ni-TiC, Ni-NbC
and Ni-ZrO2 alloys. The particle size increases from 100 to 1000 Å by
changing the deposition temperature from 350° to 1000°C. The size of the
dispersed carbide phase particles increased on annealing at 1000° to
1100°C due to their slight solubility in nickel. On the other hand, the size and
distribution of ZrO2 dispersion remained constant even after exposure at
1300°C for 5 hours as shown in Fig. 4.44.
Movchan, Demchishin, and Kooluck[126] produced Fe-NbC and Fe-Ni-
NbC dispersion strengthened alloys by co-evaporation. The microstructure
exhibited columnar morphology, with the inclusion of a fine dispersion of NbC
particles.
234 Deposition Technologies for Films and Coatings

Figure 4.44. Microstructure of dispersion strengthened Ni-ZrO2 alloy before and


after exposure at 1300°C for 5 hours (Paton, Movchan, and Demchishin).[122]
Evaporation 235

Raghuram and Bunshah[127] studied the microstructure of TiC deposits


from 500° to 1450°C shown in Fig. 4.45. They observed the transition from
the tapered crystallite (Zone 1) to columnar structure at 973°K, or 700°C (0.3
Tm). The highest deposition temperature (1450°C) used by these investigators
was not sufficient to produce an equiaxed structure although this temperature
corresponds to 0.51 Tm.

Figure 4.45. Structure of TiC deposits at various substrate temperatures (Raghuram


and Bunshah).[127]
236 Deposition Technologies for Films and Coatings

The energy of the depositing beam of atoms can be increased if some


of them are ionized. It has been shown by Smith[23] that a small fraction of
the vaporized species from an electron beam heated source is ionized due
to collisions with electrons in the plasma sheath above the molten pool.
Bunshah and Juntz[128] biased the substrate to -5,000 V during the deposition
of beryllium at 570°C and found that the columnar grain size was markedly
refined by the ion bombardment as compared to the grain size produced
without biasing the substrate at the same deposition temperature. It may be
postulated that the ion bombardment causes a localized increase in
temperature at the surface where deposition is occurring, thus causing a
higher nucleation rate and a finer grain size. Similar results have been
reported for tantalum.[129] The use of hollow cathode gun intensifies the
degree of ionization of the vapor species, resulting in a marked increase in
kinetic energy of the vaporized atoms.[130] The effects of substrate bias are,
therefore, easier to observe. Increasing the substrate bias results in a
change in morphology from columnar to fine, equiaxed grains for silver
deposited on beryllium and stainless steel,[131] and for silver and copper
deposited on stainless steel.[132]
On the other hand, the presence of a gas at high pressures (5 to 20 µm)
results in a net decrease in kinetic energy of the vaporized atoms due to
multiple collisions during the transverse from source to substrate. This
degrades the microstructure to loose columnar grains[132] and eventually to
an agglomerate of particles. (This, in fact, is a way to produce fine powders
by evaporation and subsequent gas-phase nucleation and condensation.)
The negative effects of the presence of a high gas density on the kinetic
energy and the mobility of adatoms on the deposit surface can be overcome
by either biasing the substrate[132][133] and/or heating the substrate to a
higher temperature.[134]

10.2 Texture

The texture of evaporated deposits is, in general, dependent on


deposition temperature. At low deposition temperatures, a strong preferred
orientation is generally observed: {211} in iron,[120] {220} in TiC,[127] and
{0002} in Ti.[135] As deposition temperature increases, the texture tends to
become more random. In the case of beryllium,[114] the texture changed to
a {110} orientation at high deposition temperatures. The presence of a gas
tends to shift the preferred orientation to higher index planes.[136] For silver,
increasing the substrate bias changes the preferred orientation from {111} to
{200} and back to {111}.[121]
Evaporation 237

10.3 Residual Stresses

Residual stresses in deposits are of two types. The first kind arises
from the imperfections built in during growth (the so-called growth stresses).
An increase in deposition temperature produces a marked decrease in the
magnitude of this stress.[127][137] The other source of residual stress is due
to the mismatch in the coefficient of thermal expansion between the substrate
and the deposit. Its magnitude and size depend on the values of the thermal
expansion coefficients as well as the thickness and size of the substrate and
deposit. The influence of a negative bias on the substrate produces a
compressive stress in the deposit, which reaches a maximum value at -200
to -300 V DC bias and then decreases.[133]
High residual stresses can cause plastic deformation (buckling or
bending), cracking in the deposit or the substrate, or cracking at the
substrate-deposit interface. The latter can be minimized by grading the
interface, i.e., producing the change in material over a finite distance instead
of producing it abruptly at a sharp interface. A graded interface can be
produced by gradually changing the deposition conditions or by interdiffusion,
which is enhanced by higher substrate temperature or increased kinetic
energy of the vapor species.

10.4 Defects

Let us next consider the “defects” found in vapor-deposited materials.


The first one is classified as a spit, or small droplet ejected from the molten
pool, which lands on the substrate and is incorporated into the coating.[138]
An example is shown in Fig. 4.46. The composition of the droplet is different
from that of the coating in the case of an alloy and can therefore be the site
of corrosion initiation. The bond between the droplet and the surrounding
material is usually poor. Hence, corrosion attack can proceed down the
boundary to the substrate or undermine the coating. The spit may also fall
out, leaving a pinhole behind which can act as a stress concentrator and limit
the ductility or the uniform elongation of a sheet material. Spits or pinholes
do not affect the yield strength or reduction of area in a ductile material, but
they can be stress raisers and sites for fatigue-crack initiation. Both spits and
foreign particles on the substrate surface induce preferential growth of the
deposit in that area because of higher exposure to the vapor flux than the
general growing interface. This region of preferential growth is termed a
flake; typical flakes are shown in Fig. 4.47. There is marginal bonding
between the flake and the deposit, which can lead to formation of a pit or
crack, or to nucleation of corrosive attack.
238
Deposition Technologies for Films and Coatings
Figure 4.46. Vapor source droplet (spit) defect in M-Cr-Al-Y coatings. (a) and (b) show defects overcoated with additional material.
(c) fatigue crack initiated at spit (Boone et al.). (Courtesy of Amer. Inst. of Physics.)
Evaporation 239

Figure 4.47. Flake defects in (a) and (b) produced by accelerated coating
deposition on foreign particles. Glass bead peening incorporates flake into the
coating (c) or knocks it out and forms a pit (d) (Boone et al.). (Courtesy of Amer. Inst.
of Physics.)

Spits can be suppressed by eliminating porosity, oxide inclusions and


compositional inhomogeneities in the evaporant source material, since
spitting can be caused by included-gas release or by the release of bound
gas through thermal decomposition. In electron-beam evaporation, the
beam of electrons dissipates energy over a path extending as much as a mil
(25 µm) or more into the melt. If this energy is delivered at a rate faster than
the coating material can accommodate by evaporation, conduction, or
radiation, a pocket of vapor forms and spitting occurs. Spits are also caused
by gas pockets included in the evaporant rod that suddenly expand when
rapidly heated by the beam. Nonmetallic inclusions also can trap
pockets of superheated vapor below them, which can erupt in a
shower of molten droplets. Spits can be avoided by using a high
purity vacuum melted rod as the evaporant. Flake formation can be
avoided by avoiding the presence or impingement of foreign particles on the
240 Deposition Technologies for Films and Coatings

substrate (primarily by substrate surface cleaning and good housekeeping


of the deposition apparatus). Deep grooves or ridges on the substrate can
also produce flake-type defects by shadowing adjacent regions of the
specimen surface.
Another type of defect occurs in complex alloys[138] such as M-Cr-Al-
Y (where M can be nickel, cobalt or iron), where even at deposition
temperatures of 955°C, the deposit morphology corresponds to the fibrous
transition zone between Zone 1 and Zone 2. The grain boundaries in this
morphology are weak, causing intergranular corrosive attack (see Fig. 4.48).
The problem can be obviated by increasing the adatom mobility through the
use of a higher substrate temperature or specimen bias of about -200 V, or
by using a post-coating process that consists of a room temperature high
intensity glass bead peening followed by a high-temperature anneal in
hydrogen. Compound rotation of the specimen, which exposes higher
surface irregularities to varying angles of impingement of incoming vapor
atoms, produces a significant decrease in the number and size of open,
columnar defects.

Figure 4.48. SEM photomicrograph of impact fracture surface of as-deposited


overlay coating. Fracture is intercolumnar indicating weak boundaries (Boone et
al.). (Courtesy of Amer. Inst. of Physics.)

Another problem in deposits of complex alloys is due to the variation


in deposit chemistry attributable to segregation in the ingot and large pool
temperature variations caused by the finite size of the electron beam.[138][139]
Improved ingot quality, development of improved electron beam sources,
Evaporation 241

and decrease in the temperature gradient at the crucible walls by using


crucible liners or coolant of lower heat capacity, such as NaK, instead of
water would minimize this problem.
In a more recent investigation on the origin of defects and continuing
on the above,[140] it was found that spits in M-Cr-Al-Y type alloys consist of
ejected pool material exhibiting enrichment in impurity elements of low vapor
pressure as a result of superheating of non-metallic particles (carbides or
oxides) in the melt initiating the ejection of pool material. Flakes, generally
cone shaped, were found to originate at non-metallic particles loosely
attached to the surface. Leader formation was found to be weakly dependent
on the angle of incidence of the arriving vapor flux. Both flakes and leaders
seem to be enhanced by preferential growth and shadowing phenomena.

11.0 PHYSICAL PROPERTIES OF THIN FILMS

The Handbook of Thin Film Technology[7] contains an extensive


section on the electrical and electronic conduction, piezoelectric and
piezoresistive, dielectric and ferromagnetic properties of thin films. The
reader is referred to it.

12.0 MECHANICAL AND RELATED PROPERTIES

12.1 Mechanical Properties

Mechanical Property Determination:A number of testing techniques


have been used to determine the strength properties of thin films. They
include the high speed rotor test,[141] the bulge test,[142]-[146] microtensile
testing machines of the soft[147]-[150] and the hard categories[142]-[146] and
even fixtures which can be operated in the electron microscope.[155][156]
Hoffman[157][158] has reviewed the test techniques and the reader could do
no better than to read Hoffman’s article or the original references. The basic
handling problem encountered with the preparation and mechanical property
testing of thin film specimens is much less severe with thick films for which
many of the standard test specimens, machines, and techniques can be
readily used. Therefore, the spectrum of mechanical properties measured
on thick films is much broader than with thin films.
242 Deposition Technologies for Films and Coatings

Tensile Properties of Thin Films:The tensile properties of thin films


have been reviewed.[154][157]-[159] As Hoffman concludes,[157] the data
reported are not very consistent even on the same material. The reader is
advised to consult the references for details.
In general, the observed strength of vapor-deposited metal films
consists of three parts:

σOBS = σBulk + σImperfections + σThickness

whereσBulk is the inherent strength level of bulk polycrystalline material in the


annealed state,σImperfections is the contribution due to point defects in excess
of those normally found in the bulk annealed state and σThickness is the
contribution arising from the smallest dimension of the film and its limiting
effect on grain size such that dislocation multiplication and migration are
impeded.[149]
Table 4.10 gives the strength properties of thin films of some metals
and compares them to bulk values.[158] In many cases, the strengths are
about 200 times those of annealed bulk samples and 3 to 10 times those of
hard drawn samples. The tensile strength values are given numerically as
well as by fractions of the shear modulus. The ductility of the high strength
films is very limited, which is similar to the behavior of high strength fibers or
whiskers. A principal point of contention is whether the ultimate tensile
strength is a function of the film thickness or not. The discrepancy also
appears to be dependent on the test method used, i.e., between the bulge
test and tensile test. In many cases, it appears that the strength decreases
as the film thickness increases from approximately the 200 - 300 Å range to
about 2000 - 4000 Å range. At the greater thickness, the strength is about
the same as that of heavily worked bulk material. There are several papers
relating the strength properties of thin films to the “crystallite size” and “block
structure” as influenced by the deposition temperature, stress, recovery, and
recrystallization process.[160]-[169] One manifestation of this is the phenomenon
of creep or plasticity in room temperature tensile tests as exhibited by an
irreversible initial loading curve but almost reversible unloading and reloading
curves as long as the previous stress level is not exceeded. An example of
this is shown in Fig. 4.49 from Neugebauer[148] as the change in slope of the
stress-strain curve. The possibility that this change in slope is related to an
elastically soft measurement or to creep in the cementation of the grips
cannot altogether be discarded.
Evaporation 243

Table 4.10. Strength of Properties of Thin Films

Figure 4.49. Typical stress-strain curve for thin film.


244 Deposition Technologies for Films and Coatings

Long term creep rates have been measured and for gold they vary
from 10-7 to 10-4 min-1 depending on load, dimensions and the amount of
prestrain.[148] The estimates of the relative elastic and plastic extension at
fracture vary from completely elastic to an almost even mixture of elastic and
plastic deformation.
Fracture in ductile gold single crystal films[154] results from a localized
plastic deformation with resultant thinning of the film and a rise in stress level.
Eventually the smaller cracks formed in this manner join to cause fracture.
The dislocations—necessary for the deformation—are not the grown-in
dislocations but those which nucleate and multiply in discontinuous regions.
Most observations show no necking prior to fracture. The maximum stress
appears to correspond to that needed to propagate cracks from flaws
existing in the specimen. In polycrystalline nickel, the fracture is the “clean-
cleavage” type.[149]
Mechanical Properties of Thick Condensates and Bulk Deposits:
Table 4.11 lists the mechanical properties of thick deposits of metals, alloys,
refractory compounds, and laminated structures. In many cases, the
mechanical test data are quite extensive showing yield strength, ultimate
tensile strength, hardness, and ductility as a function of grain size, deposition
temperature, and test temperature. One of the features of the data is that the
properties of thick deposits of metals and alloys are very similar to those of
wrought materials which are produced by the conventional processes of
melting, casting, mechanical working, and heat treatment.
We consider each type of material separately since the behavior of
metals and alloys is vastly different from that of refractory compounds.
The early work in this area was that of Bunshah,[17][18] Bunshah and
Juntz, and Smith[23] who deposited thick films of Be, Ti, and Cu, respectively,
[22]

and measured mechanical properties. In 1965, Palatnik and coworkers


published a paper on mechanical properties of Al condensates.[160] It is
impossible to review in detail all the papers. The pertinent data are shown
in Table 4.11 and the discussion below concentrates on the highlights.
Tensile Properties and Hardness of Metal and Alloy Deposits.
Movchan and Demchishin studied the tensile properties and microhardness
of Ni, Ti, and W condensates produced at various deposition temperatures.
No tensile tests were performed on specimens deposited in Zone 1 (Fig.
4.49). Tests on specimens deposited in Zone 2 showed high strength and
low ductility at low deposition temperature. The strength decreased and the
ductility increased with deposition temperature. The strength and ductility
values of specimens deposited in Zone 3 showed approximately the same
Table 4.11. Mechanical Properties of Thick Films or Bulk Condensates

Evaporation
245
246 Deposition Technologies for Films and Coatings
Table 4.11. (Cont'd)
Table 4.11. (Cont'd)

Evaporation
247
248 Deposition Technologies for Films and Coatings
Table 4.11. (Cont'd)
Evaporation 249

values as for recrystallized specimens produced from wrought material. The


microhardness variation with deposition temperature for Ni, Ti, and W is
shown in Fig. 4.50. The tapered crystallite morphology in Zone 1 showed a
high hardness much greater than that of annealed metal. The hardness
decreased rapidly with increasing deposition temperature to a fairly constant
value for Zone 3 morphology which corresponds to the hardness of
recrystallized metals.

Figure 4.50. Variation of microhardness with deposition temperature of metals.

Bunshah and coworkers studied the effect of deposition temperature


on the grain size, tensile properties, and hardness of Ti,[22][117] Ni,[118] Nb, V,
Mo,[119] and Ni-20Cr[124] alloys for deposits made in Zones 2 and 3. They
found that increasing deposition temperature produced larger grain size,
lower strength, higher ductility, and lower hardness. Even at the lowest
deposition temperature in Zone 2, the ductility was good (>20% RA for 1 µm
grain diameter Ti at a yield strength of 56,000 psi). Moreover, they found that
both the yield strength and hardness varied as the inverse square root of
grain diameter, i.e., followed the Hall-Petch relationship[192][193] which is

σys = σo + kd-½

where σys is the yield strength, d is the grain diameter, and σo and k are
constants. Figure 4.51 shows an example of this relationship for Ni-20Cr
alloy.
250 Deposition Technologies for Films and Coatings

Figure 4.51. Yield stress vs. inverse square root of average diameter for Ni-20Cr
alloy at 25°C. ∆ - wrought; O - deposited; # - Wilcox et al.; $ - Webster. (J. Vac.
Sci. Technol., Vol. 12, No. 2:662 (1975), Refs. 12 and 13).

For all these metals and alloys, the yield strength, ductility and
hardness values correspond to those of the same materials produced by
casting, mechanical working, and recrystallization. The variation of yield-
strength and hardness with grain size, i.e., Hall-Petch type relationships,
were also very similar between the deposited and wrought materials, small
variations being ascribable to differences in grain morphology and preferred
orientations. The Ni-20Cr alloy showed good strength at 1000°C and also
obeyed the Hall-Petch relationship.
The Hall-Petch relationship is also obeyed by thick films of Cu and Ag
to grain-sizes as small as 0.05 µm as shown by Nenioto, Jumbou and
Suto.[194] Thus, these thick deposits behave as true engineering materials.
Chambers and Bower[195] studied the mechanical properties of 18-8
stainless steel, gold, and magnesium, and showed that their tensile properties
were very similar to their wrought counterparts.
Smith, Kennedy, and Boericke[122a] studied the (α + β) type Ti-6Al-4V
alloy. They showed that the tensile properties are very similar to the wrought
material except for a much smaller value in percent elongation due to
Evaporation 251

premature onset of plastic instability in a tensile test at pinholes in the


deposited samples. The bend ductility was, however, superior to the wrought
material.
Shevakin et al.[74] studied the strength and hardness of aluminum and
copper condensates as a function of the deposition parameters. They found
that the mechanical properties varied widely with changes in process
parameters. The deposited materials also showed higher strength and
plasticity than the same materials conventionally fabricated, i.e., casting
followed by the neo-mechanical treatments. They also found that the
hardness values obeyed the Hall-Petch relationships.
Paton, Movchan, and Demchishin[122] showed that it is possible to
produce thick deposits of all the alloys across the Cu-Ni system and that the
mechanical properties vary systematically with composition as would be
expected.
Dispersion-Strengthened Alloy Deposits. The first data on
dispersion-strengthened alloys produced by evaporation methods was
reported by Paton, Movchan, and Demchishin[122] who showed that Ni-ZrO2
alloys produced by co-evaporation from two sources contained ZrO2 particles
in the size range of 150 - 3000 Å by changing the deposition temperature from
650° to 1100°C. They also showed that the creep strength at 1000°C
increased with volume fraction of zirconia. These alloys showed remarkable
stability in the microstructure and mechanical properties even after creep
exposures of 5 hours at 1300°C. Subsequently, Movchan and coworkers
studied the structure and properties of Ni-ZrO2 alloys,[196] and Fe with Al2 O3,
ZrO2, ZrB2, TiB2, NbC, or TiC second phases.[197] The alloys were produced
by co-evaporation of the constituents from electron-beam heated evaporation
sources.
One of the very striking effects of the incorporation of a dispersed
phase in an evaporated metallic coating is a very pronounced refinement in
grain size, often by a factor of 10 to 100 or more, and the inhibition of grain
growth at elevated temperatures. This was first reported by Kennedy[120] for
the incorporation of Y2O3 dispersions in Fe condensates. It was also
observed by Majumder[204] for Cu-Al2O3 deposits and by Jacobson et al.[224]
in Ni-Al2 O3 deposits. In a very recent paper, Movchan et al.[75] show the grain
size reduction in the Ni-Al2O3, Fe-ZrO2, Fe-ZrB2, and Fe-NbC deposits. The
most intense grain refining effect is observed at low volume fractions (0.5
vol.%) of the second phase.
Of particular interest to this topic is a subsequent paper by Majumder[205]
showing the strong effect of alumina content in increasing creep strength,
252 Deposition Technologies for Films and Coatings

which confirms the model proposed by Mott[225] who suggested that the ideal
creep-resistant material is one with a fine grain size in which the grain
boundaries are filled with some substance, say a refractory oxide, to inhibit
the motion of grain boundaries.
Perhaps the most interesting result from Movchan’s work[196][197][226]
is that the dispersed phase alloys show a maximum in room temperature
ductility in the W-ZrO2 system at 1 vol.% ZrO2, in the Fe-Al2 O3 system at 0
3 vol.% Al2O3, and in the Fe-NbC system at 0.1 vol.% NbC. The yield
strength and tensile strength do not show such a maximum but monotonically
increase with volume fraction of the oxide phase. The significance of this
observation lies in the possibility of increasing the ductility of MCrAlY
coatings which, in turn, would result in increased resistance to spalling,
thermal shock and fracture, thus improving the performance of the coating.
One might speculate on reasons for this effect including strain-relaxation
sites at particle matrix interface, or at grain boundaries due to the greatly
increased grain boundary area, favorable changes in residual stress
distribution in the coating possibly due to changes in elastic modulus or
strength, increased toughness or crack propagation resistance conferred by
the dispersed phase particles, change in crystallographic texture, etc.
Movchan, Badilenko, and Demchishin[227] have recently presented a
very detailed treatment on the regulation of microstructure and mechanical
properties of thick vacuum condensates with the help of dispersed phases.
They give a detailed theoretical model of(i) the influence of dispersed phases
on grain size; (ii) the size and shape of dispersed particles as affected by
deposition parameters; (iii) strength and ductility of two phase condensates
as influenced by the grain size, particle size, mean free path, nature of the
particle (deformable vs. nondeformable) and particle-matrix adhesion
energies; (iv) steady-state creep behavior. The model is then confirmed
by the experimental results. As a good illustration of one of these points,
Fig. 4.5 shows the difference in strength and ductility vs. volume fraction
of second phase when the latter is deformable or nondeformable. For
both types of particles, there is a ductility maximum at a particular Dg / l
ratio, but the strength behavior is diametrically opposite showing a monotonic
increase for a nondeformable particle and a minimum for the deformable
particle. Dg is the grain size in the plane perpendicular to the vapor flux
direction and λ is the interparticle spacing. This model forms an excellent
basis for design of experiments to study the effect of dispersed phases on
the structure and properties of MCrAlY alloys.
Evaporation 253

Another fascinating observation by Movchan et al.[227] applies to two


phase alloys with deformable particles having a high adhesion to the matrix.
The ductility of the alloys exceeds that of the pure matrix material at room
temperature by a factor of 1.5 to 2 at a strain rate of 1.67 x 10-3 sec -1 (0.1 min-
1). At high temperatures, the elongation at fracture exceeds 100%, i.e.,

superplasticity is developed.
Laminate Composites. Laminate composites are attractive and
preferable over fibrous composites because of their uniform properties in the
plane of the sheet. In comparison to mechanical methods of producing
laminate composites, e.g., bonding of sheets or foil, physical vapor deposition
techniques are very suited to the production of such composites, particularly
if each lamellae is to be very thin (0.01 to 1 µm thickness) in order to improve
the strength and toughness of the composite.
From theoretical considerations, it may be expected that the mechanical
properties of microlaminate composites would follow an adaptation of the
well known Hall-Petch relationship.[192][193] (Yield strength or hardness =αd-
½ where d is a characteristic microstructural parameter such as grain

diameter, sub-grain diameter, laminae thickness, etc.). This correlation will


be explored later.
In another approach, Koehler[241] proposed that a laminate structure
which is formed of thin layers of two metals, A and B, where one metal,A, has
a high dislocation-line energy and the other metal, B, has a low dislocation-
line energy, should exhibit a resistance to plastic deformation and brittle
fracture well in excess of that for homogeneous alloys. If the dislocation-line
energies are so mismatched, the termination of the motion of dislocations in
metal B is energetically favored over dislocation propagation across the
layer interface into metal A. In the case of thick layers, the dislocations
generated in either of the layers will pile-up in B at the A-B interface and
thereby provide the stress concentrations needed for premature yield.
Therefore, to suppress the generation of new dislocations in the layers, the
thicknesses ofA and B must be small. Thus, there is a critical minimum layer
thickness required for the generation of dislocations.
This model does not take into account a high imperfection content in
the laminate layers but assumes that their mechanical properties are similar
to bulk annealed materials.
Most of the prior work on microlayer condensates was investigated in
condensates produced at low deposition temperatures[240][242]-[249] (T <0.3
Tm) thus resulting in a high imperfection content. Moreover, the deposits
were very thin (<25 µm in thickness), which makes it very difficult to measure
the mechanical properties (particularly ductility) and draw good
254 Deposition Technologies for Films and Coatings

correlations with theory. The systems investigated were Ge/GaAg, Al/Mg,


Be/Al, Al/Cu, Al/Ag, Ni/Cu, Mg/Cu, Al/Al2 O3 .
Recently Lehoczky[249] studied the layer thickness dependence of the
yield strength of Al-Cu and Al-Ag laminates of thin specimens prepared by
alternate vapor-deposition. Below the critical layer-thicknesses required for
dislocation generation in the layers, the experimental results are in good
agreement with Koehler’s predictions. For layer thicknesses greater than
those required for dislocation generation, he has extended the theoretical
model to include dislocation pile-up groups.
A very recent investigation, on the other hand, by Bunshah et al.[239]
used high deposition temperatures (T ≅ 0.4 - 0.45 Tm) where equilibrium
structures are formed, and thick specimens (200 to 1,000 µm thickness)
containing a very large number of microlayers were produced such that
mechanical properties can be easily measured on standard test specimens.
Fe-Cu and Ni-Cu microlaminate composites were prepared by sequential
deposition from two evaporation sources. Very marked increases in strength
were observed, by as much as a factor of 10 as compared to the pure metals
and a factor of 5 as compared to the solid solution Cu-Ni alloy of the same
composition. The ductility decreased somewhat but was still appreciable
(5% elongation) for the highest strength alloys. The strength and hardness
values followed the Hall-Petch relationship. Superplastic behavior was
observed in Fe-Cu microlaminates when the average grain size of the metal
equals the interlammellar spacing (approximately 0.45 - 0.50 µm) at a test
temperature of 600°C at a strain rate of 0.005 min-1 .
High temperature creep properties of thick Fe/Cu and Ni/Cu
microlaminate condensates were studied at 600°C as a function of layer
thickness. Steady state creep rate has been found to increase with a
decrease in microlayer thickness. Microstructural study of the specimens
after creep tests revealed the disintegration of iron and nickel layers in Fe/
Cu and Ni/Cu condensates respectively with the formation of separate
inclusions of an oval shape. The creep rate variation in the microlayer
condensates is explained with the help of a structural model of high
temperature creep.
Refractory Compounds. Deposits of refractory compounds, oxides,
nitrides, and carbides are very important for wear resistant applications in
industry. Their structure and properties are strongly dependent on the
deposition process. Their behavior is very different from metals and
alloys. It is also very hard to measure the mechanical properties of
ceramics by tensile tests similar to those used for metals and alloys
because of their brittle nature. A very good test to measure the fracture
Evaporation 255

stress of such brittle coatings is the Hertzian fracture test which measures
the fracture stress and the surface energy at the fracture surface.[198] Colen
and Bunshah[182] used this test to measure the fracture behavior of Y 2O3
deposits of various grain sizes.
Figure 4.52 shows the variation in microhardness with deposition
temperature for Al2O3 and ZrO2 from the work of Movchan and Demchishin,(75)
showing that the behavior of these oxide deposits is quite different in one
respect from that of metals (Fig. 4.47). The hardness falls when the structure
changes from tapered crystallites (Zone 1) to columnar grains (Zone 2) as
with metals. However, unlike metals, the hardness increases markedly as
the deposition temperature rises from 0.3 Tm to 0.5 Tm. The authors attribute
this to a more “perfect” material produced at the higher deposition temperature
due tovolume processes of sintering. A similar hardness curve was obtained
for Y2 O3 deposits. [182]

Figure 4.52. Variation of microhardness with deposition temperature for Al 2O3


and ZrO 2.

Figure 4.53 from the work of Raghuram and Bunshah[127] also shows
a very marked increase in microhardness of TiC deposits on going from 0.15
Tm (500°C) to 0.3 Tm (1000°C). The hardness increases for the oxides and
TiC with increasing deposition temperature. Both sets of results may be
explained by the following concept. Since the strength of ceramics is very
adversely effected by growth defects and at the higher deposition temperature,
the occurrence of these defects is markedly reduced, the hardness (or
strength) increased very significantly. However, it should be noted that
the absolute value of the hardness of the oxides is much lower than that of
the carbides. Thus thepossibility of a different explanation for the “similar”
256 Deposition Technologies for Films and Coatings

behavior of these materials, i.e., the hardness increase with the deposition
temperature needs to be investigated.
The hardness data on sputtered TiC and TiN coatings are quite similar
to those produced by evaporation techniques.[186]

Figure 4.53. Variation of microhardness with deposition temperature for TiC.

13.0 PURIFICATION OF METALS BY EVAPORATION

Impurities in the deposit can be classified into two types, metallic and
nonmetallic. Knowing the composition of the evaporant, the experimental
conditions (temperature and time), certain thermodynamic data (vapor
pressure and activities in solution), the composition of the vacuum environment
during the experiment, and the types of melt-crucible reactions, if any, it is
possible to estimate the impurity content of the distillate. The amount of
impurity transfer to the vapor phase and hence in the deposit (assuming a
sticking coefficient of unity) depends directly on the partial pressures of the
impurity and the basis metal. For metallic impurities, one assumes that each
impurity behaves independently of the other and, using Rayleigh’s equation,
the metallic impurity content of the distillate may be estimated. Experimental
verification has been demonstrated by Bunshah for beryllium.[20]
The amount of nonmetallic impurity (C, O2, N 2, and H2) is estimated
as follows: for example, for oxygen,
Evaporation 257

∑ υG
ppm (atomic) O2 =
υM

where ∑υG = sum of the impingement frequencies (number of atoms/cm2/


s) of the various gases and vapors present in the vacuum environment
containing oxygen, such as H2, CO, CO2 and MO (metal suboxide), on the
substrate, andυM = impingement frequency of metal atoms on the substrate,
an experimentally determined parameter.
Implicit in this treatment is the assumption that the sticking coefficient
for all the species is unity. This assumption is good for reactive gases such
as CO, CO2 and H2O but poor for gases such as H2, as has been shown by
Bunshah and Juntz[21] for beryllium; they also demonstrated a satisfactory
agreement between computed and experimentally observed values for the
nonmetallic impurities. Table 4.12 shows the production of very high purity
beryllium in sheet form by vacuum melting followed by vacuum distillation.
The oxygen content of the distillate is due to suboxide vaporization (Be2 O)
from the melt and consequent contamination of the substrate, since the
suboxide has a higher vapor pressure than the evaporating species. The
oxygen content of refractory metal deposits produced by vacuum evaporation
can also be substantially increased by suboxide vaporization from the melt.
The suboxide can be that of the deposit itself, e.g., MoO in the case of
molybdenum deposition; or that associated with an impurity in the evaporant,
e.g., MoO in the evaporation of vanadium.

Table 4.12. Purification of Beryllium by Vacuum Melting and Distillation (in


parts per million atomic)
258 Deposition Technologies for Films and Coatings

APPENDIX

On Progress in Scientific Investigations in the Field of Vacuum


Evaporation in the Soviet Union

A. V. Demchishin
E. O. Paton Electric Welding Institute
Kiev, Ukraine, U.S.S.R

The first investigations dealing with the problems of evaporation and


condensation were carried out by Soviet scientists as early as the twenties.
Y. I. Frenkel[A1] found theoretically that there exists a critical temperature of
reflection of metal atoms from a substrate.[A1] Y. B. Kharitonov and N. N.
Semenov have shown experimentally that this phenomenon actually took
place.[A2] The problem of formation of chemical compound with a simulta-
neous condensation of molecular beams of cadmium and sulfur was studied
by A. I. Shal’nikov and N. N. Semenov.[A3] Structural studies of condensates
of gold-copper alloys by electron and x-ray diffraction were carried out by M.
M. Umanskii and V. A. Krylov.[A4]
At the beginning of the forties, S. A. Vekshinskii and his colleagues
performed a lot of work on a development of methods for production of
specimens of condensates, on experimental verification of condensate
distribution law, on studying physical and chemical properties of condensed
metal films of pure metals and binary alloys.[A5] S. A. Vekshinskii suggested
the use of a method of co-condensation of vapor mixtures of several
components for producing the films of variable composition thus enabling the
structure and properties of an entire n-component system or its part to be
studied at once without recourse to production of a great number of separate
samples of constant composition alloys.
In the middle of the fifties, investigation of condensates was conducted
by L. S. Palatnik and his collaborators at the Kharkov Polytechnic Institute
towards the following trends:
• structure and substructure of thin and massive condensed films;
• mechanism of formation and kinetics of growth of continuous and
island films;
• physical properties of films (mechanical, electrical, semiconductive,
magnetic, thermal and other properties);
Evaporation 259

• studying the correlation between structure (substructure) and


physical properties of films;
• the effect of physical and technological variables of evaporation
processes and vacuum condensation on structure (substructure)
and physical properties of continuous (thin and massive) and island
films.
The main results of these investigations are published in Refs. A6, A7,
and A8.
In addition to the said studies, in the sixties and seventies, the
characteristics of macro-, micro- and submicroporosity of condensed films
depending on substrate temperature, angle of incidence of molecular flow,
condensation rate, film thickness, pressure and composition of residual gas
atmosphere were investigated. Mechanisms of porosity formation processes
were established and relationships between the porosity characteristics and
physical-mechanical properties of films have been studied.[A9][A10]
In the middle of the sixties, B. A. Movchan and his collaborators
developed an electron-beam technology for production of preparations of
condensed systems and commenced the study of thick (up to 1 mm)
condensates. In the sixties-seventies the effect of condensation conditions
on structure and physical-mechanical properties of thick condensates of
pure metals, refractory oxides, carbides, borides and their mixtures, ceramic-
metallic materials and dispersion strengthened compositions were
investigated. Their main results were published in Refs. A11 to A15.

REFERENCES (for Appendix)

A1. Frenkel, J. I., Zeitschr. f. Physik, 26:117 (1924)


A2. Chariton, J. B. and Semenoff, N. N.,Zeitschr. f. Physik, 25:287 (1924)
A3. Shal’nikov, A. I., Semenov, N. N.,The Journal of Russian Physical and
Chemical Society, 60:33 (1928)
A4. Umanskii, M. M., Krylov, V. A.,The Journal of Exp. and Theor. Physics,
6:691 (1936)
A5. Vekshinskii, S. A., A New Method of Metallographic Studies of Alloys,
Bostechizdat, Moscow-Leningrad (1944)
A6. Palatnik, L. S., Papirov, I. I., Epitaxial Films, Nauka, Moscow (1971)
A7. Palatnik, L. S., Fux, M. Y., Kosevich, V. M., Mechanism of Formation
and Substructure of Condensed Films, Nauka, Moscow (1972)
260 Deposition Technologies for Films and Coatings

A8. Palatnik, L. S., Sorokin, V. K., Fundamentals of Film Semiconductive


Materials Technology, Energia, Moscow (1973)
A9. Palatnik, L. S., Fux, M. Y., Cheremskoi, P. G., Transactions of the
Academy of Sciences of the U.S.S.R., 203(5):1058 (1972)
A10. Fux, M. Y., Palatnik, L. S., Cheremskoi, P. G. Toptygin, A. L., Physics
of Metals and Physical Metallurgy, 46(1):114 (1978)
A11. Movchan, B. A., Demchishin, A. V., Physics of Metals and Physical
Metallurgy, 28, No. 4:653 (1969)
A12. Paton, B. E., Movchan, B. A., Demchishin, A. V., Proceedings of the
Fourth Int'l. Conf. on Vac. Metallurgy, p. 251, Tokyo, (June 4-8, 1973).
Published by the Iron and Steel Institute of Japan, Tokyo (1974).
A13. Movchan, B. A., Demchishin, A. V., Kooluck, L. D., Thin Solid Films,
44:285 (1977)
A14. Movchan, B. A., Demchishin, A. V., Badilenko, G. F.,Strength Problems,
No. 2:61 (1978)
A15. Movchan, B. A., Malashenko, I. S., Pap, P. A., Problems of Special
Electro Metallurgy, Naukova Dumka, Kiev, No. 8:78 (1978)
Evaporation 261

REFERENCES

1. Faraday, M., Phil. Trans., 147:145 (1857)


2. Nahrwold, R., Ann. Physik, 31:467 (1887)
3. Kundt, A., Ann. Physik, 34:473 (1888)
4. Soddy, F, Proc. Roy. Soc. London, 78:429 (1967)
5. Langmeir, I., J. Am. Chem. Soc., 35:931 (1913)
6. Glang, R., Handbook of Thin Film Technology, (L. I. Maissel and R.
Glang, eds.), pp. 1-7, McGraw-Hill (1970)
7. Handbook of Thin Film Technology, (L. I. Maissel and R. Glang, eds.),
McGraw Hill, (1970)
8. Holland, L. Vacuum Deposition of Thin Films, Chapman & Hall (1956)
9. Science and Technology of Surface Coatings, (B. N. Chapman and J.
C. Anderson, eds.), Academic Press (1974)
10. Allen, J. A., Rev. Pure Appl. Chem., 4:133 (1954)
11. Bassett, G. A. and Pashley, D. W., J. Inst Metals, 87:449 (1958)
12. Hoffman, R. W., Thin Films, p.99, Am. Soc. for Metals, (1964)
13. Hoffman, R. W., Physics of Thin Films, 3:246, Academic Press, New
York (1966)
14. Buckel, W., J. Vac. Sci. Technol., 6:606 (1969)
15. Kinosita, W., Thin Solid Films, 12:17 (1972)
16. Bunshah, R. F., Physical Metallurgy of Beryllium, Conf. No. 170, Oak
Ridge National Laboratory (April 1963)
17. Bunshah, R. F., Materials Science and Technology for Advanced
Applications, 2:31, Am. Soc. for Metals (1964)
18. Bunshah, R. F., Metals Engineering Quarterly, p. 8, (Nov. 1964)
19. Bunshah, R. F. and Juntz, R. S., Beryllium Technology, 1:1 Gordon
and Breach Science Publishers, (1966)
20. Bunshah, R. F.,Proc. Int’l. Conf. on Beryllium, p. 63, Press Universitaires
de France, Grenoble, France, (1965)
21. Bunshah, R. F. and Juntz, R. S., Trans. Vac. Met. Conf, p. 209, Am.
Vac. Soc. (1966)
22. Bunshah, R. F. and Juntz, R. S., Trans. Vac. Met. Conf., p. 200, Am.
Vac. Soc. (1965)
262 Deposition Technologies for Films and Coatings

23. Smith, H. R., Materials Science and Technology for Advanced


Applications, 2:569, Am. Soc. for Metals (1964)
24. Smith, H. F., Jr. and Hunt, C. D’A., Trans Vac. Met. Conf., p. 227, Am.
Vac. Soc. (1964)
25. Meyers, R. F. and Morgan, R. P., Trans. Vac. Met Conf., p. 271, Am.
Vac. Soc. (1966)
26. Butler, J. F., J. Vac. Sci. Tech. 7:S-52 (1970)
27. Schiller, J. and Heisig, U., “Evaporation Techniques” (in German), Veb
Verlag Technik, Berlin, (1975)
28. Graper, E. P.,J. Vac. Sci Tech.8:333 (1971);J. Vac. Sci. Tech.10:100
(1973)
29. Kennedy, K. D., Schevermann, G. R., Smith, H. R., Jr., Res. Dev.
Mag., 22:40 (1971)
30. Beale, H. A., Bunshah, R. F., Proc. 4th Int'l. Conf. on Vac. Met, p. 238,
Iron and Steel Institute of Japan, Tokyo, Jpn (June 1973)
31a. Wan, C. T., Chambers, D. L., Carmichael, D. C., ibid, p. 231
31b. Baum, G. A., Report No. RFP-686, Dow Chemical Co., Golden, CO.
(Feb. 6, 1967)
32. Thornton, J. A., SAE Transactions, (1973)
33. “Sputtering and Ion Plating,” NASA SP-511 (1972)
34. Hertz, H., Ann. Physik, 17:177 (1882)
35. Knudsen, M., Ann. Physik, 47:697 (1915)
36. Smith, H. R., Proc. 12th Ann. Tech. Conf., pp. 50-54, Soc. of Vac.
Coaters, Detroit, MI (1969)
37. Riley, T. C., “The Structure and Mechanical Properties of Physical
Vapor Deposited Chromium”, Ph.D. Thesis, Stanford University (Nov.
1974)
38. Bunshah, R. F. and Juntz, R. S., Trans. Vac. Met Conf., p. 799, Am.
Vac. Soc. (1967)
39. Chow, R. and Bunshah, R. F., J. Vac. Sci. Tech. 8, VM 73 (1971)
40. Nimmagadda, R., and Bunshah, R. F., J. Vac, Sci. Tech. 8, VM 85
(1971)
41. Szekely, J. and Poveromo, J. J., Met. Trans. 5:289 (1974)
Evaporation 263

42. Smith, H. R. and Hunt, C. D’A., Trans. Vac. Met. Conf., p. 227, Am.
Vac. Soc. (1965)
43. Hayashi, C. and Oku, Y., Trans. Vac. Met Conf., p. 257, Am. Vac. Soc.
(1966)
44. Cocca, M. A. and Stauffer, L. H., Trans. Vac. Met. Conf., p. 203, Am.
Vac. Soc. (1963)
45. Morley, J. R., ibid, p. 186
46. Berghaus, B., German Patent No. 683,414 (1939)
47. Sabalev, L. P., et al., US. Patent 3,783,231 (Jan. 1, 1974); 3,793,179
(Feb. 19, 1974)
48. Dorodnov, A. M., Soviet Phys. Tech. Phys., 23:1058 (1978)
49. Osipov, V. A., et al., Soviet Rev. Sci. Inst., 21:1651 (1978)
50. Wroe, H., Br. J. Appl. Phys., 9:488-491 (1958)
51. Gilmour, A. S., Jr., Lockwood, D. L., Proc. IEEE, 60:No. 8:977-991
(1972)
52. Snaper, A. A., “Arc Deposition and Apparatus,” US. Patent No.
3,625,848 (1971)
53. Snaper, A. A., “Arc Deposition and Apparatus,” US. Patent No.
3,836,451 (1974)
54. Sablev, L. P., “Apparatus for Vacuum Evaporation of Metals under the
Action of an Electric Arc,” US. Patent No. 3,783,231 (1974)
55. Sablev, L. P., “Apparatus for Metal Evaporation Coating,” US. Patent
No. 3,793,179 (1974)
56. Sanders, D. M., Handbook of Plasma Processing Technology, (S.
Rosnagel, J. J. Cuomo, and W. D. Westwood, eds.), p. 419, Noyes
Publications (1990)
57. Martin, P. J., et al., Thin Solid Films, 153:91 (1987)
58. Martin, P. J., Netterfield, R. P., and Kinder, T. J., Thin Solid Films, 193/
194:77 (1990)
59. Vasin, A. I., Dorodnov, A. M., et al., Sov. Tech. Phys, Lett., (Engl.
Trans. of Pis’ma Zh. T Fiz.), 5: No. 23-24, (1979)
60. Ehrich, H., Hasse, B., et al., Proc. 8th Intl. Conf. Discharge Appl., 591-
592, 596, Essen Univ. (1985)
264 Deposition Technologies for Films and Coatings

61. Dorodnov, A. M., Kunetsov, A. N., et al., Sov. Tech. Phys. Lett., Engl.
Trans. of Pis’ma Zh. T Fiz., 5: No. 418-419 (1979)
62. Ehrich, H., J. Vac. Sci. Technol., A6: 134-138 (1988)
63. Meassick, S., Chan, C., and Allen, R., “Thin Film Deposition Techniques
using the Anodic Arc,” to be published
64. Smith, H. M. and Turner, A. F., Appl. Opt., 4:147 (1965)
65. Sankur, H., DeNatale, J., Gunning, W., and Nelson, J. G., J. Vac. Sci.
Technol., A5:2869 (1987)
66. Cheung, J. T. and Madden, J., J. Vac. Sci. Technol., B5:705 (1987)
67. Cheung, J. T., Chen, J. S., and Otsuka, N., Proc. IRIS IR Detector
Specialty Meeting, Seattle, WA (Aug. 1987); This work was followed
by several other similar investigations presented at the 34th Nat’l.
Symp. of Am. Vac. Soc., Anaheim, CA (Nov. 1987)
68. Dijkkamp, D., Venkatesan, T., Wu, X. D., Shaheen, S. A., Jisrawi, N.,
Min-Lee, Y. H., Mclean, W. L., and Croft, M., Appl. Phys. Lett., 51:619
(1987)
69. Wu, X. D., Dijkkamp, D., Olgale, S. B., Ina, A., Chase, E. W., Miceli, P.
F., Chang, C. C., Tarascon, J. M., and Venkatesan, T., Appl. Phys.
Lett., 51:861 (1987)
70. Cheung, J. T. and Sankur, H., Solid State and Materials Sciences,
15:63 (1988)
71. Greer, J. A., J. Vac. Sci. Technol., 10(4):1821 (1992)
72. Nimmagadda, R., Raghuram, A. C., and Bunshah, R. F., J. Vac. Sci.
Tech., 9 (1972)
73. Santala, T. and Adams, M., J. Vac. Sci. Tech., 7:s22 (1970).
74. Shevakin, Y. F., Kharitonova, L. D., and Ostrovskaya, L. M.,Thin Solid
Films, 62:337 (1979)
75. Movchan, B. A. and Demchishin, A. V., Fizika Metall, 28:653 (1969)
76. Auwarter, M., US. Patent 2,920,002 (1960)
77. Bunshah, R. F. and Raghuram, A. C., J. Vac. Sci. Technol., 9:1385
(1972)
78. Hoffman, D. and Liebowitz, D., J. Vac. Sci Technol, 9:326 (1972)
Evaporation 265

79. Bunshah, R. F., Nimmagadda, R., Dunford, W., Movchan, B. A.,


Demchishin, A. V., and Chursanov, N. A., Thin Solid Films, 54:85
(1978)
80. Abe, T., Inngawa, K., Obusa, R., and Murakami, Y., Proc. 12th Symp.
on Fusion Technol., Julich (1982)
81. Bunshah, R. F., Thin Solid Films, 107:21 (1983)
82. Bunshah, R. F., U.S. Patent 3,791,852 (1972)
83. Bunshah, R. F. and Deshpandey, C., Physics of Thin Films, (J. L.
Vossen and M. H. Francombe, eds.), p. 60, Academic Press, New
York (1987)
84. Bunshah, R. F. and Raghuram, A. C., J. Vac. Sci. Technol., 9:1385
(1972)
85. ibid., p. 1389
86. Nath, P. and Bunshah, R. F., Thin Solid Films, 69:63 (1980)
87. Yee, K. K., Proc. Conf. Chem. Vap. Deposition, 5th Int'l. Conf., p. 238
(1975)
88. Grossklaus, W. and Bunshah, R. F., J. Vac. Technol., 12:593 (1975)
89. Granier, J. and Besson, J., Proc. Plansee. Sem., 9 (1977)
90. Yoshihara, H. and Mori, M., J. Vac. Sci. Technol., 16:1007 (1979)
91. Nakamura, K., Inagawa, K., Tsuroka, K., and Komiya, S., Thin Solid
Films 40:155 (1977)
92. Komiya, S., Unezu, N., and Narasawa, T., Thin Solid Films, 54:51
(1978)
93. Zega, B., Korrmann, M., and Amiquet, J., Thin Solid Films, 54:57
(1977)
94. Kobayashi, M. and Doi, Y., Thin Solid Films, 54:57 (1978)
95. Matthews, A. and Teer, D. G., Thin Solid Films, 80:41 (1981)
96. Murayama, Y., J. Vac. Sci. Technol., 12:818 (1975)
97. Dorodnov, A. M., Sov. Phys. Tech. Phys., 40:211 (1977)
98. Bunshah, R. F., Chopra, K. L., Deshpandey, C., and Vankar, V. D.,
U.S. Patent No. 4,714,625 (1987)
99. Inam, A., Hegde, M. S., Wu, X. C., Venkatesan, T., England, D., Miceli,
P. F., Chase, E. W., Chang, C. C., Taraskaw, J. M., and Watchman,
J. B., Appl. Phys. Lett., 53(10):908 (1988)
266 Deposition Technologies for Films and Coatings

100. Chen, C. Y., Thesis, M. S., Department of Materials Science and


Engineering, University of California, Los Angeles, (1987)
101. O’Brien, B. P., Thesis, M. S., Department of Materials Science and
Engineering, University of California, Los Angeles, (1987)
102. Zender, D., Deshpandey, C., Dunn, B., and Bunshah, R. F., Proc. of
the Fifth Int'l. Conf. on Solid Ionics, Part 1, (J. B. Boyle, L. C. DeJognhe,
and R. A. Huggins, eds.), P. 813, North Holland, Amsterdam (1986)
103. Shin, H., Doerr, H. J., Deshpandey, C., Bunshah, R. F., and Dunn, B.,
Surface and Coating Technol., 39/40:683 (1989)
104. Oeschner, H., personal communication
105. Deshpandey, C., O’Brien, B. P., Doerr, H. J., and Bunshah, R. F.,
Surface and Coating Technol., 33:1 (1987)
106. Deshpandey, C., O’Brien, B. P., Doerr, H. J., and Bunshah, R. F., to
be published, Thin Solid Films
107. Lin, P., Deshpandey, C., Doerr, H. J., Bunshah, R. F., Chopra, K. L.,
and Vankar, V. D., Thin Solid Films, 153:487 (1987)
108. Yoon, J. S., Deshpandey, C., Doerr, H. J., and Bunshah, R. F.,Surface
and Coating Technol., 43/44:213 (1990)
109. Pashley, D. W., Adv. Phys., 5:1973 (1956)
110. Van der Drift, A., Phillips Res. Rep., 22:267 (1967)
111. Thornton, J. A., Ann. Rev. Mater. Sci., p. 239 (1977)
112. Thornton, J. A., J. Vac. Sci. Technol., 11:666 (1974)
113. Bunshah, R. F., Proc. 4th Int’l. Conf. on Vacuum Metallurgy, p. 17, Iron
and Steel Inst., Japan (1973)
114. Bunshah, R. F., J. Vac. Sci. Technol., 11:633 (1974)
115. Bunshah, R. F., J. Vac. Sci. Technol., 11:814 (1974)
116. Bunshah, R. F.,New Trends in Materials Processing, p. 200, Am. Soc.
for Metals (1976)
117. Bunshah, R. F. and Juntz, R. S., Met Trans., 4:21 (1973)
118. Kane, N., and Bunshah, R. F., Proc. of the Fourth Int'l. Conf. on
Vacuum Metallurgy, pg. 242, Iron and Steel Inst. of Japan, Tokyo, Jpn
(June 1973)
Evaporation 267

119. Sherman, M., Bunshah, R. F., and Beale, H. A., J. Vac. Sci. Technol.,
11:1128 (1974)
120. Kennedy, K., Trans. of the Vacuum Metallurgy Conf., p.195, Am. Vac.
Soc. (1968)
121. Mah, G. and Nordin, C. W., Proc. of the 16th Ann. Conf., p. 103, Soc.
of Vac. Coaters, Chicago (1973)
122. Paton, B. A., Movchan, B. A., and Demchishin, A. V., Proc. 4th Int'l.
Conf. on Vacuum Metallurgy, p. 251, Iron and Steel Inst. of Japan
(1973)
122a. Smith, H. R., Jr., Kennedy, K., and Boericke, F. S., J. Vac. Sci. Tech.,
7:S48 (1970)
123. Chambers, D. L. and Bower, W. K.,J. Vac. Sci. Technol.,7:S63 (1970)
124. Agarwal, N., Kane, N., and Bunshah, R. F., Nat’l. Vac. Symp., New
York (1973)
125. Neirynck, M., Samaey, W., and Van Poucke, L., J. Vac. Sci. Technol.,
11:647 (1974)
126. Movchan, B. A., Demchishin, A. V., and Kooluck, L. V., J. Vac. Sci.
Technol., 11:640 (1974)
127. Raghuram, A. C. and Bunshah, R. F., J. Vac. Sci. Technol., 9:1389
(1972)
128. Bunshah, R. F. and Juntz, R. S., J. Vac. Sci. Technol., 9:404 (1972)
129. Mattox, D. M. and Kominiak, G. J., J. Vac. Sci. Technol., 9:528 (1972)
130. Morley, J. and Smith, H. R., J. Vac. Sci Technol., 9:1377 (1972)
131. Mah, G., McLeod, P. S., and Williams, D. G., J. Vac. Sci. Technol.,
11:663 (1974)
132. Stowell, W. R. and Chambers, D.,J. Vac. Sci. Technol.,11:653 (1974)
133. Bland, R. D., Kominiak, G. J., and Mattox, D. M., J. Vac. Sci. Technol.,
11:671 (1974)
134. Bunshah, R. F., unpublished research.
135. Turk, C. F. and Marcus, H. L., Trans. AIME, 242:2251 (1968)
136. Beale, H. A. and Bunshah, R. F., unpublished research
268 Deposition Technologies for Films and Coatings

137. Thornton, J. A.,New Industries and Applications for Advanced Materials


Technology, 19:443, SAMPE, (1974)
138. Boone, D. H., Strangman, T. E., and Wilson, L. W., J. Vac. Sci
Technol., 11:641 (1974)
139. Krutenat, R. C., J. Vac. Sci. Technol., 11:1123 (1974)
140. Grossklaus, W., Ulion, N. E., and Beale, H. A.,Thin Solid Films,40:271
(1977)
141. Beams, J. W., Breazeale, J. B., and Bart, W. L., Phys. Rev., 100:1657
(1955)
142. Beams, J. W., Structure and Properties of Thin Films, p. 183, Wiley,
New York (1959)
143. Catlin A., and Walker, W. P., J. Appl. Phys., 31:2135 (1960)
144. Jovanovic, S. and Smith, C. S., J. Appl. Phys., 32:121 (1961)
145. Krukover, P. I. and Buravikhin, V. A., Fizika Metall., 22:144 (1966)
146. Brandon, D. G. and Bauer, Z., Israel J. Technol., 8:247 (1970)
147. Marsh, D. M., J. Sci. Instrum., 38:229 (1961)
148. Neugebauer, C. A., J. Appl. Phys., 31:1096 (1960)
149. D’Antonio, C., Hirschorn, J., and Tarshis, L., Trans. AIME, 227:1346
(1964)
150. Blakely, J. M., J. Appl. Phys., 36:1756 (1964)
151. Kuhlmann-Wilsdorf, D. and Raghaven, K. S., Rev. Sci. Instrum.,
33:930 (1962)
152. Lawley, A. and Schuster, S., Rev. Sci. Instrum., 33:1178 (1962)
153. Orowan, E., Z. Phys., 82:235 (1933)
154. Menter, J. W. and Pashley, D. W., Structures and Properties of Thin
Films, p. 111, Wiley, New York (1959)
155. Wilsdorf, H. G. F., Rev. Sci Instrum., 29:323 (1958)
156. Pashley, D. W., Proc. R. Soc. Lond., A225:218 (1960)
157. Hoffman, R. W., Thin Films, p. 99, Am. Soc. for Metals (1964)
158. Hoffman, R. W., Physics of Thin Films, 3:246, Academic Press, New
York (1966)
159. Neugebauer, C. A., Physics of Thin Films, (Edited by G. Hass and E.
Thun), Vol. 2, Academic Press, New York. (1964)
Evaporation 269

160. Palatnik, L. S., Ill’inski, A. I., Federov, G. V., and D’yachenko, V. S.,
Izvestra VUZ, Fizika (Soviet Physica Journal) 1:122 (1966)
161. Grunes, R. L., D’Antonio, C., and Kies, F. K., J. Appl. Phys., 36:2735
(1965)
162. Ya Fuks, M., Belozerov, V. V., and Boyko, Y. F., Fizika Metall.,33:571
(1372)
163. Kinosita, K., Maki, K., Nakamizo, K., and Takenchi, K., Jpn. J. Appl.
Phys., 6:42 (1967)
164. Ya Fuks, M., Velozero V. V., and Boyko, Y. F., Fizika Metall., 33:571
(1972)
165. Doljack, F. A. and Hoffman, R. W., Thin Solid Films, 12:71 (1972)
166. Ya Fuks, M., Palatnik, L. S., Belozerov, V. V., Zolotnitsky, Y. V., and
Roschchenko, S. T., Fizika Metall., 36:316 (1973)
167. Pines, B. Y. and Tan, N. S., Fizika Metall., 19:899 (1965)
168. Aleksanyan, I. T., Fizika Metall., 25:947 (1968)
169. Hoffman, R. W., Thin Solid Films, 34:185 (1976)
170. Palatnik, L. S., Federov, G. V., Prokhavulov, A. I., and Federenko, A.
I., Fizika Metall., 20:574 (1965)
171. Palatnik, L. S., Ya Fuks, M., Boiko, B. T., and Pugacheu, A. T., Soviet
Phys. Dokl., English Translation, 8:713 (1964)
172. Oding, A. and Aleksanyass, I. T., Soviet Phys. Dokl., 8:818 (1964)
173. Bunshah, R. F., Vacuum, 27(4):353 (1977)
174. Yamamoto, H. and Kamoshita, G., Trans. Jpn. Inst. Metals, 12:12
(1971)
175. Palatnik, L. S., Ya Fuks, M., Ill’inski A. I., and Alaverdova, O. G., Fizika
Metall., 22:744 (1966)
176. Henning, C. A. O., Boswell F. W., and Corbett, J. M., Acta Met.,23:177
(1975)
177. Ziling, K. K., Pkrovskiy, L. D., and Pohelkin, V. Y., Fizika Metall.,
29:1112 (1970)
178. Palatnik, L. S., Ill’inski A. I., and Ravlik, A. G., Fizika Metall., 19:310
(1965)
179. Henning, C. A. O., Boswell, F. W., and Corbett, J. M.,Acta Met.,23:187
(1975)
270 Deposition Technologies for Films and Coatings

180. Palatnik, L. S., Ill’inski, A. I., Ravhk, A. G., Nechitayls, A. A., and Lyakh,
G. Y., Fizika Metall., 27:1114 (1969)
181. Bunshah, R. F. and Schramm, R. J., Thin Solid Films, 41 (1977) in
press
182. Colen, M. and Bunshah, R. F., J. Vac. Sci. Tech., 13:536 (1976)
183. Furuuchi, S., Sakata, H., and Aiwaka, K., Japan J. Appl. Phys.,
13:1905 (1974)
184. Grossklaus, W. and Bunshah, R. F., J. Vac. Sci. Technol., 12:811
(1975)
185. Wasa, K., Nagai, T., and Hayakowa, S., Thin Solid Films, 31:235
(1976)
186. Mah, G., Norden, C. W., and Fuller, J. F.,J. Vac. Sci. Technol., 11:371
(1974)
187. Henning, C. A. O., Boswell, F. W., and Corbett, J. M.,Acta Met.,23:193
(1975)
188. Palatnik, L. S., Ill’inski, A. I., and Sapelkin, N. P.,Soviet Phys. Solid St.,
8:2016 (1967)
189. Solonovich, I. I. and Startsev, V. I., Problemy Prochn., 1:28 (1973)
190. Palatnik, L. S., Ill’inski, A. I., Biletchanko, N. M., and Sinel’nikova, R.
I., Fiz. Metall., 32:199 (1971)
191. Bunshah, R. F., Gupta, Y. D., and Raghuram, A. C., unpublished data
192. Hall, E. O., Proc. Phys. Soc, Lond., B64:747 (1951)
193. Petch, N. J., J. Iron Steel Inst., 174:25 (1951)
194. Nenioto, M., Jumbou, R., and Suto, H.,Trans. Jpn. Inst. Metals,12:113
(1971)
195. Chambers, D. L. and Bower, W. K.,J. Vac. Sci. Technol.,7:S62 (1970)
196. Movchan, B. A., Demchishin, A. V., and Badilienko, G. F., Thin Solid
Films, 40:237 (1977)
197. Movchan, B. A., Demchishin, A. V., and Kooluck, L. D., Thin Solid
Films, 44:285 (1977)
198. Frank, F. C. and Lawn, B. R., Proc. R. Soc. Lond., 229A:291 (1967)
199. Boone, D. H. and Sullivan, C. P., STP, 520:401, Am. Soc. for Testing
and Materials (1973)
Evaporation 271

200. Goward, G. W., J. Metals, 22:31 (1970)


201. Clough, P. J., New Types of Metal Powders, (H. H. Hausner, ed.), p.
9, Gordon and Breach (1964)
202. Hayashi, C., Jpn. J. Appl. Phys., 12:1675 (1973)
203. Bunshah, R. F., unpublished research
204. Majumder, K. S., Thin Solid Films, 42:327 (1977)
205. Majumder, K. S., Thin Solid Films, 42:343 (1977)
206. Chi, K. C., Dillon, R. O., Bunshah, R. F., Alterovitz, S., Martin, D. C.,
and Vollam, J. A., Thin Solid Films (1978)
207. Zubeck, R. F., King, C. N., Moore, D. F., Barbee, T .W., Hallak, A. B.,
Salem, J., and Hammond, R. H., Thin Solid Films, 40:249 (1977)
208. Martin, P. L., Bunshah, R. F., and Dymond, A. M., J. Vac. Sci Tech.,
12:754 (1975)
209. Agarwal, P. L., Bunshah, R. F., and Crandall, P. H., unpublished
research, UCLA (1978)
210. Sinha, A. K., Giessen, B. C., and Polk, D. E., Treatise on Solid State
Chemistry, (N. V. Hannay, ed.), 3:1, Plenum Press, New York (1976)
211. Keung, P. K. and Wright, J. G., Phil. Mag., 30:995 (1974)
212. Hughes, J. L., Metals Eng. Quart. 14, No, 1:1 (1974)
213. Hill, R. J., Hughes, J. L., and Harker, H. R., Proc. of the 4th Int'l. Conf.
on Vacuum Metallurgy, p. 248, Iron and Steel Institute of Japan,
Tokyo, Japan (June 1973)
214. Harker, H. R., and Hill, R. J., J. Vac. Sci. Technol., 9:1395 (1972)
215. Bunshah, R. F., U.S. Patent No. 3,971,582 (Feb. 12, 1974)
216. Nakamura, K., Inagawa, K., Tsuruoka, K., and Komiya, S., Thin Solid
Films, 40:155 (1977)
217. Kodama, M., Bunshah, R. F., and Shabaik, A. H., Thin Solids Films,
(1978)
218. Bunshah, R. F., and Shabaik, A. H., Res./Dev., 26:46 (1975)
219. Bunshah, R. F., Shabaik, A. H., Nimmagadda, R., and Covey, J., Thin
Solid Films, 45:1 (1977)
220. Hewig, G. H. and Bloss, W. H., Thin Solid Films, 45:1 (1977)
221. Boer, K. W., Annual Progress Report, NSF/RANN/SE/G134872.,
University of Delaware (Jan. 1974)
272 Deposition Technologies for Films and Coatings

222. Brody, T. P. and Shirland, F. A.,Proc. of NSF Workshop on Photovoltaic


Conversion of Solar Energy for Terrestrial Applications, p. 63, Cherry
Hill, NJ (Oct. 1973)
223. Laegreid, N., in ibid, p. 63
224. Jacobson, B. E., Springarn, J. R., and Nux, W. D., Thin Solid Films,
45:517 (1977)
225. Mott, N. F., Phil. Mag., 44:742 (1953)
226. Movchan, B. A., Soviet Physics Doklady, 20(7):575 (1975)
227. Movchan, B. A., Badilenko G. F. and Demchishin, A. V., Thin Solid
Films, 63:67 (1979)
228. Schiller, S. and Jasch, G., Thin Solid Films, 54:9 (1978)
229. Kobayashi, M. and Doi, Y., Thin Solid Films, 54:57 (1978)
230. Komiya, S., Umezu, N., and Narusawa, T., Thin Solid Films, 54:51
(1978)
231. Zega, B., Kornmann, M., and Amiguet, J., Thin Solid Films, 45:577
(1977)
232. Berghaus, B., German Patent No. 683,414 (1939)
233. Sabalev, L. P., et al., U.S. Patent 3,783,231 (Jan. 1, 1974); 3,793,179
(Feb. 19, 1974)
234. Dorodnov, A. M., Soviet Phys. Tech. Phys., 23:1058 (1978)
235. Osipov, V. A., et al., Soviet Rev. Sci. Inst., 21:1651 (1978)
236. Nath, P. and Bunshah, R. F., Thin Solid Films, 69:63 (1980)
237. Namba, Y. and Mori, J., J. Vac. Sci. Technol., 13:693 (1976)
238. Taylor, N. J., Surface Science, 4:161 (1966)
239. Bunshah, R. F., Nimmagadda, R., Doerr, H. J., Movchan, B. A.,
Grechanuk, N. I., and Dabizha, E. V., Thin Solid Films, 72:261 (1980)
240. Hordon, M. J., Titanium Science and Technology, (R. I. Jaffee and H.
M. Burte, eds.), 4:2347-57, Plenum Press (1973)
241. Koehler, J. S., Phys. Rev., B 2:547 (1970)
242. Henning, C. A. O., Boswell, F. W., and Corbett, J. M. Acta. Met.,
23:193.
243. Palatnik, L. S. and Ill’inski, A. I., Soviet Phys. Dokl., 9(1):93 (1961)
Evaporation 273

244. Palatnik, L. S., Ill’inski, A. I., and Sapelin, N. P., Soviet Physics Solid
State, 8(8):2016 (1967)
245. Palatnik, L. S., Ill’inski, A. I., Biletchenko, N. M., and Sinel’nikova, R.
I., Fiz. Met. Metalloved., 32(6):1312 (1971)
246. Solonovich, I. I. and Startsev, V. I., Problemy Prochnosti, 1:28-30
(1973)
247. Hordon, M. J. and Wright M. A., in Metal-Matrix Composites, Symp. of
the Metallurgical Soc. AIME,DMIC Memorandum 243, pp.10-12 (May
1969)
248. Springer, R. W. and Catlett, D. S., Thin Solid Films, 54:197 (1978)
249. Lehoczky, S. L., J. App. Phys., 49:5479 (1978)

SUGGESTIONS FOR FURTHER READING

Books

Berry, R. W., Hall, P. M., Harris, M. T., Thin Film Technology, D. Van
Nostrand Co. (1968)
Bhushan, B. and Gupta, B. K., Handbook of Tribology, McGraw-Hill (1992)
Chopra, K. L., Thin Film Phenomena, McGraw Hill Book Co., (1969)
Handbook of Thin Film Technology, (L. I. Maissel, and R. Glang, eds.)
McGraw Hill Book Co. (1970)
Holland, L., Chapman and Hall, Vacuum Deposition of Thin Films, (1968);
The Bible
Ohring, M., Materials Science of Thin Films, Academic Press, (1992)
Physics of Thin Films, Vols. 1-6, Academic Press (1963-1971)
Science and Technology of Surface Coatings, (B. N. Chapman and J. C.
Anderson, eds.) Academic Press (1974)
Techniques of Metals Research, Vol. 1, part 3, (R. F. Bunshah, ed.) John
Wiley & Sons (1968)
Thin Films, Am. Soc. for Metals (1964)
The Use of Thin Films in Physical Investigation, (J. C. Andrews, ed.)
Academic Press (1966)
274 Deposition Technologies for Films and Coatings

Journals

Applied Surface Science


Japanese Journal of Applied Physics, Japan
Journal of Applied Physics, USA
Journal of Electrochemical Society, USA
Journal of Materials Research
Journal of Materials Science, England
Journal of Materials Synthesis and Processing
Journal of Vacuum Science and Technology, Am. Phys. Society
Materials and Manufacturing Processes
Processing of Advanced Materials
Review of Scientific Instruments, USA
Surface and Coatings Technology, Elsevier, S. A.
Thin Solid Films, Elsevier, S. A., Switzerland
Vacuum, England
5

Sputter Deposition Processes

John A. Thornton and Joseph E. Greene

1.0 INTRODUCTION

The process of sputtering may be defined as the ejection of particles from


a condensed-matter target due to the impingement of energetic projectile
particles. The use of sputtered species as source material to deposit thin films
was first reported in the literature in 1852[1] and has since enjoyed several
periods of scientific and commercial interest interspersed with periods of
disrepute. However, it is only relatively recently that sufficient understanding
of the complex processes occurring during ion bombardment of solid surfaces
has been developed to allow the reproducible and controllable use of sputter
deposition for growing high-quality single crystals, complex alloys,
superlattices, and materials with tailored microstructures. The evolution of the
branch of science concerned with ion/surface interactions has been facilitated
by the parallel development of ultra-high vacuum technology and highly
sensitive microanalytic techniques for identifying the state of scattered
particles, sputtered species, and implanted material.
Sputter-ejected species have kinetic energies considerably greater
than thermal. In addition, depending on the experimental configuration, the
substrate and growing film may also be subjected to low-energy particle
bombardment from accelerated host lattice species, dopants, inert-gas
ions, and energetic particles backscattered from the target. Thus, ion/
surface interactions are not only important at the target, but they can also

275
276 Deposition Technologies for Films and Coatings

play a decisive role, as discussed in Ch. 13, in determining film nucleation and
growth kinetics, microstructure evolution, dopant incorporation probabilities,
and hence the physical properties of as-deposited layers. The controlled use
of ion bombardment effects allows a considerable enhancement in the ability
to tailor film properties.
Sputter deposition is inherently a vacuum coating process. In operation,
the source of coating material, termed the “target,” is mounted opposite the
substrates in a vacuum chamber which is then evacuated to a base pressure
which typically ranges from 10-6 to 10-10 Torr*, depending upon the process.
The most common method of providing the ion bombardment necessary for
sputtering is to backfill the evacuated chamber, using a continuous flow of a
gas such as Ar, to a pressure of from 1 to 100 mTorr, and establish a glow
discharge. A negative potential, typically between 0.5 and 5 kV, is applied to
the target in order to initiate positive-ion bombardment while the counterelectrode
(the substrate) is grounded. A sputtering apparatus in which the target and
substrate are opposing parallel plates, shown schematically in Fig. 5.1, is
termed a diode system. The discharge in such a device is commonly operated
in the abnormal negative-glow mode.[2]
The most striking characteristic of the sputtering process is its universal-
ity. Since the coating material is passed into the vapor phase by a physical
momentum-exchange process, rather than a chemical or thermal process,
virtually any material is a coating candidate. DC discharge methods are
generally used for sputtering metals, while an RF potential must be applied to
the target when sputtering nonconducting materials. In some applications,
rather than immersing the target in a plasma, it is more convenient to use a
separate ion-beam source consisting of a self-contained discharge with ion-
acceleration optics.
Sputter-deposition technology includes many variations of the basic
process described above. For example, coatings may be formed by:
1. Employing a target which is a mosaic of several materials.
2. Employing several different targets simultaneously to obtain an
alloy film.
3. Employing several targets sequentially to create a composi-
tionally layered coating.

*The pressure unit of Torr (1 Torr = 1 mm Hg) is a carryover from the time when pressure was
measured with a Hg manometer. Most commercial pressure gauges are still calibrated in Torr
or microns (1 µm Hg = 1 mTorr). Therefore, Torr will be used in this chapter although both
Torr and Pa (SI units) are given in some of the figures. 1 Torr = 133 Pa = 1.33 mbar = 1.316
x 10-3 atm.
Sputter Deposition Processes 277

Figure 5.1. Schematic representation of a parallel-plate diode sputtering system.

4. Electrically biasing the substrate to provide ion bombardment


of the growing film during deposition in order to modify the film
microstructure and/or microchemistry.
5. Employing a gas (e.g., O2, N2 , H2 S, etc.) to introduce one of
the coating materials into the chamber. This process is known
as reactive sputtering.
278 Deposition Technologies for Films and Coatings

1.1 Sputter Deposition Systems

Sputtering systems can assume an almost unlimited variety of configura-


tions, depending on the application. The simplest is the parallel-plate diode
shown schematically in Fig. 5.1. Such systems have played a major role in the
development of sputtering technology over the past twenty years and are still
widely used. Figure 5.2 shows a planar-diode sputtering installation of a type
commonly used in research and for small production runs.

Figure 5.2. Planar-diode sputtering system of the type used for research end small
production runs. The system can be used for both DC and RF sputtering. (Photo
courtesy of CVD Products, Inc., Rochester, NY)

The substrates in a planar-diode system are in contact with the plasma.


This makes it relatively easy to carry out the processes of substrate sputter
cleaning and bias sputtering. It is partly due to the effects of these processes
that sputtering has long enjoyed a reputation for providing coatings with
superior adhesion. However, the heating associated with plasma and electron
bombardment often prohibits the use of planar diodes for coating thermally-
sensitive substrates.
It is difficult to sustain an intense plasma discharge in the planar-diode
electrode geometry. Thus, working pressures are necessarily relatively high
at 20 to 75 mTorr and current densities are low, ≈1 mA/cm2 . The high
Sputter Deposition Processes 279

pressure causes the transport of coating material from the target to the
substrate to be primarily diffusive rather than ballistic and sputtered material
is lost to the walls of the container by scattering. This, coupled with the low
current density, leads to deposition rates which are generally less than 75 nm/
min (4.5 µm/h).
Triode devices, in which additional electrons are injected into the
discharge by thermionic emission from a third electrode, can be used to
produce intense sputtering discharges at low pressures. The deposition rates
that can be achieved with triode devices are also higher than with planar
diodes. For example, high-rate triode sputtering has been used to fabricate
a free-standing 1.3 kg deposit of a Cu-alloy in the form of a cylinder 15 cm in
diameter.[3] However, the complexity of triode designs for obtaining uniform
deposition has, in general, limited their use to special applications.
The recent development of a class of sputtering sources with magnetic
plasma confinement, called magnetrons, has greatly enhanced the capabili-
ties of the sputtering process. There are many forms of magnetrons. They vary
from small ring sources—often referred to as Sputter-gunsTM (Sloan Technol-
ogy, Santa Barbara, CA) and S-gunsTM (Varian Associates, Palo Alto, CA)—
to long rectangular planar magnetrons and cylindrical magnetrons with post
or hollow cathodes. Magnetrons can be used for both DC and RF sputtering
but are particularly effective for DC sputtering, where deposition rates can be
more than an order of magnitude larger than those obtained with planar diodes.
Planar and cylindrical magnetrons can be scaled to large sizes to provide
uniform deposition over very large areas (many m2). In addition, well-designed
magnetrons virtually eliminate substrate heating caused by electron bombard-
ment.

1.2 Sputter-Deposition Applications

The enormous range of sputtering applications reflects the universal-


ity of the process. Films containing essentially every element in the
periodic table have been prepared by sputtering. Alloys and compounds
can generally be sputter-deposited while preserving their compositions.
For example, PTFE (Teflon ) has been sputtered to produce lubricous
films having many of the properties of the starting material. The ability to
control composition has caused sputtering to become widely used in the
electronics industry. Typical applications are aluminum alloy and refrac-
tory metal microcircuit metallization layers, microcircuit insulation layers,
transparent conducting electrodes, amorphous optical films for integrated
optics devices, piezo-electric transducers, photoconductors and luminescent films
280 Deposition Technologies for Films and Coatings

for display devices, optically addressed memory devices, amorphous bubble


memory devices, thin film resistors and capacitors, video-discs, solid electro-
lytes, thin film lasers, and microcircuit photolithographic mask blanks.
Figure 5.3 shows a multisource sputtering system designed for wafer
processing. Sputter deposition is also beginning to replace evaporation for
depositing high performance optical components and is commonly used for
depositing magnetic alloys with strong preferred orientation in magnetic
recording devices. In addition, one finds applications ranging from coating
razor blades to depositing wear-resistant coatings for machine tools.

Figure 5.3. Multi-source sputtering system designed for wafer processing. Wafer
batches are passed into and out of the coating chamber through vacuum interlocks.
(Photo courtesy GCA Corporation, Vacuum Industries Division, Somerville, MA)
Sputter Deposition Processes 281

Planar diodes are still widely used, particularly for depositing materials
requiring RF power. However, recent trends find magnetrons replacing planar
diodes for many DC, and some RF, applications. In addition, the magnetrons
have opened up new applications because of their large-area capability and
reduced substrate heating. For example, large in-line systems with vacuum
interlocks use planar magnetron sources to coat 2 m x 3.5 m architectural
glass plates at three-shift production volumes of about 106 m2/yr.[4] Sputtering
is being investigated as a means for depositing selective absorber coatings for
solar heating and for manufacturing photovoltaic cells for direct solar-to-
electrical energy conversion. Because of the reduced substrate heating,
magnetrons are used on a production basis to deposit chromium decorative
coatings on automobile grilles and other exterior trim. Figure 5.4 shows an
automated load-lock sputtering system designed for metallizing plastic
automotive parts.

Figure 5.4. Automated load-lock magnetron-sputtering system designed for


metallizing plastic automotive parts. System throughput is 46.5 m2/h of platen
surface on which substrates may be mounted. (Photo courtesy of Varian Associ-
ates, Inc., Palo Alto, CA)
282 Deposition Technologies for Films and Coatings

The selection of a sputtering apparatus for a given application depends


on the substrate size, shape, and sensitivity to heat and plasma irradiation.
It also depends on the nature of the coating—e.g., single layer or multilayer,
thickness, types of materials involved, and critical parameters such as
hardness, porosity, resistivity, semiconductor charge-carrier lifetimes, and
magnetic anisotropy—as well as the production volume. Planar targets of an
almost unlimited range of materials, including the new high temperature
superconducting oxides, are available from many suppliers. Thus planar
diodes are attractive for depositing thin coatings of complex materials onto
planar substrates for research studies or for small production volumes.
However, the substrates must be capable of withstanding the plasma
environment, particularly electron bombardment.
Triode devices are attractive when thicker coatings are required. How-
ever, for large production volumes, thick coatings, complex substrate shapes,
or thermally sensitive substrates, magnetron type sources should be consid-
ered. The selection of a particular type of magnetron will depend on the nature
of the coating and substrate and the availability of sputtering targets of the
required material in the desired geometry. The procurement of high-quality
targets is an important consideration for all sputtering systems.
Sputtering, like other vacuum coating processes, suffers from the
disadvantage that the equipment is expensive. In addition, high-rate sputtering
equipment generally incorporates large, nonstandard, power supplies and
automatic control systems. As a general rule, sputtering is most effective
when production volumes are sufficient to permit the equipment cost to be
amortized over a large number of parts. An advantage of sputtering is that it
is reliable and lends itself to automatic control.

1.3 Process Implementation

Almost any vacuum chamber capable of evacuation into the 10-6 Torr
range can be used for sputtering. Provisions are usually required for throttling
the pumping system so that the desired working gas pressure can be
sustained with the pumps in operation. Small planar and gun-type magnetrons
are particularly easy to install. Some forms of cylindrical magnetrons require
special chamber geometries. Chambers used in large production runs
generally include substrate-loading interlocks so that the target surface is not
exposed to the atmosphere between deposition cycles. Examples are shown
in Figs. 5.3 and 5.4.
Sputter Deposition Processes 283

Pre-deposition pumping and the importance of achieving low base


pressures will depend on the application. It is important to remember that
sputtered coatings are deposited in an atmosphere which contains outgassing
flux from the substrates and chamber walls. This flux can have a significant
influence on the growth and properties of the coatings. Special problems may
be encountered if the substrates themselves undergo severe outgassing.[5]
Generally, pre-deposition pumping is continued until the total outgassing flux
from the chamber walls and substrates has decreased to a value that is
significantly less than the total sputtering flux that will be used. The working
gas is then injected into the chamber with the pumps throttled and sputtering
is initiated. New, or air-exposed, targets should be “pre-sputtered,” with the
substrates shielded, prior to deposition in order to clean and condition the
target and chamber surfaces.
The selection of deposition conditions is generally determined empiri-
cally. The primary control parameters are the deposition rate, target voltage,
working gas species and pressure, and the substrate temperature and plasma
bombardment conditions. The available selection range for the deposition
parameters is determined largely by the apparatus. In planar diodes, many
of the parameters are interrelated and unavailable for independent control.
Much greater control is possible with magnetrons. However, other variables
become important. For example, in many magnetron geometries, along with
operation at low pressures where the sputtered atoms can pass to the
substrates while making few collisions, coating-flux angle-of-incidence con-
siderations become important in determining coating properties.[6] Thus, in
all applications where large production volumes are anticipated, it is wise to
perform development work using an apparatus of the same type and geometry
anticipated for the production facility. Scale-up increments should generally
not exceed a factor of three in apparatus size.

1.4 History of Sputter Deposition and Background Reading

Several review papers written over the last twenty years permit the
interested reader to follow the developments in sputtering technology. An
extensive review of the basic process was published by G. K. Wehner, one of
the most prominent of the early workers, in 1955.[7] Film properties obtained
in early experiments were discussed by E. Kay in a 1962 review.[8] Sputter
deposition processes were reviewed by L. Maissel[9] and Kay[10] in 1966. The
Handbook of Thin Films , published in 1970, contains reviews by Wehner
and Anderson [11] and by Maissel. [12] A review article by Thornton
284 Deposition Technologies for Films and Coatings

in 1973 discusses sputtering equipment.[13] Process considerations in glow


discharge sputtering were reviewed by Westwood in 1976.[14] A book edited
by J. L. Vossen and W. Kern contains several chapters reviewing magnetron
sputtering[15] and B. Chapman’s book[2] provides an introduction to glow
discharges used in sputtering and plasma etching. The growth and properties
of semiconductors deposited by sputtering have been reviewed by J. E.
Greene.[16]-[18] Harper and co-workers [19]-[21] have written review articles on
ion-beam sputter deposition. Finally, the role of low-energy ion/surface
interactions in controlling the microstructure and microchemistry of vapor-
phase deposited films has been discussed in detail in a number of review
articles by Greene and co-workers.[22]-[25]
Developments in the science and technology of sputtering are most
commonly reported in the following journals: Journal of Vacuum Science and
Technology, Thin Solid Films, Journal of Applied Physics, Vacuum, Surface
Science, Applied Surface Science, and the Journal of the Electrochemical
Society.

2.0 SPUTTERING MECHANISMS

Sputtering is a statistical process which occurs as a result of a


momentum-exchange collisional cascade process initiated near the target
surface by an incident energetic projectile. Figure 5.5 shows a computer
simulation of such a process resulting from a single bombardment event. It
is immediately clear that sputtering cannot result from a single binary
collision since the momentum vector of the struck target atom must be
altered by more than 90o . In the simulated collision sequence of Fig. 5.5,
the incoming projectile (depicted as a solid circle) strikes target atom 1
driving it deeper into the lattice. The collision is elastic and the subsequent
path of the initial projectile towards atom 2 can be calculated from conser-
vation of energy and momentum considerations. The glancing collision with
atom 2 causes the projectile to hit atom 3 which is displaced and collides with
surface atom 4 imparting sufficient momentum to allow atom 4 to overcome
the surface energy barrier and be ejected. The initial projectile as well as
atoms 1 and 2 displace other lattice atoms in subsequent “knock-on”
collisions but, in this simulation, fail to lead to any further sputtering events.
The statistical nature of the sputtering process is evident from the
above example. Computer simulations of Cu bombardment by 600 eV Ar+
ions [26] have shown that the radius of a collision cascade under such
Sputter Deposition Processes 285

conditions is of the order of 10 nm and that the fraction of collision sequences


which actually intersect the surface and transfer sufficient momentum to
result in sputtering is quite low. Statistical analyses show that sputter ejection
very rarely occurs due to collision cascades initiated more than five atomic
layers below the surface. Most of the energy transferred to the lattice during
ion bombardment is lost as heat. The time associated with a particular
collision event is short with respect to the projectile time of flight between
collisions. Thus, under normal sputter deposition conditions, the probability
that overlapping lattice regions will be excited simultaneously by individual
bombardment events is small.

Figure 5.5. Computer simulation of a portion of a collision sequence initiated by


a single ion-bombardment event in a solid lattice.

2.1 Sputtering Rate

The sputtering process is quantified in terms of the sputtering yield,


defined as the number of target atoms ejected per incident particle. The
yield depends on the target species and the nature, energy, and angle of
incidence of the bombarding species. It is relatively insensitive to the
target temperature. [11] (At sufficiently high temperatures, of course, the
evaporation rate becomes of the order of, or larger than, the sputtering
rate). The yield is also independent of whether or not the bombarding species
is ionized. In fact, incident ions have a high probability of being neutralized by
286 Deposition Technologies for Films and Coatings

a field-emitted electron prior to impact.[11][26]-[29] Molecular bombarding


species behave as if the atoms of the molecule arrived separately with the
same velocity as the molecule and initiated their own sputtering events.[11]
The sputtering yield tends to be greatest when the mass of the
bombarding particle is of the same order of magnitude or larger than that of the
target atoms. The use of inert-gas ions avoids chemical reactions at the target
and substrate. Accordingly, Ar is often used because of its mass compatibility
with materials of engineering interest and its low cost.
Sputtering yields are determined experimentally. Figure 5.6 shows yield
versus ion-energy data for several materials under normal ion incidence.
Additional data are given in Table 5.1. The dependence of the yield on the
bombarding-ion energy exhibits a threshold of 20 - 40 eV,[11] followed by a
nearly linear region which may extend to several hundred eV. At higher
energies, the yield vs ion-energy dependence becomes sublinear. The
sputtering process is most efficient from the standpoint of energy consumption
when the ion energies are within the linear range.

Figure 5.6. Variation of the sputtering yield of several materials as a function of Ar+
ion energy at normal angle of incidence. Data from R. V. Stuart and G. K. Wehner,
J. Appl. Phys. 33, 2351 (1962); D. Rosenberg and G. K. Wehner, J. Appl. Phys. 33,
1842 (1962); and R. Behrisch, Ergeb. Exakt. Naturw. 35, 295 (1964).
Sputter Deposition Processes 287

Table 5.1. Sputtering Yields for Various Materials under Argon Ion
Bombardment. Ion energy in eV. Data from Ref. 36.

Ion Energy (eV) Heat of


Target 200 600 1,000 2,000 5,000 10,000 Sublimation
Metal Sputtering Yields (Atoms/Ion) (eV/atom***)
Ag 1.6 3.4 - - - 8.8 2.94
Al 0.35 1.2 - - 2.0 - 3.33
Au 1.1 2.8 3.6 5.6 7.9 - 3.92
C 0.05* 0.2* - - - - 7.39
Co 0.6 1.4 - - - - 4.40
Cr 0.7 1.3 - - - - 4.11
Cu 1.1 2.3 3.2 4.3 5.5 6.6 3.50
Fe 0.5 1.3 1.4 2.0** 2.5** - 4.13
Ge 0.5 1.2 1.5 2.0 3.0 - 3.98
Mo 0.4 0.9 1.1 - 1.5 2.2 6.88
Nb 0.25 0.65 - - - - -
Ni 0.7 1.5 2.1 - - - 4.45
Os 0.4 0.95 - - - - 8.19
Pd 1.0 2.4 - - - - 3.90
Pt 0.6 1.6 - - - - 5.95
Re 0.4 0.9 - - - - 8.06
Rh 0.55 1.5 - - - - 5.76
Si 0.2 0.5 0.6 0.9 1.4 - 4.68
Ta 0.3 0.6 - - 1.05 - 8.10
Th 0.3 0.7 - - - - 5.97
Ti 0.2 0.6 - 1.1 1.7 2.1 4.86
U 0.35 1.0 - - - - 5.00
W 0.3 0.6 - - 1.1 - 8.80
Zr 0.3 0.75 - - - - 6.34
Compound Sputtering Yields (Molecules/Ion)
CdS(1010) 0.5 1.2 - - - -
GaAs(110) 0.4 0.9 - - - -
GaP(111) 0.4 1.0 - - - -
GaSb(111) 0.4 0.9 1.2 - - -
InSb(110) 0.25 0.55 - - - -
PbTe(110) 0.6 1.40 - - - -
SiC(0001) - 0.45 - - - -
SiO2 - - 0.13 0.4 - -
Al2O3 - - 0.04 0.11 - -
*Kr+ ions **Type 304 stainless steel ***From Ref. 240

Note that for typical ion acceleration energies, the sputtering yields of
most metals are near unity and within an order of magnitude of one another.
This is in contrast to evaporation where the rates for different materials at a
given temperature can differ by several orders of magnitude. In addition, the
evaporation rate for a given material varies exponentially with temperature
while the sputtering yield is essentially independent of temperature.
The general dependence of the sputtering yield on the ion angle of
incidence is indicated in Fig. 5.7.[30] In glow-discharge sputtering devices,
the ions generally approach the target in a direction normal to the target
288 Deposition Technologies for Films and Coatings

surface. Thus, the relationship shown in Fig. 5.7 is of particular significance


when the target surface is highly irregular or for ion-beam sputtering where the
ion-incidence angle can be controlled.

Figure 5.7. Schematic diagram showing variation of the sputtering yield with ion
angle of incidence for a constant ion energy.

Sputtering systems are generally calibrated to determine the deposition


rate under a given set of operating conditions. However, yield data of the type
described above are often used in estimating rate changes when changing
coating materials and in estimating the amount of material removed during
sputter cleaning and bias sputtering. The erosion rate is given by

JSMA
Eq. (1) R = 6.23 (nm/min)
δ
Sputter Deposition Processes 289

where J is the ion current density in mA/cm2, S is the sputtering yield in atoms/
ion, MA is the atomic weight in grams, andδ is the density of the target material
in g/cm3.
The reader should be cautious about using Eq. 1 in attempting to predict
absolute sputtering rates, especially in planar diode systems where the
average energy of ions striking the target may be considerably less than Ei =
eVT (VT is the applied target potential), due primarily to inelastic charge
exchange collisions[31] between accelerated ions and neutral sputtering gas
species. S(Ei ) data, on the other hand, are usually obtained from ion beam
experiments carried out in low pressure (long mean-free path) environments
where the ion energy is given by the accelerating energy. The apparent lower
yield in the glow discharge sputtering case (due to the lower average ion
energy) is, however, partially offset by the flux of energetic charge-exchanged
neutrals which are incident at the target.

2.2 Momentum Exchange

Consider a particle of mass Mi and velocity v i which impacts on a line of


centers with a target particle of mass Mt that is initially at rest, as shown in
Fig. 5.8a. Three simple observations can be made. First, as noted above, the
momentum imparted to the target particle drives it into the lattice. Secondly,
from a simple line-of-centers atomic collision calculation, a fraction

4 Mi Mt
Eq. (2) ε =
(Mi + Mt)2

of the kinetic energy of the incident particle is transferred to the target particle.
An expression for the yield, which can be written in the form shown in Eq.
3 below, has been derived by assuming perpendicular ion incidence onto a
target consisting of a random array of atoms (a good approximation for a small-
grain polycrystalline material) with a planar surface.[32]-[34]

E
Eq. (3) S = (constant) ε α(Mt/M i)
U

The relationship is useful for illustrating the functional dependences of the


important parameters and provides reasonably good agreement with
measurements for medium mass (Ar, Kr) bombardment of a wide variety of
290 Deposition Technologies for Films and Coatings

materials. The yield is seen to depend directly on the energy transfer


coefficient ε. The term α(M t/Mi ) is a near-linear function of Mt/Mi, E is the
kinetic energy of the incident ion, and U is the heat of sublimation for the target
material. The mass dependence ofεα does not vary greatly from one material
to another. The primary material-sensitive factor is the heat of sublimation, and
this is only a first power dependence. This is in contrast to chemical and
thermal processes that depend exponentially on an activation energy. It is this
relative insensitivity to the properties of the target material that gives sputtering
the universality referred to previously.

Figure 5.8. Schematic diagram showing momentum exchange processes that


occur during sputtering; Mi and vi are the ion mass and velocity, Mt and vt are the
target-atom mass and velocity, and the prime superscript denotes velocities after
collision.

When the ion mass is lower than that of the target atom, it may be
reflected backward in a single collision with a kinetic energy that is still a
significant fraction of its initial energy. For a 180o reflection, this fraction is

(Mi - M t)2
Eq. (4) f =
(Mi + Mt)
Sputter Deposition Processes 291

If Mi > Mt, reflection requires more than one collision and the reflection
coefficient is low.[35]
Since the ions have a high probability of being neutralized prior to impact,
they are reflected as energetic neutrals which are therefore not influenced by
the electric field over the target surface.[36] The flux of reflected species
contributes to substrate heating,[37] particularly in devices operating at low
pressures where the reflected neutralized ions may reach the substrates with
little loss of kinetic energy by gas-phase collisions. Consequently, the
reflected species bombard, and can become entrapped in, the growing
film.[38]-[41]
The energy flux which leaves the cathode via backscattering can be
estimated using the sputtering efficiency[42]-[44] which is defined as the
fraction γ of the bombarding ion energy incident on the target surface, Ein,
which leaves the surface in the backward direction, Eout, in the form of
sputtered atoms or backscattered ions.

Eout Esputtered + Ebackscattered


Eq. (5) γ = =
Ein Ein

The energy of the sputtered atoms is discussed in a subsequent section.


Theoretical calculations[42] for a target consisting of a random array of atoms
in which the surface binding energy was neglected indicates that the
sputtering efficiency is independent of the energy of the incident ion and is
simply a monotonically increasing function of the target-atom/ion mass ratio.
This dependence, which has been confirmed for both low and high ion
energies, is shown in Fig. 5.9.
Momentum exchange processes also provide an explanation for the
angular dependence of the sputtering yield shown in Fig. 5.7. An ion which
is incident on the target surface at an angle θ will, to first order, have its path
length increased by a factor secθ before it passes through depth d. At larger
angles of incidence, ion reflection dominates and the yield decreases.
Another question of interest is the ultimate fate of the inert gas ions that
bombard the target. The probability of their becoming trapped in the target
increases with ion energy above a threshold of ~ 50 - 100 eV.[45] Thus, a
concentration of inert gas, which depends on a balance between the rates of
implantation and release, will develop in the near-surface region of the target.
The amount of gas entrapped in the target can be large enough to influence the
sputtering yield.[46]
292 Deposition Technologies for Films and Coatings

Figure 5.9. Sputtering efficiency versus target-to-ion mass ratio. The solid curve
is from the theoretical work of Sigmund (Ref. 42). The experimental data is from
substrate heating experiments with cylindrical-post magnetrons (Ref. 37).

2.3 Alloys and Compounds

An important advantage of the sputtering process is that the composition


of a sputter-deposited film tends to be the same as that of the target, provided
that: (i) the target is maintained sufficiently cool to avoid bulk diffusion of the
constituents, (ii) the target does not decompose, (iii) reactive contaminants
are not present,(iv) the gas-phase transport of the components is the same,
and (v) the sticking coefficients for the components on the substrate are the
same. Targets can be formed by casting or by hot pressing powders. In
addition, composite targets can be formed by placing wires, strips, or discs
of one material over a target of another material.
The details of ion/surface interactions with multicomponent materials
are complex[47]-[49] and poorly understood. Consider the case of a homoge-
neous starting material composed of species having different individual
sputtering yields or masses. When sputtering is first initiated from such a
Sputter Deposition Processes 293

target, the sputtered flux will, in general, be rich in one of the constituents. The
composition of this altered surface layer continues to change until the product
of the partial sputtering yield times the surface concentration for each species
is proportional to its concentration in the target. The process is indicated
schematically in Fig. 5.10. Once a steady-state altered layer is formed, the
composition of the sputtered flux is equal to the bulk target composition.

Figure 5.10. Schematic illustration of the surface composition modification which


occurs during sputtering of a single-phase alloy.

The thickness and composition of the altered layer will depend on the
target material and sputtering conditions. Typical altered-layer thicknesses
are 3 - 10 nm for single-phase alloys[49][51] and up to several µm for multiphase
alloys.[52] A change in sputtering conditions will in general require an
adjustment of the altered layer. It is important to note that the partial sputtering
yield of a constituent in an alloy or compound will not be the same as for that
constituent by itself because of the difference in binding energies and the
different atomic masses involved in the collision sequence within the alloy or
compound. In an alloy for which the constituent species have similar binding
energies, the low mass species can be expected to have higher partial
sputtering yields. If the masses are similar, the weakly-bound species will
have higher partial sputtering yields.[34][47]-[48] Thus, in the sputtering of most
oxides, the altered layer becomes deficient in the flow-mass oxygen compo-
nent.[47]
294 Deposition Technologies for Films and Coatings

Sputtering of two-phase alloys in which the phases have significantly


different sputtering yields results in the development of an irregular surface
topography.[30][53]-[55] The sloping surfaces that survive tend to be those that
make an angle with the sputtered flux such that the sputtering yield is
maximized. If the second phase, or any included impurity particles, have very
low sputtering yields, the surface may develop into a forest of cones with side
walls at the maximum sputtering angle[52][56]-[59] as shown in Fig. 5.11. The
cones will eventually be sputtered away; however, the receding target surface
will expose new second-phase regions and impurity particles (if they are
distributed throughout the bulk) and new cones will form. Thus a steady-state
surface topography will develop. Surface diffusion on the target will, in general,
make this situation more complex than the picture described above. The
important point is that, following an incubation period, the composition of the
sputtered flux leaving the target will become identical to that of the target.
Nevertheless, the irregular surface topography may cause the overall yield to
be considerably lower than what might be expected on the basis of the yields
of the primary target constituents.

Figure 5.11. Schematic representation showing stages of cone formation during


ion irradiation of a contaminated or two-phase target.

Topographical evolution such as cone formation can also influence the


performance of composite sputtering targets.[60][61] When such targets are
used in sputtering systems that operate at high pressures (greater than about
20 mTorr), some of the sputtered material will be backscattered by the working
gas. Thus mixing of low and high yield materials can occur on the target
segments. When atoms of a low-yield material are deposited on a high-
yield target surface, the low-yield material can agglomerate into islands
capable of protecting the material underneath and cones will form. [58]
Sputter Deposition Processes 295

Relative sputtering yields as well as the target temperature appear to be


important in predicting this behavior. An example is the formation of Mo-
protected cones on Cu surfaces.[58] The resultant sputtering rate from the
cone-covered surface has been found to be very close to that for the low-yield
material, Mo. Thus the composition of films deposited from composite targets
can be much different than that estimated using the individual sputtering yields
and the relative areas of the target segments.
Special care should be exercised when using hot-pressed targets. Hot-
pressed Au-Ni and Au-Co targets composed of powders in the 50 to 130 µm
range were found to yield deposits with compositions that matched those of
the target after a transition period during which a layer≈20 µm thick had been
sputtered from their surfaces.[62] However, the overall yield dropped to a value
equal to that of the low-yield constituents (Ni or Co), even when the volume
fraction of that constituent was only ≈30%.
Contamination can present a particular problem with hot-pressed targets
because of the large surface area contained in the starting powder. Such
contamination may be present throughout the target and will provide a
continuous virtual leak as the target is used.[63][64]
Particular caution must also be exercised when using targets composed
of compounds having poor electrical and thermal conductivities. Cracking
often limits allowable current densities. The problem is especially egregious
in planar magnetron systems where concentrated heating occurs under the
plasma ring.[65] Poor thermal conductivity leads to high surface temperatures
and may also result in the loss of volatile constituents by evaporation or
sublimation. The high electric field in a poorly conducting target can act in
concert with the high temperature and promote diffusion within the target. Thus
the requirements listed at the beginning of this section for obtaining films with
the same composition as the target are violated. It is not uncommon for films
sputter deposited from such targets to be deficient in the more volatile
constituents.[66][67]

2.4 Sputtering with Reactive Species

The most complete data on the dependence of the sputtering yield on the
ion species are those collected by Almen and Bruce, shown in Fig. 5.12.[68]
Although the ion energies were considerably above those generally used for
sputter coating technology, they do illustrate trends. Sputtering yields
increase with the mass of the ions and, for a given row in the periodic table,
the rare-gas ions have the highest yields. Of particular interest is the fact
that yields vary much more with ion species (factor of 100 or more) than
296 Deposition Technologies for Films and Coatings

they do with target atom species (factor of 10).[11] This is believed to result
from the bombarding ions forming alloys or compounds with high binding
energies on the surface of the target. Note that the yields for the three target
materials examined in Fig. 5.12 are particularly low for reactive species such
as Be, C, Mg, Si, Ti, and Zr.

Figure 5.12. Sputtering yields for various ions impacting at normal incidence on
Ag, Cu, and Ta surfaces at high energies (45 keV). Data from Ref. 68.

Reduced yields are commonly observed in reactive sputtering (see Sec.


4.1 in this chapter) and attributed to compound formation on the target surface.
Such surface interactions can also significantly influence the surface topog-
raphy that develops on the target. Thus, 20 keV O2+ bombardment of an Fe
target yielded a considerably smoother surface than 20 keV Ar+ bombard-
ment.[69]

2.5 The Nature of Sputtered Species

Under typical metal or semiconductor thin-film deposition conditions,


most sputtered material is ejected in the neutral atomic state. The fraction of
charged particles sputtered from clean metal and semiconductor surfaces
is on the order of 10-4, becoming larger for surfaces contaminated with
Sputter Deposition Processes 297

strongly electropositive or electronegative species.[70]-[73] In glow discharge


sputtering, the target is biased negatively and hence ejected positive ionswill
be recaptured. The fraction of negative ion emission from pure semiconduct-
ing targets such as Si, GaAs, or GaP is typically less than 10-4 .[74] However,
the negative ion yield can be quite large for targets composed of elements,
one of which has a low ionization potential, while another has a high electron
affinity. Examples are TbF3 ,[75] SmAu,[76] and YBa2 Cu3Ox.[77] Glow
discharge sputtering of such materials results in acceleration of the negative
ions, via the cathode fall potential, to the substrate.
Relatively little experimental data is available on the probability of
material being sputtered as molecules or clusters. Investigation by Oechsner
and Gerhard[78][79] and Gerhard[80] using mass spectrometric analyses of
post-ionized sputtered neutral particles has shown that with 1 keV Ar+
bombardment, the maximum fraction of sputtered dimers is 0.1 for Ag, Au, and
Cu and about 0.03 for other metals. The fraction of trimers is about 0.001.
Molecular-dynamic computer simulations by Winograd et al.[81] indicate that
the fraction of sputtered Cu multimers varies strongly with crystal orientation,
being largest for the (111) face. While such simulations are useful for
predicting trends, the number of individual events sampled is too small to
expect reliable statistics. Nevertheless, the predicted yield fractions of
sputtered dimers and trimers agree reasonably well with the measured results
of Gerhard and co-workers.
The mechanism for the sputtering of molecular species is not well
established. So-called “statistical models” have been proposed in which
sputtered neutral atoms resulting from nearly-simultaneous ejection events
agglomerate above the surface if their ejection is properly correlated in
space and time and their relative kinetic energy is less than the dissociation
energy of the molecule formed.[79][82]-[84] Können et al.[82] used such a
model to describe the energy distribution of sputtered K2 and KI molecules.
Winograd et al.[81][84] invoked a similar mechanism to obtain sputtered
clusters in their computer simulations. However, Prigge and Bauer[85]
reported experimental results which may indicate that, at least for the case
of dimer and trimer ions, species comprising sputtered molecules were
originally vertically displaced nearest neighbors in the lattice. In their
experiments, they used 1 keV Ar+ ions to sputter thin Cu or Pd layers which
had been deposited onto (110) W. The metal layers ranged in thickness
from less than a monolayer to greater than three monolayers and sputtered
species were detected by secondary-ion mass spectrometry. No sputtered
Cu2+ or Pd2 + ions were observed emanating from targets with less than one
298 Deposition Technologies for Films and Coatings

monolayer coverage and no trimer ions from samples with less than two
monolayers. The sputtering yield of monomer, dimer, and trimer ions all
saturated at a coverage of ≈3 monolayers.
In the case of compounds, most of the information available on molecular
sputtering is for alkali halides and oxides where clusters can account for a
significant percent of the total sputtered flux. Coburn et al.[86] showed that the
relative fraction MO/(M + O) of sputtered species from MxOy metal oxide
targets increased with increasing M-O bond energy. Rare gas matrix isolation
spectroscopy was used by Gruen et al.[87][88] to identify Al2 O and AlO
molecular species sputtered from Al2 O3 targets under Ar + bombardment and
TiO and ZrO species from metal targets sputtered with 2 keV O2 + ions.[89]
The only published work on compound semiconductors is for GaAs.
Using 140 eV Ar+ bombardment, comparable to the average impact energy in
many glow discharge deposition experiments, Comas and Cooper[90] found
from post-ionized mass spectroscopy measurements that molecular species
(GaAs, Ga2, As2) amounted to less than 1% of the total sputtered flux.
However, for 6 keV Ar+ ion sputtering, Szymonski and Bhattacharya[91]
observed that at room temperature, sputtered GaAs and As2 molecules
accounted for ~14% and 11% (data uncorrected for the variation in the detector
efficiency as a function of mass), respectively, of the flux. The fraction of
sputtered GaAs molecules was found to increase rapidly for target tempera-
tures above 250oC. This latter effect was explained as being due to enhanced
sputtering from collisional spikes.

2.6 Energy Distribution of Sputtered Species

An important distinction between sputtering and other vapor-phase


deposition techniques is that sputtered atoms can have quite high kinetic
energies. For example, the average ejection energy of Ge atoms under 1.2
keV Ar+ bombardment is ≈15 eV[92] compared to only≈0.1 eV for evaporated
Ge. In sputter deposition systems for which the target-substrate separation
is less than a few mean free paths, the energy distribution of sputtered species
impinging on the substrate will be approximately the same as the ejected
species energy distribution.
The most probable ejection energy is typically of the order of one half the
surface binding energy, but because of the extended high-energy tail the
average ejection energy is considerably higher (see Fig. 5.13)[92] and, in
general, is found to increase with the atomic number of the target.[93]-[95]
Sputter Deposition Processes 299

From collision cascade theory,[96] the energy distribution of sputtered species


is expected to be

E
Eq. (6) ∆N/∆E ∝
(E + U)3

where ∆N/∆E is the differential flux of sputtered particles with energy E and
U is the surface binding energy. In practice, the high-energy tails of
experimentally determined sputtered-atom energy distributions for rare-gas
bombardment energies from≈1 to 10 keV generally follow a E-2 dependence
in agreement with Eq. (6).

Figure 5.13. (Top) Energy distribution of sputtered Cu atoms ejected by Kr + ions


at various bombarding energies. (Bottom) Comparision of velocity distributions of
sputtered and evaporated Cu atoms. Data from Ref. 92.
300 Deposition Technologies for Films and Coatings

Sputter-ejected atoms from metals with atomic number Zt > 20 have


ejection velocities which lie in a relatively narrow range (see Fig. 5.14b).
Average ejection energies therefore increase with increasing Zt as shown in
Fig. 5.14a for neutral metal atoms sputtered from polycrystalline targets with
1.2 keV Kr+ ions.[11]

Figure 5.14. Average energies (top) and velocities (bottom) of sputtered atoms
ejected by 1.2 keV Kr+ ion bombardment. Data from Ref. 11.

Atoms sputtered from polycrystalline or amorphous targets under


perpendicular-incidence bombardment by medium-mass ions with energies
of 1 - 3 keV are ejected in nearly random directions, as a consequence of
multiple collisions within the target, and therefore have near cosine distribu-
tions.[11] At low ion energies (≈1 keV), the distribution may be slightly under
Sputter Deposition Processes 301

cosine (more emission at large angles) while at higher energies (≈3 keV) it is
over cosine.[10] Under oblique-incidence bombardment, the target atoms are
sputtered in the forward direction from smooth surfaces. However, the
roughness of most practical targets causes the emission to be random. This
is particularly true for polycrystalline targets, where the difference in yield for
different crystallographic directions can lead to an increase in surface
roughness as sputtering proceeds. Thus, a cosine distribution is often a
reasonable approximation for estimating deposition profiles.[11]

3.0 SPUTTER DEPOSITION TECHNIQUES

The fundamental problem in implementing the sputtering process is to


provide a uniform and copious supply of ions over the surface of the target. The
low-pressure glow discharge has proven to be the most cost-effective source
of ions. A wide range of glow discharge apparatus geometries have been used
in attempts to: (i) increase the ion supply and thus the sputtering rate, (ii)
increase the target area and thus the available deposition area, (iii) reduce
plasma heating of the substrates, (iv) permit operation at lower working-gas
pressures, and(v) facilitate the coating of particular substrate shapes. In the
following discussion, the essential features of the glow discharge and several
of the more commonly used apparatus types are reviewed.

3.1 Planar Diode and the DC Glow Discharge

The planar diode shown schematically in Fig. 5.15 is the simplest and
probably the most widely used sputtering configuration. Cathode diameters
are typically 10 to 30 cm and the cathode-to-anode spacing≈5 to 10 cm. Such
systems are operated with both DC and RF power supplies. In DC diodes, the
cathode serves a dual capacity. It is the target or source of coating material
as well as the cathode electrode for sustaining the glow discharge and is
generally water-cooled. Often the target consists of a disc of the material to
be sputtered which is attached with solder or conducting epoxy to a backing
plate which serves as part of the cathode-cooling channel. A low-pressure
glow discharge of a type known as an abnormal negative glow[97] is maintained
between the cathode and an adjacent anode which may also serve as the
substrate mounting table, as shown in Fig. 5.15.
302 Deposition Technologies for Films and Coatings

Figure 5.15. Schematic representation of the plasma in a planar diode sputtering


source.

A grounded shield is used to promote a uniform erosion rate over the


target surface and to prevent sputtering from the sides and the rear surface of
the target. The discharge current is carried, in the vicinity of the negatively
biased cathode, primarily by positive ions passing out of the plasma volume,
and, in the vicinity of the anode, by electrons passing from the plasma volume
to the anode. Thus, a necessary condition for sustaining the discharge is that
the plasma volume be a suitable source of electrons and ions.
Because of the relatively low mobility of the ions compared to the
electrons, most of the electrical potential that is applied between the anode
and cathode by the power supply is consumed in a cathode dark space, or
sheath region.[97] Dark-space thicknesses are typically 1 to 4 cm, depending
on the pressure and current density.[99] Accordingly, strong electric fields are
formed, and ions passing from the plasma volume to the cathode are
accelerated by these fields to impact the cathode. However, these ions also
cause a small number of secondary electrons to be emitted from the surface
(approximately one for every ten ions in the case of Ar+ ions impacting on a
metal cathode).[100][101] These electrons are accelerated in the cathode dark
space to energies approaching the applied potential and enter the plasma
volume (negative glow) where, known asprimary electrons, they collide with
gas atoms and produce the volume ionization necessary to sustain the
discharge.[97][102]
The requirement for sustaining such a discharge is that each primary
electron must produce sufficient ions to release one further electron from the
Sputter Deposition Processes 303

cathode. Therefore, the interelectrode spacing must be large compared to the


electron mean free path. The cross section, σ, for Ar ionization by the impact
of 500 eV electrons is about 10-16 cm2.[103] Thus, for example, at an Ar
pressure of 1 mTorr (gas density N = 3.2 x 1013 atoms/cm3) the electron mean
free path (1/Nσ) for the production of ionization is 300 cm; i.e., much larger than
the cathode-to-anode spacing. Consequently, discharges of the form shown
in Fig. 5.15 can be sustained only at relatively high working pressures (50 - 100
mTorr), where a high density of Ar collision partners is provided for the primary
electrons. (The grounded shields shown in Fig. 5.15 prevent the discharge
from forming on the sides of the cathode because the electrode separation is
too small to support the ionization mechanisms described above at the
operating pressures of interest.).
Attempts to increase the discharge current in a planar diode by
increasing the applied voltage are thwarted to a large degree by the fact that
the ionization cross-section decreases with increasing electron energy for
energies greater than about 100 eV.[103] The current, and thus the sputtering
rate, can be effectively increased at a given voltage by increasing the Ar
pressure. However, if the pressure is too high, the deposition rate starts to
decrease since the motion of both ions and sputtered atoms is impeded by the
working gas atmosphere, as discussed below. These conflicting require-
ments result in an optimum operating pressure for producing the maximum
deposition rate in a given apparatus. Typical operating conditions for metal
deposition in a DC planar diode sputtering source are listed below.
Cathode current density - 1 mA/cm2
Discharge voltage - 3,000 V
Ar pressure - 75 mTorr (10 Pa)
Deposition rate - 40 nm/min (2.4 µm/h)
At typical planar diode operating pressures, the motion of the ions
across the dark space is disrupted by collisions with gas atoms. In such
collisions, there is a high probability of charge exchange, particularly when
noble gas ions are passing through an atomic gas of their own species
(resonance charge exchange).[97] A fast ion extracts an electron from a slow
gas atom. The fast ion then becomes a “fast” neutral atom, while the “slow”
atom becomes a positive ion, as indicated schematically in Fig. 5.16. Thus,
instead of being bombarded by a current of ions having an energy equal to the
potential drop across the cathode dark space, the target is bombarded with
a much larger number of ions and fast neutrals having an average energy that
is often less than 10 - 20% of the applied potential.[31][104]
304 Deposition Technologies for Films and Coatings

Figure 5.16. Schematic illustration of the charge-exchange process that affects ion
transport across the cathode dark space.

The deposition rate in planar diodes is further reduced by gas-scattering


of sputtered atoms during transport between the target and the substrate.
Optical emission measurements have confirmed that at typical sputtering
pressures, sputtered-atom transport within the negative glow region is largely
by diffusion.[105][106] The combination of charge transfer processes and
diffusion transport make it necessary to determine deposition rates experi-
mentally for each set of operating conditions. Another consequence of the
collision-dominated transport of the sputtered atoms is a reduction in their
kinetic energy at the substrate.[14][107][108] Figure 5.17 shows the results of
an approximate calculation of the maximum distance required for sputtered
atoms with various initial energies to have their kinetic energy reduced to the
thermal energy of the gas atoms (≈0.025 eV).[109] At typical planar diode
operating pressures, the equilibration distances are short compared to target-
to-substrate spacings.
Even under the relatively high-pressure conditions that yield the maxi-
mum deposition rates, the planar-diode discharge is inefficient. Many of the
high-energy primary electrons fail to transfer their energy in the plasma volume
and are incident at the anode and substrates while still possessing consider-
able energy. Ions and electrons are also lost from the edges of the discharge.
Note also that the substrates are in contact with the plasma and are therefore
also subjected to bombardment by plasma electrons and ions. This irradiation
precludes the coating of many heat-sensitive materials such as plastics.[110]
Sputter Deposition Processes 305

Figure 5.17. Maximum distance from the target at which sputtered Al and Ta
atoms of different initial energies are thermalized in Ar at various pressures.
Data from Ref. 109.

Planar diodes are widely used despite substrate heating and low
deposition rates. The reason is their simplicity and the relative ease with which
planar targets can be fabricated from a wide range of materials. Sputter
cleaning of the substrate and bias sputtering are easily accomplished by
adding an auxiliary anode and applying a negative bias to the substrate holder.

3.2 Triode Discharge Devices

Triode discharge devices utilize an additional electrode, independent of


the target, to sustain the glow discharge.[13] The most common configuration
is the hot-cathode triode shown schematically in Fig. 5.18. Electrons are
emitted from the cathode surface thermionically rather than by ion bombard-
ment. This relaxes the volume ionization requirement for sustaining the
discharge. Consequently, hot-cathode triodes can be operated at low
pressures (0.5 to 1 mTorr). The driving voltage is only 50 - 100 V, although
the current may be several amperes. Radial plasma losses are often
minimized through the confining effect of an axial magnetic field as shown in
the figure. However, such a field produces a distortion of the current
distribution over the target.
306 Deposition Technologies for Films and Coatings

Figure 5.18. Schematic drawing of hot-cathode assisted discharge device (triode)


From Ref. 13.

Triodes permit high deposition rates (several hundred nm/min) to be


achieved, even at low pressures (mTorr range).[3] Although thick coatings have
been deposited,[111] use of triodes has been limited by difficulties in scaling
and the vulnerability of the thermionic emitter to reactive gases. Conse-
quently, magnetron sources (next section) are assuming primary importance
as high-rate sputtering devices.

3.3 Magnetrons

The development of high performance magnetron sputtering sources that


provide (i) relatively high deposition rates,(ii) large deposition areas, and(iii)
low substrate heating, revolutionized the sputtering process by greatly
expanding the range of feasible applications.[112]
Magnetron sputtering sources can be defined as diode devices in which
magnetic fields are used in concert with the cathode surface to form electron
traps which are so configured that the ĒxB̄ electron drift currents close upon
themselves.[113][114] Magnetrons can be configured in a variety of forms.
Examples include the planar magnetrons shown in Fig. 5.19a, the S-gun type
shown in Fig. 5.19b, and the cylindrical type shown in Fig. 5.20.
Sputter Deposition Processes 307

Figure 5.19. Magnetrons with magnetic end-confinement: (a) planar magnetron,


(b) gun type. From Ref. 110.

Figure 5.20. Cylindrical-post magnetron sputtering source with electrostatic end-


confinement. From Ref. 110.

The magnetron configuration shown in Fig. 5.20 has been termed the
“cylindrical-post magnetron.”[113]-[115] It provides the simplest geometry for
explaining the principles of magnetron operation.[113]-[118] The cathode
consists of a cylindrical barrel with end plates, all composed of the material
to be sputtered. It is mounted in a chamber with a uniform magnetic field B̄
directed parallel to the cathode axis. The magnetic field is of such strength
308 Deposition Technologies for Films and Coatings

(a few hundred gauss or less) that it affects the plasma electrons but not the
ions. Figure 5.21 shows a typical chamber configuration for cylindrical
magnetrons. A set of solenoidal field coils is positioned surrounding the
cylindrical vacuum wall, which is constructed of a nonmagnetic material. A
magnetic steel shell surrounds the coils and makes contact with the chamber
top and bottom plates which are also fabricated from a magnetic material such
as low-carbon steel. Thus, a low reluctance return path is provided for the
solenoidal flux, as indicated in the figure, with the consequence that the coil
system efficiently provides a uniform magnetic field within the chamber.

Figure 5.21. Chamber and magnetic field coil configuration used for cylindrical-
post magnetron sputtering sources.

Secondary electrons which are emitted from the cylindrical-magne-


tron cathode barrel due to ion bombardment find themselves trapped in an
annular cavity which is closed on three sides by surfaces at cathode
Sputter Deposition Processes 309

potential and on the fourth side by the magnetic field. Anode rings are
located adjacent to the end plates on one or both ends of the cathode.
Therefore, electrons emitted from the cathode must migrate radially across
the cavity in order to reach the anode. Electron collisions of the type required
to sustain a plasma discharge play an essential role in allowing this migration
to occur. Thus, in contrast to the conventional discharge, the electrons are
forced to make the required collisions, and an effective sputtering discharge
is maintained in the cavity.
The electron motion can be understood as follows.[119][120] When an
electron is in a uniform magnetic field, its motion perpendicular to the field
lines can be pictured as an orbit around a field line, as shown in Fig. 5.22a.
Its motion along the field is unimpeded, so that if it has a component of
velocity along the field line its net motion is a spiral as shown in Fig. 5.22b.
Such electrons can be considered to be trapped on magnetic field lines and
can advance to adjacent field lines by making a collision, as indicated
schematically in Fig. 5.22c. An electron will also undergo a drift motion
across the magnetic field if an electric field E is present. However, this
motion, known as ĒxB̄ drift, is not in the direction of the electric field but in
a direction perpendicular to both the electric and the magnetic fields. ĒxB̄
drift has the cycloidal form shown in Fig. 5.22d if the initial electron energy
is small compared to that gained from the electric field, and the more circular
motion shown in Fig. 5.22e if the initial electron energy is large compared
to the electric-field-induced variations during the course of an orbit.
Referring back to Fig. 5.20, a radial electric field also exists in the
annular cavity. The field will be strong in the sheath region adjacent to the
cathode but relatively weak at larger radii. Electrons emitted from the
cathode will therefore undergo motions of the type shown in Fig. 5.22d and
will become trapped in orbits revolving around the cathode. They will be
able to advance radially only by making collisions or by the action of plasma
oscillations which produce azimuthal electric fields and radial drifts.[113][114]
Since the electrons leave the cathode sheath with energies of several
hundred eV, electron collisions with gas atoms have a high probability of
resulting in ionization. The ĒxB̄ drift motions of the primary electrons and
the products of ionization collisions produce an intense azimuthal current
sheet of trapped electrons adjacent to the cathode. Because of the free axial
movement of the electrons along the field lines, the sheet tends to be uniform
along the cathode length. Large numbers of ions are produced which give
rise to uniform sputter-erosion and high sputtering rates along the cathode
barrel.
310 Deposition Technologies for Films and Coatings

Figure 5.22. Electron motion in static magnetic and electric fields.

As the electrons give up energy by collisions and become ultimate


electrons,[102] they move into regions of weak electric field at larger radii,
and their motion becomes more like that shown in Fig. 5.22e. The ĒxB̄ drift
velocity is relatively small, and the electrons move primarily up and down the
field lines, reflecting off the end plates as indicated in Fig. 5.20.[121] When
they reach the anode radius R, they immediately pass into the anode.
Therefore, the high mobility of the electrons along the magnetic field lines
causes the anode ring to be projected as a virtual anode sheet which
surrounds and terminates the plasma discharge but is transparent to the
sputtered flux.[113][114] Thus the electrons are trapped within the annular
cavity throughout their lifetimes. The ions are constrained electrostatically
to stay with the electrons and are therefore largely confined to this region as
well. Consequently, there is virtually no plasma bombardment of substrates
located beyond the anode radius.[37] Low-energy ion irradiation of the
growing film (in order to controllably alter microstructure and/or microchem-
istry)can be induced, however, by "unbalancing" the magnetic confinement
as discussed at the end of this chapter in Sec. 4.2.
Sputter Deposition Processes 311

Because of the efficiency of the ionization mechanisms in the magne-


tron cavity, intense plasma discharges capable of providing high sputtering
rates can be maintained at moderate and near-constant voltages, even at low
pressures. Deposition rates will depend on the radial position of the
substrates. Typical operating conditions for cylindrical magnetrons of the type
shown in Fig. 5.20 are:[114]
Cathode current density - 20 mA/cm2
Discharge current - 1 to 50 A
Discharge Voltage - 800 V
Argon Pressure - 1 mTorr (0.13 Pa)
Cathode erosion rate - 1.2 µm/min
Substrate position - radius equal to 6 cathode radii
Deposition rate - 200 nm/min (12 µm/h)
While these deposition rates are approximately 10x lower than those of planar
magnetrons, the deposition areas are proportionally larger since the substrates
surround the cylindrical source.
An important attribute of cylindrical magnetrons is their capability of
being scaled through a range of sizes while retaining common operating
characteristics.[114] Cathodes which range in length from 0.1 m to 2.1 m have
been used. Figure 5.23 shows a 2.1 m cylindrical magnetron designed for
depositing decorative coatings.[122] Such long cathodes provide a large
substrate placement area around the circumference. Substrates can also be
passed on each side of a cylindrical post magnetron in systems that operate
continuously or semi-continuously. However, the most common application
is batch processing in which the substrates are arranged around the cathode
as shown in Fig. 5.24. Post cathodes have been used to coat the insides of
tubes up to 1.8 m long.[123]
Cylindrical magnetrons can also be configured in the inverted or hollow
cathode form shown in Fig. 5.25.[114][116][124] Long hollow cathodes have the
property that the coating flux at all points within the cathode is approximately
equal to the erosion flux at the wall. This makes hollow cathodes particularly
effective for coating objects with complex shapes.[125]
Cylindrical magnetrons can also be designed in an arrangement
whereby the magnetic field lines are bent such that they intersect the cathode
barrel as shown in Fig. 5.26. The annular cross section of the electron trap
is now closed on three sides by the magnetic field and on the fourth side by
the surface at cathode potential. The plasma has the form of a ring rather than
a sheet. Therefore such systems are generally configured with several such
electron traps along the cathode cylinder.[116][117][126] Magnetrons of this
312 Deposition Technologies for Films and Coatings

type are often referred to as having magnetic end-confinement, as opposed


to those shown in Figs. 5.20 and 5.25 which are referred to as having
electrostatic end-confinement.[112][113] Magnetic end-confinement devices
have also been operated in the inverted or hollow cathode form.[126]

Figure 5.23. Large cylindrical-post magnetron sputtering source with a length =


2.1 m. See Ref. 122.

Cylindrical magnetrons with electrostatic end-confinement can be con-


figured with large-diameter cathodes which provide a large inventory of coating
material. Furthermore, the material is used very efficiently because of the
uniform sputter erosion along the cathode length. However, a potential
disadvantage with cylindrical sources is that target fabrication may be difficult.
Plasma rings can be confined over planar surfaces or within cylindrical
surface cavities. This is the basis of the planar magnetron[127][128] and sputter
or S-gun[129] configurations shown in Fig. 5.19. At the present time, these
devices are the most widely used form of magnetrons. Like cylindrical
magnetrons, planar magnetrons are attractive because of their ability to be
scaled to large sizes. Elongated planar magnetrons are particularly useful
Sputter Deposition Processes 313

for coating large substrate surfaces that are transported in a direction


perpendicular to the long axis of the cathode. In this arrangement, close
cathode-substrate spacing and deposition rates of µm/min or more may be
used. Proper cathode design, aided by minimal aperturing, can provide
deposition uniformities of better than ± 5%.[130]

Figure 5.24. Typical arrangement of substrates for batch processing with a


cylindrical-post magnetron sputtering source.

Figure 5.25. Cylindrical-hollow magnetron sputtering source with electrostatic


end-confinement. From Ref. 110.
314 Deposition Technologies for Films and Coatings

Figure 5.26. Cylindrical magnetron with magnetic end-confinement. From Ref.


110.

Figure 5.27 shows a schematic drawing of an in-line system, with


vacuum interlocks, which is typical of the apparatuses that are used to achieve
high production volumes with planar magnetrons. Large systems of this type
are used to coat architectural glass panels several square meters in size at
production volumes of 106 m 2/yr. The planar magnetrons are typically 2 to 3
m long and are driven by currents in the 100 to 200 A range. Cathodes as long
as 6.5 m have been considered for architectural glass coating.[131] Large
circular planar magnetrons with arrays of concentric plasma rings 0.6 m in
diameter have also been reported.[132] Patents have been granted for various
configurations of the sputtering sources shown in Fig. 5.19.[133]-[137]
Gun-type magnetrons do not have the scaling capabilities of the other
forms of magnetrons. However, arrays can be used to coat large areas. The
system shown in Fig. 5.4 uses an array of twenty-four S-gun sources in an in-
line configuration in which the substrates are transported relative to the
sputtering source.
Magnetron sputtering sources can be used to deposit magnetic as well
as nonmagnetic materials. However, when a sputtering target composed of
magnetic materials is used, it must be saturated magnetically so that its
magnetic behavior is suppressed and a field of the desired shape can be
maintained over its surface.[114]
Sputter Deposition Processes 315

Figure 5.27. Schematic illustration of an in-line system, with vacuum interlocks,


used to achieve high production volumes with planar magnetrons.

DC magnetrons are typically operated at discharge currents in the range


of 1 to 50 A. Current densities are generally higher in the plasma-ring devices
(Figs. 5.19 and 5.26) than in the plasma sheet devices (Figs. 5.20 and 5.25).
Total coating material fluxes (which are dependent on the total discharge
current) can be comparable at high currents to those obtained with evaporation
systems.
The current-voltage characteristic reveals a great deal about the ioniza-
tion processes in a plasma discharge. The more efficient the discharge, the
lower the voltage for a given cathode current density. Discharges operating
in the magnetron mode obey an I-V relationship of the form I proportional to Vn,
where n is an index to the performance of the electron trap and is typically in
the range 5 to 9. Typical I-V curves for various types of magnetron sputtering
sources are shown in Fig. 5.28 and compared with an I-V curve for a planar
diode.
A basic disadvantage of the plasma-ring devices is that sputtering occurs
only under the plasma rings. Troughs are eroded into the cathode, and the
source material is used relatively inefficiently. Relative motion between the
cathode and the magnetic field pattern is sometimes provided to improve the
target usage.[127] A further disadvantage of ring devices is that the complexity
of the magnetic-field shape makes effective anode placement more difficult
than for cylindrical magnetrons.[114][127] Some field lines will intersect the
substrates, as shown in Fig. 5.26, thereby allowing electron bombardment of
the substrates. However, the bombardment intensity is much less than in
planar diode sputtering sources. All plasma-ring magnetrons offer the
advantage that the required magnetic field can be produced by permanent
magnets located within the cathode rather than by magnetic field coils located
at or beyond the chamber walls, as is required for cylindrical magnetrons.
316 Deposition Technologies for Films and Coatings

Figure 5.28. Typical current-voltage characteristics for a planar diode sputtering


source and for various types of planar and cylindrical magnetrons. All sources were
operated with Al targets at the Ar working-gas pressures indicated.

At typical operating pressures (≈1 mTorr), the sputtered flux from


magnetron sources passes to the substrate while undergoing very little gas
scattering. Thus the deposition flux can be predicted with reasonable
accuracy by assuming a cosine emission of sputtered material from the
erosion area and collisionless passage to the substrates.[114][127] Figure 5.29
shows calculated and experimental profiles for the 2.1 m long cylindrical post
magnetron in Fig. 5.23.[122] Figures 5.30 and 5.31 show typical deposition flux
profiles for planar magnetrons of the ring and rectangular types, respectively.
Sputter Deposition Processes 317

Figure 5.29. Comparision of experimental deposition profile with calculated profile


for long (2.1 m) cylindrical-post magnetron with electrostatic end-confinement. The
profile was measured parallel to the cathode axis at a radius of 0.86 m. Data from
Ref. 122.

Figure 5.30. Deposition-rate profile for a ring-type planar-magnetron sputtering


source at various distances from the cathode surface.
318 Deposition Technologies for Films and Coatings

Figure 5.31. Deposition rate profile for a rectangular-type planar-magnetron


sputtering source along the long axis (A-A) at various distances from the cathode
surface.

3.4 RF Sputtering

DC methods cannot be used to sputter nonconducting targets because


of charge accumulation at the target surface. This difficulty can be overcome
by using radio frequency (RF) sputtering.[2][66][138]-[142] A single RF sputter-
ing apparatus can be used to deposit electrically conducting, semiconducting,
and insulating coatings. Consequently, RF sputtering has found wide
application in the electronics industry. Nonconducting and semiconducting
materials which have been deposited by RF sputtering include elemental
semiconductors: Si[143] and Ge;[144] III-V compounds: GaAs, [145] GaSb,[146]
GaN,[147] and AlN;[148] II-VI compounds: CdSe [149] and CdS;[67] IV-VI
compounds: PbTe;[150] refractory semiconductors: SiC;[151] ferroelectric
compounds: Bi4Ti3 O12;[152] oxides: In2O3,[153] SiO2 ,[154][155] Al2O3 ,[156][157]
Ta2 O5,[158] Y2 O3 ,[159] TiO2 ,[160] ZrO2,[161] SnO2,[162] PtO,[163] Bi2O3,[164]
ZnO,[165] and CdO;[166] pyrex glass; [167] and plastics.[168][169] Often several
targets are placed within a common vacuum enclosure so that multilayer
coatings can be deposited without breaking vacuum.
Sputter Deposition Processes 319

The usefulness of RF methods for sputtering nonconducting materials is


based upon the fact that a self-bias voltage, negative with respect to the
plasma floating potential, develops on any surface that is capacitively coupled
to a glow discharge.[170] The basis for this potential, which forms as a
consequence of the difference in mobility between electrons and ions, is
illustrated schematically in Fig. 5.32. The current-voltage characteristic for an
electrode immersed in a plasma is given in Fig. 5.32a. The floating potential
is negative relative to the plasma potential by an amount that depends upon
the gas species and plasma electron energy distribution function, but is
typically -20 to -50 V and therefore too low to produce significant sputtering of
most materials. When an alternating voltage is applied to such an electrode,
more electron current flows when the electrode is positive relative to the floating
potential than ion current flows when the electrode is negative relative to the
floating potential (Fig. 5.32b).
Capacitive coupling requires that there be no DC current flow; i.e., the net
current to the electrode in each RF cycle must be zero. Accordingly, a
negative bias must form such that the electron current on the positive side of
the cycle becomes equal to the ion current on the negative side. The negative
bias is approximately equal to half the peak-to-peak voltage of the RF signal
and therefore can be made large enough to produce sputtering.
The behavior illustrated in Fig. 5.32 applies strictly to the case where the
electrode is passive; i.e., is not responsible for sustaining the plasma
discharge. The planar diode shown schematically in Fig. 5.15 is the most
commonly used apparatus for RF sputtering. The electrodes sustain the
discharge and therefore have slightly different current-voltage characteristics
than the one shown in Fig. 5.32, particularly at negative voltages. However,
the overall effect when an RF potential is superimposed on the I-V character-
istic is essentially identical.
Figure 5.33 shows a schematic drawing of a typical RF planar-diode
sputtering configuration in which a nonconducting target is placed over one
electrode and substrates are placed on the other one. The electrodes reverse
cathode-anode roles on each half cycle. The discharge is operated at a
frequency that is sufficiently high that significant ion charge accumulation
does not occur during the cycle time when an electrode is serving as a
cathode.[11] Frequencies in the low MHz range are required. Most systems
are operated at a frequency of 13.56 MHz, since this has been allocated by
the Federal Communications Commission for industrial-scientific-medical
purposes. Operation at other frequencies requires careful shielding to assure
compliance with FCC regulations on radio interference.
320 Deposition Technologies for Films and Coatings

Figure 5.32. Schematic illustration of the development of a negative bias when an


RF potential is capacitively coupled to a probe immersed in a plasma. From Ref.
170.
Sputter Deposition Processes 321

At MHz operating frequencies, massive ions cannot follow the temporal


variations in the applied potential. However, the electrons can. Thus the cloud
of electrons in the negative glow plasma can be pictured as moving back and
forth at the applied frequency in a sea of relatively stationary ions. As the
electron cloud approaches one electrode, it uncovers ions at the other
electrode to form a positive ion sheath. This sheath takes up nearly the entire
applied voltage, the same as in the DC case.

Figure 5.33. Schematic drawing of a planar RF diode sputtering device. From Ref.
13.

A non-conducting target constitutes a capacitor in the electrical circuit


between the electrodes (an external capacitor would have the same effect).
Thus there can be no DC component to the current flow. The total ion and
electron charge flow to a given electrode during an RF cycle must balance to
zero, as discussed previously. However, a large electron current flows to a
given electrode as the electron cloud makes contact. Thus the electron cloud
need approach a given electrode for only a small fraction of a half cycle for
purposes of supplying sufficient electrons to fulfill the anode requirement; i.e.,
to balance the entire ion flux through the cycle. Accordingly, in the steady
state both electrodes develop a negative DC bias relative to the plasma
potential, such that the electrodes approach or exceed the plasma potential
(and become anodes) for only very short portions of their RF cycle as indicated
in Fig. 5.34.
322 Deposition Technologies for Films and Coatings

Figure 5.34. Approximate representation of target voltage waveforms relative to the


plasma potential for a balanced RF system with two equal-area sputtering
electrodes. Vf is the floating potential.

The motion of the ions, because of their inertia, can be thought of as


responding to the DC potential and passing to both electrodes throughout the
cycle. The electron cloud spends most of its time near the center position
between the electrodes. Visually, the discharge appears as a DC discharge
with a cathode dark space over each electrode. Functionally, sputtering
occurs continually at both electrodes.
RF discharges in planar diode systems can be operated at considerably
lower pressures than can DC discharges. Typical operating pressures are 5
to 15 mTorr. There are two reasons: a reduction in the loss of primary
electrons, and at high frequencies, an increase in the volume ionization
efficiency. A fraction of the lower-energy primary electrons are repelled from
the electrode toward which they are accelerated and thus remain in the
discharge longer to make additional ionizing collisions. In addition, electrons
can gain energy from the RF field by making in-phase collisions with gas
atoms. That is, if an electron, accelerated in one direction during a given half-
cycle, makes an elastic collision in which its direction is reversed near the end
of the half-cycle, it maintains most of its velocity (due to the large mass
mismatch between electrons and ions) and will again be accelerated during
the next half-cycle and thus have gained energy during the complete cycle.
Sputter Deposition Processes 323

The versatility of RF sputtering is not achieved without drawbacks.


Implementation of the process is complicated.[66] A typical electrical circuit
is shown schematically in Fig. 5.35. It consists of an RF power supply, an
inductive coupling to the load, and a matching network.

Figure 5.35. Schematic circuit of a single-ended RF discharge system including


an equivalent circuit for the plasma discharge. See Ref. 142 and 173.

An equivalent circuit for an RF glow discharge is also shown in Fig. 5.35.


The equivalent circuit assumes that both electrodes and the chamber walls are
in contact with the plasma, and that the impedance is dominated by the
plasma sheaths. The sheath capacitances result from the charge separation
across the dark space. These capacitors are shunted to the electrode surface
by a resistor to account for the ion current, and by a diode to account for the
high electron current that can flow from the plasma to an electrode that is
biased positive relative to the plasma potential. The capacitor Ct accounts for
capacitance of the target. Cb is a blocking capacitor that is added to make
the system independent of variations in the target capacitance.
The RF current through the plasma is principally an electron current
caused by the relative motion of the electron cloud. To the extent that there
is no volume power transfer from the oscillating electrons to the gas, this
current is out of phase with the applied voltage. The primary power transfer
324 Deposition Technologies for Films and Coatings

occurs via the relatively small ion and electron current components that are in
phase with the voltage. Thus, in the equivalent circuit approximation, the
power transfer to produce sputtering occurs as the ion currents pass through
the sheath resistances. Efficient power transfer requires that the RF power
supply operate into a resistive load. Therefore a matching network is used to
introduce inductance, and often capacitance, into the circuit in such a way
that, in combination with the load, they form a resonant circuit.[171] When the
variable matching network components are tuned to resonance, high circulat-
ing currents flow within the resonant circuit. However, the power supply sees
only the resistive component of the load, the current passing from the power
supply to the resonant circuit is in phase with the load and represents the
power passing to the load. Many commercial sputtering sources monitor the
reflected power from the load as an index of how effectively the matching
network is adjusted. The reflected power should be minimized.[32][141][172]
The ion current, and thus the sputtering rate at a given electrode, is
determined by the average difference in potential between the electrode and
the plasma. Thus it is useful to consider the plasma potential as a zero-point
reference voltage in examining the performance of RF sputtering systems.
The electrical character of RF sputtering systems can be classified in
general as being either balanced or single-ended. In a balanced system, both
electrodes are configured as identical sputtering targets and their potentials
are 180o out of phase. The average sputtering voltage is about equal to half
the peak-to-peak applied RF potential. The link center trap is placed at ground
potential to stabilize the system and the chamber walls and substrates are
connected to the center tap ground, as shown in Fig. 5.36. Since this point
is at zero potential relative to the RF voltage, no RF current will flow to these
elements. Furthermore, because of the capacitance in series with each of the
electrodes, there is no DC current path from the plasma to the wall and
substrates and then back through the electrodes into the plasma.[173] Thus
a charge will develop on the capacitors such that the substrates float at a
potential slightly negative with respect to the plasma, just like a floating
electrode in a DC plasma.
In an unbalanced RF system, the electrode on which the substrates
are placed is made considerably larger than the target electrode.[142] This
makes the sheath capacitance large, and the RF voltage drop across the
substrate electrode small, as shown schematically in Fig. 5.37. The
chamber and one side of the link are generally grounded (Fig. 5.35). Again,
the capacitance in both electrode circuits prevents a DC current flow to the
chamber, and a negative bias develops relative to the plasma potential. A
Sputter Deposition Processes 325

potential relative to the plasma potential will exist on the substrates and
chamber wall unless the substrate electrode area is large enough to reduce
this potential to essentially zero and to move the RF balance point to the
grounded end of the link. It is important that these voltage drops be small so
that sputtering from uncontrolled surfaces does not introduce contamination
into the coatings. An impedance may be added to the substrate electrode
circuit so that the potential of this electrode relative to the plasma can be
controlled for purposes of bias sputtering.[173]

Figure 5.36. Schematic representation of an equivalent circuit for a balanced RF


system with two equal-area sputtering electrodes and center-tap ground. The
matching network is not shown.

The above discussion has been presented in the context of planar diode
sputtering systems. Magnetron sputtering sources can also be used for RF
sputtering. Cylindrical-post,[114][116] cylindrical-hollow,[114][174] planar, [127]
and gun-type[129] magnetrons have all been successfully operated with RF
power. However, some problems are encountered. Magnetron sputtering
technology is basically a DC concept. The cathodes are shaped such that,
in concert with the magnetic field, they form electron traps with specific
symmetry. Anodes are placed to collect electrons which diffuse out of the trap.
326 Deposition Technologies for Films and Coatings

Figure 5.37. Approximate representation of voltages (as functions of time) relative


to the plasma potential for a single-ended RF sputtering system in which the wall
area is much larger than target area. Vs is the substrate ion bombardment potential.
See Ref. 142 and 173.

Effective double-ended RF magnetrons can be provided for some


geometries. These configurations provide independent traps for both elec-
trodes but allow magnetic coupling between them so that the electrons leaving
one trap can diffuse freely to the vicinity of the other.[116] However, most
magnetron configurations must be operated with single-ended arrangements.
The magnetic confinement produces gradients in the plasma density, so that
special care is required to minimize the voltage and therefore the sputtering
rate at the counter electrode. Furthermore, in the planar magnetron case, the
current-density concentration under the plasma ring requires that the power
level be limited to avoid cracking when using targets with low thermal
conductivities. When magnetron sources are driven single-ended, they
generally operate in hybrid modes with current-voltage characteristics which
are not representative of true magnetron behavior. Nevertheless, they provide
deposition rates that are typically a factor of three greater than those achieved
with RF planar diodes. (This is to be compared to the factor of twenty-to-thirty
improvement in deposition rate which DC magnetrons provide over DC diodes
when sputtering metals). Reduced electron bombardment and substrate
heating are other advantages of magnetrons, as opposed to planar diodes, for
RF sputtering.
Sputter Deposition Processes 327

3.5 Ion-Beam Sputtering

Glow discharge sputtering technology is limited in the sense that the


target current density and voltage cannot be independently controlled except
by varying the working-gas pressure. An exception is the cylindrical
magnetron where the voltage can be varied at a fixed current and pressure by
varying the magnetic field strength.
Ion-beam sputtering permits independent control over the energy and the
current density of the bombarding ions.[175] A sputtering target is arranged to
obliquely intersect an ion beam of given energy and flux density that is created
by an independent ion source. Substrates are suitably placed to receive the
coating flux, as shown in Fig. 5.38. In addition to the independent control over
the ion current and voltage, ion beam sources permit sputtered coatings to be
deposited at very low inert working-gas pressures (≤ 0.1 mTorr) onto sub-
strates that are not in contact with a plasma.

Figure 5.38. Schematic representation of ion-beam sputtering showing relative


locations of target and substrate.

Early ion sources were of the duoplasmatron type, where an ion beam
was extracted through an aperture from a low pressure arc.[176] Hollow-
cathode ion sources were also used.[177] These devices were limited for
practical deposition because of the small ion-beam sizes (≈1 cm). The
recent adaptation of ion thruster technology has provided distributed ion
sources with ion beams of relatively large diameter (≈10 - 30 cm). [175]
328 Deposition Technologies for Films and Coatings

Although these devices cannot compete as deposition sources with the very
large substrate areas that are provided by magnetrons, they are attractive for
ion beam etching and for special deposition applications and research studies.
Sources designed for etching have provided 500 eV, 1 mA/cm2, ion beams that
are 30 cm in diameter with a uniformity of ±5% over 20 cm.[178] Reviews of the
fundamentals of ion beam deposition are provided in Ref. 21 and 179.

4.0 SPUTTER DEPOSITION MODES

Sputter deposition, in any of the configurations discussed above, can be


carried out in a variety of modes developed to provide better control over film
chemistry and/or microstructure. The most important of these modes of
operation are reactive sputtering and bias sputtering.

4.1 Reactive Sputtering

Reactive sputtering is a process in which a fraction of at least one of


the coating species enters the deposition system in the gas phase. The
target is typically either a pure metal (or metal alloy) or a compound
containing volatile species. In the former case, the high vapor-pressure
species, e.g., N in TiN,[180] S in CdS, [181] or O in VO2 ,[182] is provided
entirely in the gas phase (via N 2, H 2 S, and O2 , respectively) while in the
latter case (e.g., GaAs in As4 )[145] a considerably smaller partial pressure
of the reactive gas is added to the discharge to account for the less than
unity sticking probability of that species at the growing film surface.
Bibliographies and reviews of early work covering a wide variety of
compounds including oxides, nitrides, sulfides, carbides, etc. may be
found in Refs. 98 and 183.
The advantages of reactive sputtering are: (i) compounds can be
formed using relatively easy-to-fabricate metallic targets, (ii) insulating
compounds can be deposited using DC power supplies, and (iii) films with
graded compositions can be formed. The difficulty in the reactive-
sputtering process is the complexity which accompanies its versatility.
Chemical reactions occur at the target, at the substrate, and in cases
of very high working pressures, in the gas phase. When sputtering with
a reactive-gas/Ar mixture, the relationship between film properties and the
reactive gas injection rate is generally very nonlinear. The condensing
films can be considered as an additional pump for the reactive gas. The
Sputter Deposition Processes 329

nonlinearity occurs because the sticking probability (or getter-pump speed)


of the condensing coating depends in a complex way on its growth rate,
composition, film structure, and temperature. The composition dependence
is shown in Fig. 5.39 for N2 incident on a growing Ti film.[184] Note that as the
number of N2 molecules adsorbed per Ti atom deposited approaches 0.5 (i.e.,
a stoichiometric TiN film), the sticking probability α drops by more than two
orders of magnitude. The decrease inα occurs as the number of unoccupied
surface adsorption sites decreases.[185] Thus, for example, when sputtering
in an N2 /Ar mixture at low reactive-gas injection rates, virtually all of the
injected gas can react with the film. Consequently, the nitrogen is largely
removed from the working gas, and the cathode process becomes primarily
one of simple Ar sputtering of a metal. The coatings deposited under such
conditions are generally metallic in nature.

Figure 5.39. Sticking coefficient of N2 measured during the continuous deposition


of Ti as a function of the ratio of the getter-pumped nitrogen flux to the Ti deposition
flux. Data from Ref. 184.
330 Deposition Technologies for Films and Coatings

As the reactive-gas injection rate approaches that required to produce


a stoichiometric coating, there is an increase in the reactive-gas partial
pressure in the sputtering system because of the reduced getter-pumping
rate of the depositing coating. This change in the composition of the
sputtering gas greatly changes the processes which occur at the cathode
surface. The result is that, for most metal/reactive-gas combinations, the
sputtering discharge undergoes a transition into a mode in which the metal
sputtering rate, and therefore the reactive-compound deposition rate, is
reduced. The cathode surface reactions in this mode produce an energetic
flux of highly reactive gas atoms and molecular fractions which accompany
the sputtered metal atoms to the substrate. This large flux of reactive species
makes the reactive sputtering process so effective for producing a wide range
of compounds. The variation in discharge voltage and relative deposition rate
during a typical transition is shown in Fig. 5.40. The voltage decrease at high
oxygen injection rates is the metal-to-compound transition. The voltage
increase at low injection rates is the compound-to-metal transition.
The reduction in sputtering rate shown in Fig. 5.40 results primarily from
compound formation on the cathode surface and the reduced sputtering yield
of the reactive-gas molecules. The compounds often have higher electron
secondary emission coefficients which give rise to a reduction in both the
discharge voltage and the ion component in the cathode current for discharges
driven at constant currents. The hysteresis effect, which is shown for
discharge voltage but also applies to the deposition rate, occurs since the
target compound layer, once formed, will remain until the sputtering gas is
made sufficiently lean in the reactive species that a net sputter removal of the
layer can occur. A cathode on which such a layer has formed is often referred
to as being “poisoned.” The effect of cathode poisoning on the reactive
sputtering process depends on the metal/reactive-gas combination and the
properties of the cathode surface layer. Thus the very pronounced poisoning
effect shown in Fig. 5.40 occurs for the oxygen reactive sputtering of materials
such as Al, Cr, Ti, and Ta that form strong oxides. The decrease in deposition
rate is generally less for other reactive gases such as N2. No poisoning occurs
for Au, where the sputtering rate with pure O2 is not much different from that
with Ar.
The poisoning effect introduces two practical problems. One is the loss
in deposition rate. The second is that during the transition, the material being
deposited often passes abruptly from a metal to a nearly stoichiometric
compound. Intermediate materials such as suboxides therefore become
difficult to deposit. Consequently, considerable work has been directed
toward trying to operate sputtering sources at, or very near, the transition
Sputter Deposition Processes 331

point. Transition behavior has been observed in planar diodes,[185] planar


magnetrons,[186] and cylindrical magnetrons.[114] Many papers have been
written concerning the transition mechanism.[185][187]-[192] Most are incom-
plete, however, because they concentrate on the cathode processes and do
not consider the total system. It is important to realize that the reactive
sputtering process is dependent on the total system; i.e., its geometry, the
accumulation of coating on walls and fixtures and the positions of gas
injection. All these parameters must be carefully controlled in order for reactive
sputtering to be effectively used on a production basis.

Figure 5.40. Transitions in the steady-state operating mode of a Cr cylindrical-post


magnetron sputtering source due to injection of oxygen.
332 Deposition Technologies for Films and Coatings

Berg and co-workers[193]-[195] have recently developed nonlinear mod-


els of the reactive sputtering process, which do account for both internal
getter pumping and external pumping, to predict stability conditions in order
to investigate hysteretic behavior. They showed that with a sufficiently high
overall pumping speed, there is a smooth transition between the metal and
compound sputtering modes. Critical pumping speeds required to eliminate
hysteretic behavior can be estimated based upon their work.
Several techniques have been developed to increase the deposition rate
during reactive planar magnetron sputtering which take advantage of the
nonuniform cathode current densities in these devices.[196]-[198] Some of
these are illustrated schematically in Fig. 5.41. The reactive gas flux, and
therefore the tendency for reactive gas adsorption, is relatively uniform over the
cathode surface. However, the ion flux is nonuniform and causes sputter
removal of adsorbed reactive species, thereby reducing their surface coverage
under the plasma ring (Fig. 5.41b). At higher current densities (Fig. 5.41c),
the sputtering rate is adequate to maintain a fresh metal surface which in turn
yields a high rate of sputtering. By exerting control over the total system—
i.e., by arranging the reactive gas injection adjacent to the substrate and the
Ar injection adjacent to the target—it is possible, with the assistance of
suitable getter surfaces, as illustrated in Fig. 5.40d, to maintain a gradient in
the composition of the reactive gas in the sputtering atmosphere. If the
gradient is adequate, the target surface under the plasma rings can remain
unpoisoned and yield a high flux, even when the reactive-gas flux to the
substrate is adequate to produce a stoichiometric compound.[196] Baffles
have also been used between the target and substrate to maintain a gradient
in reactive gas partial pressure.[198]
For reactive sputtering in N2 , where the hysteretic behavior is more
gradual than in O2, (see, for example, Ref. 199), Sproul [200]-[203] has
developed feedback control techniques which allow film deposition rates of
transition-metal nitrides such as TiN, ZrN, and HfN at values very nearly equal
to those of the pure metals. The feedback controls maintain constant target
power, total pressure, and N2 partial pressure.

4.2 Bias Sputtering

Bias sputtering, in which the substrate is biased negatively with respect


to the plasma potential, is often used to provide low-energy ion bombardment
of the growing film. The analog of glow-discharge bias sputtering can be
carried out in an ion-beam deposition system using a second ion gun to
irradiate the substrate.[17] Low-energy ion/surface interactions during film
Sputter Deposition Processes 333

growth is a subject of study unto itself and recent reviews may be found in Refs.
22 - 25. Ion irradiation has been shown to strongly affect film nucleation and
growth kinetics, adhesion, film microstructure and chemistry, and hence film
properties. Mechanisms by which ion/surface interactions modify film
nucleation, growth, microstructure evolution, and film properties are dis-
cussed in Ch. 13 while reviews on adhesion[204][205] andion-induced changes
in film chemistry (including trapping, secondary implantation, and prefer-
ential sputtering) [24][206] have been published recently.

Figure 5.41. Schematic illustration of various elements of the planar magnetron


reactive sputtering process.

Recently, Window and Savvides[207][208] demonstrated that substrate


ion currents during magnetron sputter deposition can be influenced by
stray magnetic fields B leaking from the target magnet assembly. B can
be varied intentionally over a limited range by changing the relative
strengths of the inner and outer target magnetic poles, i.e., by "unbalanc-
ing" the magnetron. A similar concept was employed by Petrov et al.[209]
and Adibi et al.[210] in developing an ultra-high-vacuum DC planar-magnetron
334 Deposition Technologies for Films and Coatings

(PM) sputter deposition system with an external variable axial magnetic


field Bext superimposed on the permanent magnetic field of the PM as
shown in Fig. 43. A pair of Helmholtz coils, located outside the vacuum
chamber, produces Bext which is uniform along the axis orthogonal to both
target and substrate surfaces.

Figure 5.42. Schematic diagram of an ultra-high-vacuum reactive-magnetron DC


sputter-deposition system with both variable external and permanent internal
magnets.
Sputter Deposition Processes 335

As shown schematically in Fig. 5.43, the value and sign of Bext has a
strong effect on the plasma density near the substrate, and hence on the ion
flux, Ji, incident at the substrate, with only a minor effect on the target-atom
flux. For a Ti target sputtered in pure Ar at 20 mTorr with a target-substrate
separation of 6.5 cm, changing Bext from -50 G (opposing the field of the outer
PM pole) to +600 G (reinforcing the field of the outer PM pole) varied the ion-
to-Ti flux ratio Ji/JTi incident at the substrate by a factor of sixty from 0.1 to 6
with the bias held constant at any desired negative value between ~ -15 V
(limited by the difference between the floating Vf and plasma Vp potentials) and
the highest values examined, -100 V. For reactive sputter deposition in N2
(where the primary ion is N2+) under the same conditions, Ji/JTi varied by a
factor of fifty from 0.7 to 35. Vp was negative with Bext set to positive values
and ranged form ~ 0 (Bext = 0 G) to -13 V (Bext > +200 G) in Ar and 0 to -20
V in N2. Using an N2+ ion energy of 20 eV (10 eV per N) to bombard the growing
film, Adibi et al.[210] showed that by varying Ji/JTi from 1 to ≥ 5.2, the
microstructure of metastable NaCl-structure Ti0.5Al0.5N alloys deposited at
250°C could be controllably altered from a porous columnar structure with a
complete (111) texture to a dense completely (002)-oriented structure with no
residual ion-induced defects observable by high-resolution plan-view and
cross-sectional transmission electron microscopy.

ACKNOWLEDGEMENTS

The authors gratefully acknowledge the support of the Joint Services


Electronics Program and the Materials Science Division of the Department of
Energy over the course of several years.
336 Deposition Technologies for Films and Coatings

Figure 5.43. Schematic diagram illustrating the effect on the plasma during
sputter deposition of superimposing an external magnetic field B ext which (a)
opposes and (b) reinforces the field of the outer permanent magnet in the
planar magnetron target.
Sputter Deposition Processes 337

REFERENCES

1. Grove, W. R., Trans. Royal Soc. 142:87 (1952)


2. Chapman, B., Glow Discharge Processes; Sputtering and Plasma Etch-
ing, Wiley, New York (1980)
3. Dahlgren, S. D.,Proceedings 3rd. Int. Conf. on Rapidly Quenched Metals,
Univ. Sussex, Brighton, England (1976)
4. Grubb, A. D., Airco Temescal, Carleton, Michigan (private communication)
5. Thornton, J. A.,Proceedings 20th Tech. Conf. Society of Vacuum Coaters,
p. 5, Atlanta, GA (April 1977)
6. Thornton, J. A., Tabock, J. and Hoffman, D. W., Thin Solid Films 64:111
(1979)
7. Wehner, G. K., Advanced Elec. and Elec. Phys. 7:239 (1955)
8. Kay, E., Advances Elec. and Elec. Phys. 17:245 (1962)
9. Maissel, L. I., Physics of Thin Films, 3:61 (1966)
10. Kay, E., in Techniques of Metals Research, (R. F. Bunshah, ed.) p. 1269,
Vol. 1, Part 3, Interscience, New York (1968)
11. Wehner, G. K. and Anderson, G. S., inHandbook of Thin Film Technology,
(L. Maissel and R. Glang, eds.), p. 3-1, McGraw Hill, New York (1970)
12. Maissel, L., in Handbook of Thin Film Technology, (L. Maissel and R.
Glang, eds.), p. 4-1, McGraw Hill, New York, (1970)
13. Thornton, J. A., SAE Transactions, 82:1787 (1974)
14. Westwood, W. D., Progress in Surface Sci., 7:71 (1976)
15. Thin Film Processes, (J. L. Vossen and W. Kern, eds.), Academic Press,
New York (1978)
16. Greene, J. E., in Handbook of Semiconductors, (S. Keller, ed.), Vol. 3, p.
499, North Holland Pub. Co., Amsterdam (1980)
17. Greene, J. E., CRC Critical Rev. Sol. St. and Matrl. Sci. 11:47 (1983)
18. Greene, J. E., CRC Critical Rev. Sol. St. and Matrl. Sci. 11:189 (1984)
19. Kaufman, H. R., Cuomo, J. J. and Harper, J. M. E., J. Vac. Sci. Technol.,
21:725 (1982)
20. Harper, J. M. E., Cuomo, J. J. and Kaufman, H. R., J. Vac. Sci. Technol.,
21:737 (1982)
21. Harper, J. M. E., Sol. St. Technol., 30:129 (April 1987)
22. Greene, J. E. and Barnett, S. A., J., Vac. Sci. Technol., 21:285 (1982)
338 Deposition Technologies for Films and Coatings

23. Greene, J. E., Motooka, T., Sundgren, J. E., Lubben, D., Gorbatkin, S.
and Barnett, S. A., J. Nucl. Instr. Methods, B27:226 (1987)
24. Greene, J. E., Sol. St. Technol., 30:115 (April, 1987)
25. Greene, J. E., Barnett, S. A., Sundgren, J. E. and Rockett, A., in Ion-
Assisted Film Growth, (T. Itoh, ed.), p. 101, Elsevier, Amsterdam
26. Harrison, D. E., Kelly, P. W., Garrison, B. J. and Winograd, N., Surf.
Sci., 76:311 (1978)
27. Hagstrum, H. D., Phys. Rev., 104:317 (1956)
28. Hagstrum, H. D., Phys. Rev., 123:758 (1961)
29. McCracken, G. M., Rep. Prog. Phys., 38:241 (1975)
30. Navinsek, B., Progress in Surface Sci., 7:49 (1976)
31. Davis, W. D. and Vanderslice, T. A., Phys. Rev., 131:219 (1963)
32. Sigmund, P., Phys. Rev., 184:383 (1969)
33. Townsens, P. D., Kelly, J. C. and Hartley, N. E. W., Ion Implantation,
Sputtering and Their Applications, p. 111, Academic Press, New York
(1976)
34. Sigmund, P., J. Vac. Sci. Technol., 17:396 (1980)
35. Eckstein, W. and Biersack, J. P., Z. Phys., B63:471 (1986)
36. Vossen, J. L., J. Vac. Sci. Technol., 8:S12 (1971)
37. Thornton, J. A., Thin Solid Films, 54:23 (1978)
38. Winters, H. F. and Kay, E., J. Appl. Phys., 38:3928 (1967)
39. Brodie, I., Lamont, L. T. Jr. and Jepson, R. L., Phys. Rev. Lett., 21:1124
(1968)
40. Lee, W. W. and Oblas, D., J. Vac. Sci. Technol., 7:129 (1970)
41. Lee, W. W. Y. and Oblas, D., J. Appl. Phys., 46:1728 (1975)
42. Sigmund, P., Can. J. Phys., 46:731 (1968)
43. Anderson, H. H., Rad. Effects, 3:51 (1970)
44. Oechsner, E. and Gesang, W. R., Phys. Lett., 37A:235 (1971)
45. Kornelsen, E. V., Can. J. Phys., 42:364 (1964)
46. Blank, P. and Wittmaack, K., J. Appl. Phys., 50:1519 (1979)
47. Coburn, J. W., Thin Solid Films, 64:371 (1979)
48. Anderson, H. H., in Symp. on the Physics of Ionized Gases 1980, (B.
Cobic, ed.) Boris Kidric Aust. Nucl. Sciences, Belgrad, Yugoslavia
(1981)
49. Eltoukhy, A. H. and Greene, J. E., J. Appl. Phys., 51:4444 (1980)
Sputter Deposition Processes 339

50. Coburn, J. W., J. Vac. Sci. Technol., 13:1037 (1976)


51. Gillam, E., J. Phys. Chem. Solids, 11:55 (1959)
52. Greene, J. E., Natarajan, B. R. and Sequeda-Osorio, F., J. Appl. Phys.,
49:417 (1978)
53. Nobes, M. J., Colligon, J. C. and Carter, G., J. Mater. Sci., 4:730 (1969)
54. Carter, G., Colligon, J. C. and Nobes, M. J.,J. Mater. Sci., 6:115 (1971)
55. Sigmund, P., J. Mater. Sci., 8:1545 (1973)
56. Stewart, A. D. G. and Thompson, M. W., J. Matl. Sci., 4:56 (1969)
57. Witcomb, M. H., J. Matl. Sci., 9:551 (1974)
58. Wehner, G. K. and Hajicek, D. J., J. Appl. Phys., 42:1145 (1971)
59. Tarng, M. L. and Wehner, G. K., J. Appl. Phys., 43:2268 (1972)
60. Oohashi, T. and Yamanaka, S., Jpn. J. Appl. Phys., 11:1581 (1972)
61. Shimizu, R., Jpn. J. Appl. Phys., 13:228 (1974)
62. Dahlgren, S. D. and McClanahan, S. D., J. Appl. Phys., 43:1514 (1972)
63. Vossen, J. L., J. Vac. Sci. Technol., 8:751 (1971)
64. Wheeler, D. R. and Brainard, W. A., J. Vac. Sci. Technol., 15:24 (1978)
65. Cistola, A. B., J. Vac. Sci. Technol., 17:420 (1980)
66. Vossen, J. L. and O’Neill, J. J. Jr., RCA Rev., 29:149 (1968)
67. Fraser, D. B. and Melchior, H., J. Appl. Phys., 43:3120 (1972)
68. Almen, O. and Bruce, G., Transac. 8th National Vac. Sym., p. 245,
Pergamon Press, New York (1962)
69. Tsunoyama, K, Suzuki, T. and Ohashi, Y., Jpn. J. Appl. Phys., 15:349
(1976)
70. Anderson, C. A. and Hinthorne, J. R., Science, 175:853 (1972)
71. Benninghoven, A. and Mueller, A., Phys. Letters, 40A:169 (1972)
72. Wener, H. W., Surface Sci., 47:301 (1975)
73. Benninghoven, A., Surf. Sci., 53:596 (1975)
74. Williams, P., Materials Research Laboratory, University of Illinois,
private communication
75. Hanak, J. J. and Pellicante, J. P., J. Vac. Sci. Technol., 13:406 (1976)
76. Cuomo, J. J., Gambino, R. J., Harper, J. M. E., Kuptsis, J. D. and Weber,
J. C., J. Vac. Sci. Technol., 15:281 (1978)
77. Sandstrom, R. L., Gallagher, W. J., Dinger, T. R., Laibowitz, R. B., and
Gambino, R. J., Appl Phys. Lett., 53:44 (1988)
340 Deposition Technologies for Films and Coatings

78. Oechsner, H. and Gerhard, W., Surf. Sci., 44:480 (1974)


79. Gerhard,W. and Oechsner, H., Z. Physik, B22:41 (1975)
80. Gerhard, W., Z. Physik, B22:31 (1975)
81. Winograd, N., Harrison, D. E. Jr., and Garrison, B. J., Surf. Sci., 78:467
(1978)
82. Können, G. P., Tip, A. and deVries, A. E., Radiat. Eff., 21:269 (1974)
83. Können, G. P., Tip, A. and deVries, A. E., Radiat. Eff., 26:23 (1975)
84. Garrison, B. J., Winograd, N. and Harrison, D. E. Jr., J. Chem. Phys.,
69:1440 (1978)
85. Prigge, S. and Bauer, E., in Proc. 2nd Int. Conf. Secondary Ion Mass
Spectrometry, (A. Benninghoven, C. A. Evans, R. A. Powel, Jr., R.
Shimizu, and H. A. Storms eds.), p. 133, Springer-Verlag, New York
(1979)
86. Coburn, J. W., Taglauer, E. and Kay, E., Japn. J. Appl. Phys. Suppl.,
2:501 (1974)
87. Gruen, D. M., Guadioso, S. L., McBeth, R. L. and Kerner, J. L.,J. Chem.
Phys., 60:89 (1974)
88. Gruen, D. M., Finn, P. A. and Page, D. L.,Nucl. Technol., 29:309 (1976)
89. Steinbruchel, C. and Gruen, D. M., Surf. Sci., 93:299 (1980)
90. Comas, J. and Cooper, C. B., J. Appl. Phys., 38:2956 (1967)
91. Szymonski, M. and Bhattacharya, Appl. Phys., 20:207 (1979)
92. Chopra, K. L., Thin Film Phenomena, McGraw Hill, New York (1969)
93. Stuart, R. V., Wehner, G. K. and Anderson, G. S.,J. Appl. Phys., 40:803
(1969)
94. Oechsner, H., Z. Physik 238:433 (1970)
95. Bernhardt, F., Oechsner, H. and Stumpe, E., Nuc. Inst. Methods,
132:329 (1976)
96. Sigmund, P., Rev. Roum. Phys., 17:1079 (1972)
97. von Engle, A., Ionized Gases, Oxford University Press, London (1965)
98. Vossen, J. L. and Cuomo, J. J., in Thin Film Processes, (J. L. Vossen
and W. Kern, eds.) Academic Press, pp. 1-73, New York, (1978)
99. Westwood, W. D. and Boynton, R., J. Appl. Phys., 43:2691 (1972)
100. McDaniel, E. S., Collision Phenomena in Ionized Gases, Chapter 13,
Wiley, New York (1964)
Sputter Deposition Processes 341

101. Hagstrum, H. D., Ion-Surface Collision, Chapter 1, Academic Press,


New York, (1977)
102. Thornton, J. A., J. Vac. Sci. Technol., 15:188 (1978)
103. Christophorou, L. G.,Atomic and Molecular Physics, p. 379, Wiley, New
York (1971)
104. Houston, J. E. and Uhl, J. E., Sandia Report, Sc-RR-71-0122 (1972)
105. Stirling, A. J. and Westwood, W. D., J. Appl. Phys., 41:742 (1970)
106. Greene, J. E., J. Vac. Sci. Technol., 15:1718 (1978)
107. Abril, I., Gras-Marti, A. and Valles-Abarca, J. A., J. Vac. Sci. Technol.,
A4:1773 (1986)
108. Gras-Marti, A., Valles-Abarca, J. A. and Bersaoula, A., J. Vac. Sci.
Technol., A5:2217 (1987)
109. Westwood, W. D., J. Vac. Sci. Technol., 15:1 (1978)
110. Thornton, J. A., Metal Finishing, 74:46 (1976)
111. Busch, R. and McClanahan, E. D., Thin Solid Films, 47:291 (1977)
112. Thornton, J. A., Metal Finishing, 77:45 (1979)
113. Thornton, J. A., J. Vac. Sci. Technol., 15:171 (1978)
114. Thornton, J. A. and Penfold, A. S., inThin Film Processes, (J. L. Vossen
and W. Kern, eds.) p. 75, Academic Press, New York (1978)
115. Penning, F. M. and Moubis, J. H. A., Proc. Ned. Akad. Wet., 43:41
(1940)
116. Penfold, A. S. and Thornton, J. A., U.S. Patents 3,884,793 (1975)
117. Hosokawa, N., Tsukada, T. and Misumi, T., J. Vac. Sci. Technol.,
14:143 (1977)
118. Korov, K. I., Ivanov, N. A., Atanasova, E. D. and Minchev, G. M.,Vacuum,
26:237 (1976)
119. Spitzer, L. Jr., Physics of Fully Ionized Gases, Interscience, New York
(1956)
120. Chen, F. F., Introduction to Plasma Physics, Plenum Press, New York
(1974)
121. Thornton, J. A., J. Vac. Sci. Technol., 16:79 (1979)
122. Penfold, A. S., Metal Finishing, 77:33 (1979)
123. Penfold, A. S., Telic Corporation (unpublished)
124. Penning, F. M., Physics, 3:873 (1936)
125. Thornton, J. A. and Hedgcoth, V. L.,J. Vac. Sci. Technol., 12:93 (1975)
342 Deposition Technologies for Films and Coatings

126. Heisig, U., Goedicke, K. and Schiller, S., Proceedings 7th Intl. Symp.
Electron and Ion Beam Science and Technology, Washington, DC, p.
129, Electrochemical Society, Princeton, NJ (1976)
127. Waits, R. K., J. Vac. Sci. Technol., 15:179 (1978)
128. Waits, R. K., in Thin Film Processes, (J. L. Vossen and W. Kern, eds.)
p. 131, Academic Press, New York (1978)
129. Fraser, D. B., in Thin Film Processes (J. L. Vossen and W. Kern, eds.)
p. 115, Academic Press, New York (1978)
130. Aronson, A. and Weinig, S., Vacuum, 27:151 (1977)
131. Van Vorous, T., Optical Spectra, p. 30 (November, 1977)
132. Smith, H. R. Jr., Proceedings 20th Annual Tech. Conf., Society of
Vacuum Coaters, p. 1, Atlanta, GA (1977)
133. Corbani, J. F., U.S. Patent 3,878,085 (1975)
134. Clarke, P. J., U.S. Patent 3,616,450 (1971); U.S. Patent 3,711,398
(1973)
135. McLeod, P. S., U.S. Patent 3,956,093 (1976)
136. Rainey, R. M., U.S. Patent 4,100,055 (1978)
137. Chapin, J. S., U.S. Patent 4,166,018 (1979)
138. Vossen, J. L., J. Vac. Sci. Technol., 8:S12 (1971)
139. Davidse, P. D., Vacuum, 17:139 (1967)
140. Probyn, B. S., Vacuum, 18:253 (1968)
141. Jackson, G. N., Thin Solid Films, 5:209 (1970)
142. Koenig, H. R. and Maissel, L. I., IBM J. Res. Develop., 14:168 (1970)
143. Brodsky, M. H., Title, R. S., Weiser, K. and Pettit, G. D., Phys. Rev. B,
1:2632 (1970)
144. Messier, R., Takamori, T. and Roy, R., J. Vac. Sci. Technol., 13:1060
(1976)
145. Barnett, S. A., Bajor, G. and Greene, J. E., J. Appl. Letters, 37:735
(1980)
146. Eltoukhy, A. H. and Greene, J. E., J. Appl. Phys., 50:6390 (1979)
147. Hovel, H. J. and Cuomo, J. J., Appl. Phys. Lett., 20:71 (1972)
148. Shuskus, A. J., Reeder, T. M. and Paradis, E. L., Appl. Phys. Lett.,
24:151 (1974)
149. Glew, R. W., Thin Solid Films, 46:59 (1977)
150. Corsi, C., J. Appl. Phys., 45:3467 (1974)
Sputter Deposition Processes 343

151. Wasa, K., Nagai, T. and Hayakawa, S.,Thin Solid Films, 31:235 (1976)
152. Takei, W. J., Formigoni, N. P. and Francombe, M. H., J. Vac. Sci.
Technol., 7:442 (1970)
153. Wickersham, C. E. and Greene, J. E., Phys. Status Solidi (a) 47:329
(1978)
154. Morrison, D. T. and Robertson, T., Thin Solid Films, 15:87 (1973)
155. Hickmott, T. W., J. Appl. Phys., 45:1050 (1974)
156. Salma, C. A. T., J. Electrochem. Soc., 117:913 (1970)
157. Pratt, I. H., Solid State Technol., 12:49 (1969)
158. Young, P. L., Fehler, F. P. and Whitman, A. J., J. Vac. Sci. Technol.,
14:176 (1977)
159. Goldstein, R. M. and Wigginton, S. C., Thin Solid Films, 3:R41 (1969)
160. Wu, L. C. and Greene, J. E., J. Appl. Phys., 50:4966 (1979)
161. Greene, J .E., Wickersham, C. E., Zilko, J. L., Welsh, L. B. and Szofran,
F. R., J. Vac. Sci. Technol., 12:72 (1976)
162. Takao, T., Wasa, K. and Hayakawa, S.,J. Electrochem. Soc., 123:1719
(1976)
163. Westwood, W. D. and Bennewitz, C. D., J. Appl. Phys., 45:2313 (1974)
164. Titchmarsh, J. G. and Toombs, P. A. B., J. Vac. Sci. Technol., 7:103
(1970)
165. Paradis, E. L. and Shuskus, A. J., Thin Solid Films, 38:131 (1976)
166. Lakshmanan, T. K., J. Electrochem. Soc., 110:548 (1963)
167. Shimomoto, Y., Matsumaru, H. and Nishimura, T., Jpn. J. Appl. Phys.,
Suppl. 2, Pt. 1:701 (1974)
168. Robertson, T. and Morrison, D. T., Thin Solid Films, 27:19 (1975)
169. Biederman, H., Ojha, S. M. and Holland, L., Thin Solid Films, 41:329
(1977)
170. Butler, H. S. and Kino, G. S., Phys. of Fluids, 6:1346 (1963)
171. Terman, F. E.,Electronics and Radio Engineering,p. 458, McGraw Hill,
New York (1955)
172. Logan, J. S., Mazza, N. M. and Davidse, P. D., J. Vac. Sci. Technol.,
6:120 (1969)
173. Coburn, J. W. and Kay, E., J. Appl. Phys., 43:4965 (1972)
174. Thornton, J. A. and Chin, J., Ceramic Bulletin, 56:504 (1977)
175. Kaufman, H. R., J. Vac. Sci. Technol., 15:272 (1978)
344 Deposition Technologies for Films and Coatings

176. Chopra, K. L. and Randlett, M. R., Rev. Sci. Instr., 38:1147 (1967)
177. Reader, P. D., White, D. P. and Isaacson, G. C., 14th Symp. Elect. Ion
Photon Beam Tech., Palo Alto, CA (May 1977)
178. Robinson, R. S., J. Vac. Sci. Technol., 15:277 (1978)
179. Harper, J. M. E., inThin Film Processes (J. L. Vossen and W. Kern, eds.)
pp. 175-206, Academic Press, New York, (1978)
180. Johansson, B. O., Sundgren, J. E., Greene, J. E., Rockett, A. and
Barnett, S. A., J. Vac. Sci. Technol., B3:303 (1985)
181. Thornton, J. A., Cornog, D. G. and Anderson, W. W., J. Vac. Sci.
Technol., 18:199 (1981)
182. Kusano, E., Theil, J. A. and Thornton, J. A., J. Vac. Sci. Technol.,
A6:1663 (1988)
183. Vossen, J. L., in Physics of Thin Films, (G. Haas, M. H. Francombe,
and R. W. Hoffman, eds.) 9:1, Academic Press, NY (1977)
184. Harra, D. J. and Haywood, W. H.,Supplemento al Nuovo Cimento, 5:56
(1967)
185. Heller, J., Thin Solid Films, 17:163 (1973)
186. Maniv, S. and Westwood, W. D., J. Appl. Phys., 51:718 (1980)
187. Holland, E. and Campbell, D. S., J. Mater. Sci., 3:544 (1968)
188. Harvey, J. and Corkhill, J., Thin Solid Films, 6:277 (1970)
189. Stirling, A. J. and Westwood, W. D., Thin Solid Films, 30:19 (1975)
190. Abe, T. and Yamashina, T., Thin Solid Films, 30:19 (1975)
191. Shinoki, F. and Itoh, A., J. Appl. Phys., 46:3381 (1975)
192. Donaghey, L. F. and Geraghty, K. G., Thin Solid Films, 38:271 (1976)
193. Berg, S., Blom, H. O., Larsson, T. and Nender, C.,J. Vac. Sci. Technol.,
A5:202 (1987)
194. Berg, S., Larsson, T., Nender, C. and Blom, H. O.,J. Appl. Phys., 63:887
(1988)
195. Larsson, T., Blom, H. O., Nender, C. and Berg, S.,J. Vac. Sci. Technol.,
A6:1832 (1988)
196. Schiller, S., Heisig, U., Goedicke, K., Schade, K., Teshner,G. and
Henneberger, J., Thin Solid Films, 64:455 (1979)
197. Schiller, S., Heisig, U., Steinfelder, K. and Strümpfel, J., Thin Solid
Films, 63:369 (1979)
198. Este, G. and Westwood, W. D., J. Vac. Sci. Technol., A2:1238 (1984)
Sputter Deposition Processes 345

199. Natarajan, B. R., Eltoukhy, A. H., Greene, J. E. and Barr,T. L., Thin Solid
Films, 69:217 (1980)
200. Sproul, W. D., Thin Solid Films, 107:141 (1983)
201. Sproul, W. D., Thin Solid Films, 118:279 (1984)
202. Sproul, W. D. and Tomashek, J. E., U.S. Patent 4,428,811
203. Sproul, W. D., U.S. Patent 4,428,812
204. Baglin, J. E. E., Matrl. Sci. Engr., B1:1 (1988)
205. Mattox, D. M., Baglin, J. E. E., Gottschall, R. E. and Batich, C. D.,
Adhesion in Solids, Vol. 119, MRS Symposium Proceedings (1989)
206. Greene, J. E., Barnett, S. A., Sundgren, J. E. and Rockett, A., inPlasma-
Surface Interactions and Processing of Materials, (O. Auciello, A.
Grass-Marti, and D. L. Flamm, eds.), p. 281, NATO Advanced Study
Institute, Klewer, Boston (1990)
207. Window, B. and Savvides, N., J. Vac. Technol., A4:196 (1986)
208. Window, B. and Savvides, N., J. Vac. Technol., A4:453 (1986)
209. Petrov I., Adibi, F., Greene, J. E., Sproul, W. D., and Münz, W.-D., J.
Vac. Sci. Technol., A10:3283 (1992)
210. Adibi, F., Petrov, I., Greene, J. E., Hultman, L., and Sundgren, J.-E., J.
Appl. Phys., 73:8580 (1993)
6

Ion Plating

Donald M. Mattox

1.0 INTRODUCTION

Ion plating is a generic term applied to atomistic film deposition


processes in which the substrate surface and the growing film are subjected
to a flux of energetic bombarding particles sufficient to cause changes in the
film formation process and the properties of the deposited film. This broad
definition does not specify the source of the depositing film particles, the
source of bombarding particles, nor the environment in which the deposition
takes place. The principal criterion is that energetic particle bombardment is
used to modify the film formation process and film properties.[1][2] Figure 6.1
shows a simple ion plating system using a DC diode arrangement with the
substrate as the cathode electrode and a thermal vaporization source as the
source of the depositing material.[1]
A description of the ion plating process was first published in the
technical literature in 1964[3] and a U.S. patent was granted for the process
in 1974.[4] Recently it has been found that a patent for a very limited version
of a similar process was granted in Europe in 1938[5] but was not published
in the technical literature nor pursued commercially. In 1965 it was reported
that the use of a bias on the substrate during sputter deposition (bias
sputtering) decreased the contaminant level in sputter-deposited films.[6]
The term ion plating is generally accepted [7] and is often used
without any description or reference, however there does not seem to be
a universally accepted definition and many other terms are applied to ion
plating-like processes such as bias sputtering, bias sputter deposition, “ion

346
Ion Plating 347

vapor deposition” (“Ivadizing”),[8][9] ion beam enhanced deposition (IBED),


accelerated ion deposition,[10] plasma-enhanced vapor deposition,[11] ion-
assisted deposition (IAD),[12] biased activated reactive deposition (BARE),[13]
plasma surface alloying, etc.

Figure 6.1. An ion plating configuration using a DC diode discharge and a thermal
vaporization source.[1]

Early work on the ion plating process was concerned with thedeposition
of atoms originating from thermal evaporation/sublimation, sputtered sur-
faces (sputter ion plating), or from chemical vapor species in the gas
(chemical ion plating).[4] Bombardment was by ions extracted from a plasma
by applying a negative potential to the surface to be coated which was
immersed in the plasma. The plasma could be of an inert gas species,
contain reactive species, or contain gaseous chemical compound species.
In the latter cases, the chemical species could be activated in the plasma to
either become more reactive with depositing species (for example, nitrogen
to form the nitrides) or could be decomposed to deposit a coating from the
constituents of the gaseous chemical compound (e.g., Ti from TiCl4).[14] The
latter process might be considered an early form ofplasma enhanced CVD[15]
with ion bombardment in addition to the plasma activation.
348 Deposition Technologies for Films and Coatings

Most recently, the termion plating has been applied to processes where
the surface to be coated is in contact with a plasma and the term ion assisted
deposition (IAD) or ion beam enhanced deposition (IBED) is used where the
substrate is bombarded by an ion beam in a vacuum environment during
deposition.[16]-[18] There are several other modifying terms which are some-
times used with ion plating such as: sputter ion plating and chemical ion
plating which specify the origin of the depositing species (sputtered material
or chemical vapor precursor gases respectively),vacuum ion plating which is
done in a vacuum environment,[19] and reactive ion plating,[20] used for the
deposition of films of compound materials.
Initially the film property of most interest was the adhesion of the film to
the substrate and the ability to have an in situ substrate surface cleaning
process, as well as to introduce thermal energy directly into the surface region
without having to heat the bulk of the material. In the early 70’s a number of
studies were made on the modification of film microstructure,[21][22] stress,[23]
composition[24] and properties[25][26] by the concurrent bombardment during
deposition, and the excellent coverage obtained by gas scattering and
sputtering-redeposition during ion plating. Bombardment during reactive
deposition was shown to improve the stoichiometry of the deposited film
material.
In the latter part of the 70’s and the early 80’s, sources of low energy (100
to 10,000 eV) ion beams of gaseous species became more generally available
and bombardment could be done in a vacuum environment. This led to studies
of bombardment effects under more controlled conditions. Studies included
both those of a physical nature such as the effect of bombardment on film
stress,[27] and those of a chemical nature (reactive deposition).[28][29] Re-
cently it has been shown that bombardment during vacuum deposition greatly
improves the properties of vacuum deposited optical coatings[16] by increasing
the index of refraction (density) and the environmental stability.
Recently ion implantation accelerators have been used to give high
energy (50 to 100 keV) particle bombardment of surfaces to give recoil
implantation of previously deposited material or “mixing” of the interfacial
region between a film and the substrate. [30][31] This high energy bombard-
ment may be concurrent with the atomistic film deposition or may be used
as a post-deposition treatment. The development of ion sources for fusion
reactor applications has lead to large area, high current, ion sources that
allow higher fluxes and larger areas of bombarding particles. [32][33] Also
during the early and mid 80’s, equipment and techniques were further
Ion Plating 349

developed that allowed ions of the film material to be used as the


bombarding species. [34][35] Some of these sources were derived from ion
sources developed for isotope separation.[36]
The basic rules for ion plating are:
1. Bombardment must be over the whole surface to be covered—the
more uniform the bombardment (species, number [ratio of bom-
barding species to depositing species] and energy) the better.
2. A minimum bombardment ratio and particle energy must be
established in order to attain the desired property and structural
modification of the surfaces and deposited film.
3. Bombardment must be continued from surface conditioning through
interface formation for good adhesion.
4. Bombardment may be continued through the film formation stage
in order to modify film composition, morphology, microstructure,
and properties.
5. Contamination in the plasma should be low in order to reduce
reaction with the substrate and with the depositing material
(reactive species are activated in the plasma—good for reactive
deposition, bad for contamination).
6. More material must be deposited than is removed by the bombard-
ment process; however, all of the deposited material may react
with the substrate giving a type of surface modification coating
(ex., Pt on Si giving a PtSi coating).
Ion plating may be divided into several stages, namely: (a) surface
preparation, (b) nucleation and interface formation, and (c) film growth. It is
important that the bombardment be continuous through the various stages for
the ion plating process to work properly.
The surface preparation stage allows in situ sputter cleaning of the
surface prior to the beginning of deposition. This “cleaning” portion of the
process allows good interfacial reactions for adhesion[37] and the generation
of ohmic contacts to semiconductor materials.[37] In addition to cleaning,
surface preparation may also be in the form of roughening the surface
morphology or changing the surface composition (surface reaction or prefer-
ential sputtering). Bombardment may also make the surface more “active” by
the generation of reactive sites and defects. For example: an unbombarded
silicon surface metallized with aluminum shows no interdiffusion but a
bombarded surface gives rapid diffusion.[39]
350 Deposition Technologies for Films and Coatings

The interface formation stage allows the formation of a desirable


diffusion or compound type interface on the “clean” surface if the materials are
mutually soluble, or the formation of a “pseudo-diffusion” type of interface due
to the energetic particle bombardment during the initial deposition if the
materials are insoluble.[37] Interface formation is aided by defect formation and
the deposition of energy (heat) directly into the surface without the necessity
for bulk heating. In some cases the temperature of the bulk of the material may
be kept very low (ex., liquid nitrogen cooling) while the surface region is heated
by the bombardment.[4] This allows the development of a very high temperature
gradient in the surface region which limits diffusion into the surface. The ion
bombardment along with a high surface temperature may cause all of the
depositing material to be diffused into the surface giving an alloy or compound
coating.
In ion plating the bombardment may or may not be continued during the
film formation stage. If the bombardment is not maintained, the process,
through the interface formation stage, may be considered as astrike for further
deposition by another technique (vacuum deposition, sputter deposition,
electroplating, etc.). If the bombardment is maintained during the growth
stage, it is usually with lower energy bombarding particles and a higher ion flux
than is used in the surface preparation and interface formation stages. This
is to reduce the gas incorporation and compressive stress in the resulting
deposited material.
Generally energetic particles for bombarding surfaces and growing films
are of gaseous ions and arise from:(a) biasing (DC or RF) a surface in contact
with a plasma so that it is bombarded by ions from the plasma, (b) extraction
of ions from a confined plasma and accelerating them to a high energy through
a grid system into a vacuum environment (ion beam),[32][40] or (c) reflected high
energy neutrals which arise from ion bombarding a surface in a low pressure
environment[41]-[43] such that the reflected neutrals are not thermalized by
collisions in the gas phase.
The energetic particles (ions) may also be of a condensible film species
and arise from:(a) sources such as are used for isotope separation,[36][44]-[46]
(b) acceleration of negative ions from a negatively biased compound or alloy
sputtering target,[47] (c) ions from vacuum or plasma arcs,[35][48] or (d) special
ion sources.[49]
The most general source of energetic particles is the extraction of ions
from a plasma to bombard a surface which is at a negative potential with
respect to the plasma. The bombarded surface may be located in the plasma
generation region or at a downstream location in the plasma.
Ion Plating 351

2.0 PROCESSING PLASMA

Plasmas are gaseous media which contain enough ions and electrons
to be electrically conductive (e.g., fifty). Energy is introduced into the plasma
by the acceleration of electrons in a DC, RF, or microwave electric field. These
energetic electrons then fragment, excite, and ionize atoms and molecules by
collisions. A processing plasma is a plasma that is used in materials
processing.[51]-[53] In many, if not most, cases of film deposition the
processing plasma is a weakly ionized plasma such that there are many more
neutral particles than ions in the gas phase and there is a large number of
radical species compared to ions when a molecular gas is used. In a
processing system, the local plasma densities and plasma properties may
vary significantly due to electrode configurations, presence of fixturing, and
other geometrical factors.
Typical properties of a weakly ionized plasma are:
Ratio of neutrals to ions 107 - 104:1
(100 times as many radicals as ions when using a molecular gas)
Gas pressure 10-3 - 10-1 torr
Electron temperature 1 - 10 eV
Ion temperature 0.025 - 0.035 eV

3.0 GENERATION OF PLASMAS

In plasmas used for plasma processing, the electron energy is in-


creased by acceleration in electric field gradients. The most typical configu-
rations for generation of plasmas are: (a) DC diode discharge, (b) RF (radio
frequency) discharge,(c) electron emitter sustained discharge,(d) magnetron
enhanced discharge, (e) microwave discharge, (f) vacuum arcs, and (g)
plasma arcs. Figure 6.2 shows a schematic of some of these configurations.

3.1 DC Diode Discharge

The DC diode configuration consists of an anode and a cathode


immersed in a low pressure gas. In ion plating, the substrate may be the
cathode of the DC diode discharge. At the cathode, the negative potential (-)
attracts positive ions from the edge of the plasma region and they are
352 Deposition Technologies for Films and Coatings

Figure 6.2. Plasma generation configurations: (a) DC diode; (b) DC diode with
permanent magnets giving a planar magnetron; (c) RF plasmas with planar
electrodes immersed in the plasma, electrodes external to a dielectric wall and a
coil immersed in the plasma; (d) electron emitter (thermoelectron) with magnetic
confinement; and (e) microwave cavity.

accelerated across the cathode fall region to impinge on the cathode (target).
The cathode fall region, which surrounds the cathode, is where most of the
potential drop in a DC discharge is to be found.[54] The plasma region is located
between the edge of the cathode fall region and the anode where there is little
potential drop. In the DC discharge, energetic particles (ions and neutrals)
impinging on the cathode (target) cause the ejection of secondary
electrons which then accelerate across the cathode fall region, collide with
gas species, and create ions which sustain the discharge process. The
secondary electron emission coefficient of a surface depends on the
chemical nature and morphology of the surface. Oxides typically have a
higher electron emission coefficient than metals, and rough surfaces have
a lower secondary emission coefficient than smooth surfaces. The
secondary electrons can be accelerated to high energies and impinge on the
Ion Plating 353

anode or other surfaces in the system. This can give rise to extensive heating
of surfaces (substrates) in the system. The DC discharge requires a relatively
high gas pressure to sustain the discharge (>10 microns argon).
In the cathode fall region, some of the ions may be neutralized by charge
exchange processes which give rise to energetic neutral particles[55][56] which
are not affected by the applied electric field. The cross-section for charge
exchange is much larger than that for physical collision. The charge exchange
process is dependent on the gas pressure, and at low pressures the
accelerated ions will arrive at the cathode with the full cathode fall energy since
the collision probability is low. Because of these charge exchange processes
there is, at the cathode, a flux of energetic particles consisting of ions and
neutrals with a broad spectrum of energies.
In order to sustain a DC discharge, the secondary electrons must create
enough ions to compensate for losses by recombination. If the anode or
ground surface is brought too close to the cathode the discharge is extin-
guished. This effect can be used to confine the DC discharge to areas of the
cathode surface where bombardment is desired—other areas may have the
bombardment prevented by having a ground shield in closed proximity to the
surface. The Paschen curve gives the relationship between breakdown voltage
and the minimum anode-cathode separation in a gaseous environment.
Typically argon gas pressure of about 10 microns is used to sustain the DC
diode discharge. At this pressure the width of the cathode dark space is about
1 cm. Insulator surfaces cannot be used as cathodes in a DC diode
configuration since charge buildup on the surface prevents ion bombardment.
Electrically insulating films deposited in a DC discharge will build-up a surface
charge which will cause arcing through the film.
In addition to causing the ejection of secondary electrons, high energy
ions and neutrals which impinge on the target (or other surfaces) cause the
physical ejection of surface atoms (physical sputtering) by momentum
transfer processes. The sputtered particles leave the surface at higher than
thermal energies but may be rapidly thermalized by collisions in the gas
phase.[57][58] The sputtered particles may be scattered back to the target
surface—this effect is more prominent the higher the gas pressure. Some of
the energetic ions that bombard the cathode may be reflected as high energy
neutrals.[40]
354 Deposition Technologies for Films and Coatings

The electrical current measured in the DC cathode circuit is the sum of


the charge due to the ion flux to the target and the secondary electron flux away
from the surface. Therefore the cathode current density and cathode voltage
do not specify the flux nor the energy of the impinging ions. However these
parameters (along with gas pressure and gas flow) are typically used to specify
the plasma parameters in DC diode plasma processing.
Typically a DC diode discharge plasma is weakly ionized with many
more neutral particles than ions. Any surface in contact with the plasma will
be subjected to a flux of ions, neutrals, and electrons. A sheath potential will
be developed because of the greater mobility and energy of the electrons as
compared to the ions. This wall potential (typically 3 - 10 volts) will accelerate
ions from the plasmas giving rise toion scrubbing of the surface. In ion plating,
the surface in contact with the plasma may be biased to accelerate ions from
the plasma and bombard the surface at higher energies.
In plasma processing, the DC diode configuration has many advantages
including: (a) a rather uniform plasma can be generated over large cathodic
areas;(b) power input (watts/cm2) can be very high;(c) the power supplies are
rather simple, inexpensive and powerful; (d) process reproducibility can be
attained by controlling the geometry, gas pressure, and target power (current
and voltage); and (e) the sputter erosion of cathodic surfaces may be used as
a long-lived, stable source of depositing material. Disadvantages include:(a)
surface geometries can result in focusing effects giving non-uniform bombard-
ment;(b) heating of substrates by secondary electrons accelerated away from
the cathode can be extensive; and (c) inability to bombard electrically
insulating surfaces or films.
Typical conditions for ion plating in a DC plasma environment are:
Bombarding current: 0.5 mA/cm2
(typically ions, inert, reactive)
Applied accelerating potential: 50 - 5000 volts
(DC diode)
Ratio of bombarding particles 1:10 - 1:100
to depositing atoms
Typical plasma/system parameters that are controlled or monitored in
DC diode ion plating where the substrate is the cathode of the discharge are:
Ion Plating 355

System geometry
Substrate: Power input (voltage and current)
Plasma uniformity
Applied potential
Pre-sputtering time
Deposition rate/uniformity
Plasma: Gas pressure
Gas purity
Deposition source geometry

3.2 RF Discharge

At high frequencies, in a capacitively coupled discharge, the electrons


oscillate in the changing field thus gaining energy, and by collision with atoms
create ions, radicals and more electrons. Typical RF power supplies operate
at 13.56 MHz (U.S. industrial frequency) with peak-to-peak voltages of greater
than 1000 volts. The plasma acts as a low density electrical conductor and
the RF field penetrates quite some distance into the plasma. When the driven
RF electrode is a conductor, the surface is bombarded by ions from the plasma
during the half-cycle that the electrode is negative.
If the surface of the RF electrode is an insulator (backed by a conductor),
the metal-insulator-plasma acts as a capacitor and the surface potential that
appears on the insulator surface alternates between a low positive potential
(because the electrons have a high mobility) and a higher negative potential
(because the ions have a relatively low mobility).[59] Ions are extracted from
the RF plasma during the negative portion of the cycle and bombard the
insulator surface. During a portion of this half-cycle the bombardment energy
may be sufficient to cause physical sputtering of the insulator surface. The
RF potentials in the plasma can be determined using capacitive probes.[60]
The ion energies bombarding a surface may be determined using a sampling
orifice, a retarding grid, and a mass spectrometer.[61][62]
In capacitively coupled RF discharges, the plasma potential, and
hence the sheath potential at the electrodes, can have a time-varying value
of tens to hundreds of volts.[63] When the electrodes have different effective
areas, the plasma potential can also have a large DC potential with respect
to one or more of the electrodes.[64] These factors affect the distribution of
ion energies incident on the electrode surfaces in an RF discharge.[65] Small
356 Deposition Technologies for Films and Coatings

area electrodes will attain higher voltages than large area electrodes, and
the electrode potentials can be varied using an external capacitance in the
circuit.
The amount of energy that is coupled into the RF discharge depends
on the impedance matching (reflected power) and coupling losses to other
surfaces (stray losses). In RF plasma processing, it may be important to
determine just how much energy is actually being coupled into the
plasma.[66]-[69] RF power may be coupled to the plasma using metal
electrodes external to a dielectric wall [70] or the RF plasmas may be
excited using immersed electrodes (see Ref. 71, for example). Very high
plasma densities and ionization efficiencies can be attained in RF driven
plasmas and the discharge may be established at lower pressures than
the DC diode discharge.[72]
In plasma processing, the RF discharge has the advantage that
insulating surfaces or insulating films on conductive surfaces can be
bombarded by applying an RF potential. Disadvantages are: (a) high
power inputs (heating) to insulating materials cause cracking, (b) elec-
trode geometries can cause problems with coupling to the RF power, (c)
there are many sources of RF power loss in systems, (d) plasma
uniformity is difficult to obtain over complex surfaces, and (e) the bias
conditions on surfaces in the RF plasma are variable and often difficult to
control.

3.3 Microwave Discharges

Plasmas can also be excited at much higher frequencies—300 MHz to 10


GHz—where electron cyclotron resonance coupling gives more efficient ioniza-
tion.[73] Microwave discharges differ in many essential respects from DC and RF
discharges. Namely: (a) there is an increased amount of excitation in the
discharge and a lot of vacuum-UV is produced,(b) high degree of ionization (as
high as 20%), (c) the electron densities are higher, 1013 vs. 109 - 1010, and (d)
the particle temperatures are higher (factor of ten or more). Microwave plasmas
are most often used in the downstream processing configuration since sub-
strates in the microwave cavity can detune the system.

3.4 Electron Emitter Discharge

In the DC diode and RF plasma configurations, the electrons necessary


to sustain the plasma are produced in the plasma. When using electron
Ion Plating 357

emitters, the electron source is independent of the plasma processes.


Common electron emitters are hot thermoelectron emitting cathodic surfaces
and hollow cathodes.[74] For example: hot LaB 6 surfaces can give an electron
emission of >20 A/cm2 at 1700°C.[75] These discharges may have very high
electron densities (1012). Often the electrons are confined by a magnetic field
(100 - 500 gauss) directed along the anode-cathode axis. The magnetic field
increases the electron path length in its movement from the cathode to the
anode by causing the electron to spiral in the magnetic field. This increases
the ionization efficiency of the electron and allows the discharge to be
sustained at a low gas pressure. The ions in the plasma may be extracted
using an electrode at a DC or RF potential to give bombardment of a surface
(triode configuration). The triode configuration suffers from a nonuniform
plasma density along its axis, thus giving nonuniform bombardment and a
density variation in activated species over a large biased surface. The
thermoelectron emitter system is very amenable to forming dense plasmas
and for application to downstream processing. By applying magnetic fields,
the plasma (ions and electrons) may be confined and steered into a processing
chamber.[33] Steering (bending) of the plasma beam occurs since the
electrons follow the magnetic field lines and the ions follow the electrons. The
electron emitter configuration may also be used to melt and vaporize material
for film deposition and at the same time as producing the plasma.[76]-[81]
In plasma processing some of the advantages of the electron emitter
configurations are:(a) the flux of electrons is independent of other plasma and
electrode processes; (b) very high plasma densities can be attained; (c) the
plasma properties can be controlled by controlling the electron emission; (d)
the plasma may be steered from the plasma generation chamber; and(e) the
electron beam can be used as a source for thermally vaporizing material.
Disadvantages are:(a) need for well controlled and long life electron emitting
sources, and (b) plasma non-uniformity over large areas and complex
surfaces.

3.5 Magnetron Discharges

Low strength (100 - 500 gauss) magnetic fields may be used to


confine the electrons and increase their path length in plasma systems by
causing the electrons to spiral around the magnetic lines of flux (magne-
tron configurations). There are a number of ways to establish magnetic fields
in plasma chambers including: (a) internal permanent magnets, (b) external
permanent magnets, (c) external electromagnets, and (d) moving magnets.
358 Deposition Technologies for Films and Coatings

Permanent magnets have the advantage that they may be placed in such a
manner as to position the field lines in a desirable manner. However, getting
a uniform magnetic field over a large or complex surface is difficult with any
magnetic field configuration.
Magnetron enhanced plasma configurations have many advantages
including: (a) confining the plasma to a small region, (b) increased ionization
and plasma density, and (c) may be operated at low pressures where gas
phase collisions are reduced. Disadvantages include: (a) non-uniform
magnetic fields give non-uniform plasma densities,(b) isolation of the plasma
to a small region of the processing chamber requiring auxiliary plasma sources
near the substrates in some applications, and(c) low pressure processing can
give rise to a flux of high energy reflected neutrals which may affect bombarded
surfaces and growing films, and affect their properties in an undesirable
manner.[43]

3.6 Plasma Enhancement

Plasma enhancement techniques may also be used to locally increase


the plasma density. This plasma enhancement may be done by using local
RF fields,[82] thermoelectron emitting surfaces,[33] hollow cathode electron
emitters,[74][81][83][84] deflection of secondary electrons in e-beam evapora-
tion, localized higher gas pressure, etc. The plasma density may also be
increased by the use of magnetic fields which cause the electrons to spiral
around the magnetic field lines thus increasing their path length (magnetron
configurations).[85] Some of the most dense plasma sources have been
developed for the magnetic fusion community. Many of these sources use RF
power input or thermoelectron emitting surfaces along with confining magnetic
fields.
In some film deposition processes, ions of the film material (condensible
or non-condensible) may be used to bombard the substrate. Ions of reactive
gaseous species may be formed in plasmas by conventional techniques. High
concentrations of ionized condensible film species may be formed: (a) in
plasmas having a high density of low energy (100 eV) electrons,(b) in vacuum
arc-plasmas on solid cathodes,[86][87] or (c) above molten anodes in vacuum
arc-plasmas.[88][89] In many cases, these species may be multiply ionized.
The addition of a reactive gas to the plasma allows the deposition of compound
materials.[90]
When using plasmas and bombardment effects in ion plating, many
processing variables are unknown. Processing unknowns include: (a) the
Ion Plating 359

portion of the substrate current that is due to secondary electron emission,(b)


the flux and energy spectrum of the ions and electrons, and (c) the flux,
adsorption, and surface coverage of the neutral gaseous species. Generally
no attempt is made to determine these process variables during the process-
ing but rather they are controlled by controlling other processing variables such
as: (a) system geometry, (b) vaporization rate, (c) gas pressure, (d) gas
composition, (e) gas flow rate(s), (f) substrate and system temperatures, (g)
contaminants in the plasma, and (h) substrate power input per unit area
(voltage and current).

4.0 PLASMA CHEMISTRY

The plasma is a very energetic chemical environment and many


chemical processes can occur (e.g., Refs. 91-93). The principal chemical
processes are: (a) electron impact ionization,(b) dissociation (fragmentation)
of molecules (formation of radicals), (c) Penning ionization (metastable
collision), (d) dissociative electron attachment (e) electron attachment, (f)
excitation, (g) momentum transfer collisions, (h) de-excitation of excited
species, and (i) recombination (neutralization). As an example of the
complexity of plasma chemical processes consider that there can be twenty-
four reactions and sixteen species formed by the decomposition and reaction
of CF4 in a plasma.[94]
As an example of Penning ionization, consider argon which has
metastable excited states of 11.55 and 11.75 eV, and copper which has an
ionization energy of 7.86 eV. Thus a copper atom colliding with a metastable
argon atom is easily excited or ionized. Metastable atoms may be very
effective in ionizing and exciting other species by collision.
Many of these plasma processes are characterized by cross-sections
for collision processes and threshold energies for attachment processes. For
example CF3 Cl has a high collision cross-section and low threshold energy
(2 - 3 eV) for electron dissociative attachment. CF4 has a low cross-section
and high threshold energy (5 - 6 eV) for electron dissociative attachment, and
CCl4 is not activated at all by electron attachment. Therefore CF3 Cl is much
more easily fragmented and ionized in a plasma than is CF4 or CCl4. The
degree of ionization, dissociation and excitation of the species depends
strongly on the gaseous species, electron energy, and density in the plasma.
Generally there is much more dissociation than there is ionization of molecular
species.
360 Deposition Technologies for Films and Coatings

Many of these plasma processes serve to activate(plasma activation)


the gas species, i.e., to make them more chemically active by dissociation,
ionization, or excitation. Plasma activation may partially decompose chemi-
cal precursor species and make them easier to thermally decompose. This
type of activation is used in plasma-enhanced and plasma-induced processes
such as plasma-enhanced chemical vapor deposition (PECVD).[95]
Plasma discharges are very effective in desorbing contaminates (ex.
H2 O) from surfaces in a plasma processing chamber. These impurities are
activated in the plasma and may contaminate the depositing material.
A number of techniques may be used to determine plasma proper-
ties.[96] Optical emission is the most common.[97][98] Optical absorption
techniques may also be used to characterize the gaseous species and
temperature in a gas discharge.[99] Electron and ion densities in a plasma may
be measured by the use of small-area Langmuir probes.[100]
In film processing utilizing plasmas, the depositing (condensible)
species usually traverses the plasma before condensing on the substrate. In
doing so, some of the species may be fragmented and/or ionized in the
plasma. However in the usual ion plating configuration (low density, weakly
ionized plasma) little ionization of the condensible species is to be ex-
pected.[101]

5.0 BOMBARDMENT EFFECTS ON SURFACES

The physical effects of energetic particle bombardment on surfaces and


depositing films is very dependent on the mass, flux, and energy of the
bombarding particles, the flux of non-energetic particles (i.e., depositing or
absorbing species) and the atomic mass and chemical nature of the
bombarded surface. In many cases the fluxes of impinging particles are not
determined or controlled except by the processing parameters.
Bombardment can be from ions accelerated to the surface under:(a) an
impressed bias,(b) an induced bias, or (c) due to the development of a sheath
potential. Bombardment can also be from energetic neutrals formed by charge
exchange processes or by the neutralization and reflection of energetic ions
from a surface.
Many studies have shown that intentional and/or unintentional particle
bombardment may affect the various stages of film growth (substrate prepa-
ration, nucleation, interface formation, and film growth). The parameters
(flux, energy, ratio) which are important are usually poorly defined, and
Ion Plating 361

in many instances, the importance of bombardment is not recognized. An


example is in magnetron sputtering where the stress in the deposited films
may be correlated to the gas pressure in the sputtering chamber and thus to
the bombardment by energetic particles reflected from the sputtering tar-
get.[102] At low pressures, high energy particles, which are reflected as
neutrals from the sputtering target, provide the particle bombardment of the
growing film, giving high compressive stresses. At higher gas pressures,the
high energy particles are thermalized by collisions before they can bombard
the growing film and tensile stress is developed in the growing film.[43]
High energy reflected particles are more prevalent at high angles to the
surface normal so substrates in these areas will be more affected by
bombardment than substrates positioned normal to the surface. Also the post
cathode or rod cathode target configurations will be more sensitive to
bombardment than planar targets. The nature of the stress can also be
correlated to the angle-of-incidence of the deposited material[103][104] and the
sputtering current density.[105] It has also been shown that anisotropic
bombardment gives rise to anisotropic stresses in films.[43]
Particle bombardment allows one means of in situ preparation of a
substrate surface prior to film deposition. In situ surface preparation may be
necessary to generate the high quality interface necessary for the fabrication
of some semiconductor devices.[38][39]
Figure 6.3 shows several regions affected by particle bombardment and
the regions are defined as follows:
Surface: Interface between solid and gas (vapor or vacuum).
Surface-region: Region of physical penetration by the bom-
barding particles in which there is a collision cascade.
Near-surface region: Region beyond physical penetration but
which is affected by the bombardment (heating, diffusion)
Bulk region: Region of the material which is not significantly
affected by the bombardment (or can be made so by cooling)
Figure 6.3 also depicts the effects of bombardment by energetic
species (not electrons) on the surface and the subsurface region. Surface
effects include:(a) desorption of weakly bonded surface species,(b) ejection
of secondary electrons, (c) reflection of the energetic species as high
energy neutrals,(d) sputter ejection (physical sputtering) of surface atoms
by momentum transfer through collision cascades, (e) sputtering and
redeposition of sputtered species by collisions in the gas phase, ioniza-
tion, and acceleration back to the surface and byforward sputter deposition due
362
Deposition Technologies for Films and Coatings
Figure 6.3. Schematic depiction of energetic particle bombardment effects on surfaces and growing films. See text for discussion.
Ion Plating 363

to the ejection angle on a rough surface, (f) enhanced surface mobilities of


atoms on the surface, and (g) enhanced chemical reaction of impinging and
adsorbed species to produce condensed species (reactive deposition) or
volatile species(etching). In the subsurface region:(a) the impinging particles
may be physically implanted,(b) the collision cascades cause displacement
of lattice atoms and the creation of lattice defects, (c) defects coalesce, (d)
surface species may be recoil-implanted into the subsurface lattice,(e) mobile
species may be trapped at lattice defects, and (f) much of the particle kinetic
energy is converted into heat.[106] Lattice channeling processes can carry
these effects deeply into the surface.
Film growth may be considered to be layered growth where each layer
is a surface (surface-region) which is covered by another surface layer. Thus
particle bombardment effects on surfaces and on growing films are closely
related.

5.1 Collisional Effects

Particles striking other particles transfer momentum (billiard ball effect).


Particles striking surfaces also transfer momentum to the surface atoms
which results in lattice atom displacement and vibration (heating). In many
cases there will be some penetration of the bombarding particle into the
surface lattice structure. The amount of this penetration will depend on the
relative masses of the bombarding and “target” atoms, and the crystallo-
graphic orientation (penetration will be greatest along open planes).
Particles with energies too low to give collisional lattice displacement
(<20 eV, depending on masses) may enhance chemical reactivity on the
surface thereby influencing: reactive deposition processes, reactive plasma
etching, ion enhanced chemical etching, reactive plasma cleaning, chemical
sputtering (volatile species), plasma polymerization, etc. These low energy
particles may also enhance the removal of weakly bonded surface atoms
(desorption). For instance, low energy bombardment by species accelerated
across the plasma sheath are used inion scrubbing to clean optical surfaces
before film deposition, and hydrogen ion scrubbing is used to remove surface
contaminants in Tokamak-type fusion reactors.
Chemical sputtering occurs when the bombarding species (H, Cl, Fl)
chemically reacts with the surface to form a volatile species which leaves the
surface with thermal energies.[107] Chemical sputtering is often a synergistic
effect when both a chemically reactive species and an energetic species
bombard the surface simultaneously (bombardment enhanced chemical
etching).[108][109]
364 Deposition Technologies for Films and Coatings

For particle energies large enough to create collisional damage, many


things can occur. Figure 6.3 depicts the various processes that can occur
when these particles strike a surface and generate a collision cascade in the
near-surface region. These processes include:
1. Reflection from the surface with some loss of energy—
these particles may be used for surface analysis (ISS, Ion
Scattering Spectrometry) or give bombardment-induced
changes in the deposited material.
2. Physical sputtering of the surface atoms by momentum
transfer processes.
3. Subsurface implantation of the bombarding species.
4. “Knock-on” implantation of surface species (recoil implan-
tation).
5. Point defect formation along the collision cascade track.
6. Localized high temperatures along the collision cascade
track, the heating from which diffuses through the surface
region.
7. Produce secondary electron from the surface which may be
accelerated away from a surface that is at a negative
potential.
Surface effects: Particle bombardment processes/effects may be
classed as:
Prompt processes (<10-12 sec) - collision effects
Cooling effects (>10-12 to <10-10 sec) - thermal spikes
Delayed effects (>10-10 sec to < 1 hour) diffusion, segregation
Persistent effects (> 1 hour) - compressive stresses, amorphori-
zation, phase change
Physical sputtering (often just called sputtering)[110] is the physical
ejection of a surface atom by momentum transfer in the collision cascade
where it intersects the surface (sputter erosion). This process is not a thermal
process so the ejected particles have energies greater than thermal and a
distribution (ejection pattern) that depends on the crystallographic orientation
of the surface atoms. Only a small amount of the energy of the bombarding
particles appears in the energy of the sputtered particles; the rest (70% or
more) goes into heating the bombarded surface.
The sputtering yield, the ratio of ejected atoms to incident particles,
is a function of incident particle energies (normal incidence), and is a
Ion Plating 365

function of the mass of the incident particle and the mass of the target atoms.
The sputtering process only begins after a threshold energy is reached where
there is enough momentum transfer to give ejection (> 20 eV).
The sputtering yield is a function of crystallographic orientation of the
surface material, giving differing yields for differing planes and allowing the
delineation of crystallographic structure of a surface by sputter etching. The
sputtering yield is also a function of the incident particle energy, increasing
from some threshold value to some maximum above which most of the energy
is deposited too far below the surface to affect the collision cascade where it
intersects the surface and the sputtering yield decreases. There have been
some reports of an equilibrium time for the sputtering yield to stabilize,
possibly due to saturation of the surface with bombarding gas.
For surfaces bombarded at normal incidence, the ejected particles will
come off with a cosine distribution at low bombarding energies,[111] and an
over-cosine distribution as the energies become higher,[112] and with some
dependence on mass when sputtering alloys or isotopic mixtures.[113] This
angular dependence may change with texturing of the sputtered surface. If the
bombarding flux is off-normal, the ejected flux will be skewed in a forward
direction. (The ejection from a single crystal surface will depend on the
orientation of the crystal planes). The energy of the ejected particles will
depend on the bombarding angle with oblique bombardment giving higher
energy ejected particles.
The sputtering yield is also a function of the angle of incidence of the
impinging particle. For this off-normal bombardment, the sputtering yield, as
a function of incident particle bombardment angle, initially increases to a
maximum then decreases rapidly above some angle as the bombarding
particles are reflected from the surface. The maximum generally occurs at
about 70 degrees off-normal but this varies with the relative masses of the
particles. This property may be used to give forward sputtering of materials
where the impingement angle on the target (inclined plane with ion beams,
edges of cylinders) is high. This angular dependence of sputtering also results
in the formation of cones on a sputtered surface. The taper of the cones is
determined by the angle-of-incidence dependence of the sputtering yield.
For a single component surface, the material will be removed from the
surface with the bulk composition. If the surface is an alloy or compound,
preferential sputtering may occur with some surface species being removed
more easily than others (may actually extend several monolayers into the
366 Deposition Technologies for Films and Coatings

surface—altered region). This will give rise to a surface composition that is


different from the bulk (enriched, depleted);[114]however, if no diffusion occurs,
the sputtered species will have the same composition as that of the bulk
material at equilibrium. Preferential sputtering of compounds decreases with
the off-normal incidence angle of the bombarding ions.[115]
During deposition with concurrent bombardment, preferential sputtering
can cause loosely bound species to be removed (contaminates, or non-
reacted compound-forming species),[6] or in the case of alloys, one of the
constituents may be preferentially sputtered.[116]
If diffusion in the target does occur, the sputtered species will have a
composition that differs from the bulk and may be continuously variable. Alloy
sputtering can give some mass separation with the flux normal to the surface
being enriched in the lighter element and the flux off- normal being enriched in
the heavier element.[113]
The sputtering process from a negatively biased elemental surface gives
neutral species. Sputtering from a grounded surface gives varying amounts
of ionized species which may be used for SIMS (secondary ion mass
spectroscopy) analysis or, if ionized in a plasma, may be used for GDMS
(Glow Discharge Mass Spectroscopy) or endpoint optical analysis of the
plasma. Sputtering of a target containing several species may give negative
ions of the species having the lesser electronegativity (ex., O-, Au- from AuCu
alloy).
At relatively high gas pressures (DC diode sputtering conditions) a
portion of the sputtered species may be scattered back to the surface. A
portion of the sputtered species may be ionized in the plasma and accelerated
back to the target surface giving self-sputtering. On non-planar surfaces,
some of the sputtered species may be forward-sputtered so that they are
deposited on the target surface. All of these affect the apparent sputtering
yield of surfaces.
Other sputtered species may condense on substrate surfaces giving
“sputter deposition” often just called sputtered films (poor terminology).
Controlled sputter erosion in conjunction with surface analysis tech-
niques is used to depth-profile from the surface in order to allow in-depth
determination of elemental composition.[117]
Particles on the surface or inclusions in the surface region may
protect the local area from sputter erosion, giving a texturing of the
surface morphology (cone formation). [118] Enhanced surface mobility may
also create whiskers on the bombarded surface.[119] Texturing of surfaces may
Ion Plating 367

give a long term change in the sputtering yield (2 - 3X) from the surface since
the surface morphology changes (changing angle of incidence and redeposition
processes).[120] Texturing is used to generate optical “trapping” surfaces,[121]
to treat surfaces for medical implants to improve bone growth[122] and
adhesion, and to reduce secondary electron emission from surfaces.
If the surface species is a “foreign” atom the process of removal may be
termeddesorption and may be calledion-induced desorption or cleaning.[123]
It has been shown that the process of recoil implantation is an important
parameter in the desorption (sputter cleaning) of monolayers of chemisorbed
species from a surface.
Surfaces may be etched byreactive plasma etching where a chemically
active species (Cl, F) is formed in a plasma and reacts with the surface to form
a volatile species. Typically a volatile chemical species is formed on the
surface and volatilization removes the surface species. The process is
somewhat difficult to control since the plasma composition and the flux of
impinging particles from the plasma may vary. If the particles are accelerated
to the surface, chemical reaction is enhanced and the process becomes
reactive ion etching or reactive plasma cleaning.[124]
The desorption of weakly bound surface species is important to plasma
cleaning and may be used to reduce the incorporated contaminants in
deposited films.[6][125] The desorption may also be useful in desorbing
unreacted species in reactive deposition processes giving rise to more
stoichiometric and chemically stable deposits.
In ion-assisted chemical etching,[126] a molecular beam of the
chemically reactive species and a beam of inert gas ions simultaneously
bombard the surface to be etched. Using this technique, very high etching
resolution can be obtained at high etch rates.[127]
The bombardment-assisted chemical processes that occur on
surfaces are very poorly understood.[109][128]-[130] On one hand the
increased chemical activity may be due to the increased “temperature”
due to momentum transfer from the bombarding ions. On the other hand,
secondary electrons from the bombardment of the surface may play an
important role. In some cases, surface changes due to the bombardment
may provide sites for chemical reactions. The etching process is sensitive
to the amount of adsorbed reactive gases.
368 Deposition Technologies for Films and Coatings

5.2 Surface Region Effects

The surface region is affected by the penetration of the bombarding


species. A major portion of the energy goes into atomic vibration and appears
as heat. The resulting collision cascades generate point defects and
collisional mixing by atomic displacement. The point defect densities may be
as high as 1 - 20 atomic percent and may combine to form large-scale defects
and, in the extreme, disrupt the crystalline material into an amorphous form.
The defects introduced into the surface region can affect the electronic
properties of the region.[37] For silicon, these electronic effects have been
studied by bombarding the surface, then fabricating Schottky barriers.[131]
The lattice defects may also allow the trapping of normally mobile
species.[132] Trapping studies of ion-bombarded surfaces show trapping site
densities of 1 - 20 atomic percent.[133][134] The bombarding species may be
implanted to quite high atomic concentrations if they are not lost by diffusion
to the surface. Typically 1 - 10% of entrapped bombarding species is found
in the surface region of argon-ion-bombarded sputtering targets. In the argon
bombardment of silicon, it has been shown that the argon content rises very
sharply from about 225 eV bombarding ion energies and approaches a plateau
around 2200 eV. Films of gold grown under bombardment conditions have
been deposited with 40 atomic percent helium[135][136] which is normally
insoluble in gold. Other gases have been incorporated into more complex
sputter-deposited amorphous materials to high concentrations.[137] Incorpo-
rated gases may embrittle materials, precipitate into bubbles, or outgas at
elevated temperatures.
The incorporation of radioactive krypton into surfaces (by pressure or ion
bombardment) for subsequent thermal desorption and wear studies has been
called kryptonation.[138]-[142] The materials thus formed have been called
kryptonates.
In the case of hydrogen ion bombardment of carbides, carbon depletion
is noted to depths far greater than the penetration depth of the hydrogen
ions.[143] Hydrogen ion bombardment also acts to hydrogen charge the
surface region giving a chemical potential which enhances the hydrogen
diffusion into the material. The same is true for nitrogen where nitrogen ion
bombardment, diffusion, and reaction results in nitriding of the surface region.
Outgassing of the incorporated gases may present adhesion problems when
a film has been deposited on the bombarded surface.
Ion Plating 369

The combination of high defect densities and heat allow rapid diffusion
(similar to radiation enhanced diffusion[144]) and the generation of analtered layer
whose composition may differ from the bulk (alloys and compounds).
The implantation of the bombarding species and the recoil implantation
of the surface species results in the compaction(peening) of the near-surface
region and the formation of a compressive stress in that region. The
implantation process also incorporate bombarding (and surface species -
recoil implantation) species into the near surface region often in amounts
that are above the normal solubility limits. Both the peening action and the
incorporation can affect the stress in this region film.

5.3 Near Surface Region Effects

The near-surface region is affected by the proximity of the surface


region. Compositional changes in the surface-region generate a chemical
potential which, along with the temperature and defect profile, may allow
rapid diffusion from this region to the surface and vice versa. Compressive
stresses generated in the surface-region are offset by tensile stresses in the
near-surface region and these may cause subsurface fracturing. In
hydrogen ion bombarded silicon, subsurface defects and fracturing are
attributed to the tensile stresses generated beneath the compressive
surface region giving fracturing. Temperature-rise studies by the crystalliza-
tion of metallic glass substrates during the ion plating of gold has shown
that the temperature rise in the near-surface region (10 - 15 microns)
approached 500°C.[145]

5.4 Bulk Effects

The principle bulk effect is that of heating. Particularly if the substrate


is not actively cooled then the bulk temperature can rise significantly.

6.0 SOURCES OF DEPOSITING ATOMS

In ion plating, the depositing (condensible) species may have thermal


energies or may be all or part of the energetic bombarding flux.
The source of the depositing species may be:
370 Deposition Technologies for Films and Coatings

Thermal evaporation or sublimation sources


Sputtering sources (sputter-ion plating)
Vacuum arc sources
Chemical vapor species (Chemical Ion Plating)

6.1 Thermal Vaporization

Thermal evaporation or sublimation of a material is performed by heating


the material to the point that it has an appreciable vapor pressure. Heating may
be done by:
Resistively heating the material directly
Material in contact with a resistively heated surface
Bombardment with low energy non-focused electrons
Bombardment with high energy focused electrons
Radiant heating from a high intensity source
Heating with a laser beam
RF inductive heating
Resistively heated sources are typically used to vaporize materials
which have appreciable vapor pressures below about 1500°C.
Low energy electrons may be produced by hollow cathodes[34] and
deflected in a low magnetic field. The electron energies are typically around
100 volts which is also the energy for the maximum cross-section for
ionization. Therefore as the vapor leaves the evaporating surface and passes
through the impinging electron cloud, the possibility for ionization is high.
Such a system has been used to ionize silver for deposition on beryllium. The
silver ions are used first to sputter clean the surface and then, by lowering the
acceleration voltage, a film of silver is allowed to form.[146]
High energy electron beam evaporation is done by generating a
small electron current and accelerating the electrons to very high energies,
on the order of 10,000 eV. The electrons are deflected by a magnetic field
and are moved over the surface in a variable electric field. This technique
allows a very high energy density spot to be rastered over the surface to
be evaporated thus allowing the evaporation of refractory materials. This
type of evaporation source can be used in a vacuum environment, along
with an ion gun, to supply inert gas ions for bombardment of the growing
film to do ion plating. A great deal of interest has been shown in optical coatings
Ion Plating 371

formed this way since the resulting films have higher index of refraction (more
dense) and are more environmentally stable than are vacuum deposited
films.[16] The adhesion of optical films may also be improved by the concurrent
bombardment.[147] By bombarding the growing film with reactive ions,
compounds of materials such as TiN can be formed.[148]
High energy electron beam evaporation may also be done in a plasma
environment as long as the hot filament is not exposed to the plasma. This
may be done by differentially pumping the chamber below the electron beam
evaporator where the electron emitting filament is located.[1]

6.2 Sputtering

Sputtering of a surface may be done in a plasma by extraction of ions


from the plasma under an impressed electric field and bombarding the target
surface with energetic ions to cause physical sputtering of the surface. This
source of depositing material is used in sputter ion plating.[149]
Sputtering may also be done in a vacuum environment using ion beams
formed in a separate plasma chamber and extracted into the vacuum chamber
using a grid system. The sputtered material may then be deposited in a
vacuum environment and an ion beam source may be used to bombard the
deposit with an inert or reactive beam.[150] Figure 6.4 shows some of the
configurations that may be used.

6.3 Vacuum Arcs

Vacuum arc vaporization sources can also provide film-ions in vacuum ion
plating. Arc evaporation (vacuum arc) occurs when a high current, low voltage
arc passes between electrodes in a vacuum. The arc is confined to spots which
have a very high energy density (1011 W m-2). The vaporized material may be
deposited as a film, often with a bias applied to the substrates. Arc vaporization
is an old method of vaporizing carbon to form thin carbon films. By using a reactive
gas atmosphere, compounds may be deposited. In arc evaporation, a large
fraction (0.5) of the vaporized material is ionized.[151]-[153] The potential
distribution in the arc gives a “hump” near the cathode allowing ions on the anode
side of the hump to be accelerated to a high potential (80 eV).[48] Problems with
this deposition technique include stabilization of the arc and the formation of
globules of the ejected material. Arc steering over the cathode surface and
plasma deflection have been applied to reducing theglobule problem. The ions
372 Deposition Technologies for Films and Coatings

Figure 6.4. Configurations for using an ion beam in a vacuum system to allow
concurrent bombardment of a depositing film.

formed in the vacuum arc may be accelerated to a biased substrate, and thus
constitutes a source of bombardment and deposition in the ion plating
process.
The vacuum arc melting process[154] utilizes a vacuum arc to melt
materials and the melting equipment may also be used as a source of ions
(from the molten anode) for deposition.
Ion Plating 373

6.4 Chemical Vapor Precursors

In chemical ion plating, a precursor gas containing the material to be


deposited is injected into the plasma where it is totally or partially decom-
posed, ionized, and deposited (accelerated) to the substrate surface. This
technique is similar to plasma enhanced CVD with the addition of the
acceleration of the ionized particles. In some cases, the chemical vapor is
introduced into an ionizing source chamber then the disassociation products
are extracted and accelerated into the deposition chamber( under vacuum) as
a beam to impinge on the substrate surface. This process has been used to
deposit i-carbon (hydrogenated carbon, i C-H)[155]-[157] and BN[158] films.

7.0 REACTIVE ION PLATING

In reactive ion plating, co-depositing species or surface species react


to form a non-volatile (compared to reactive ion etching where a volatile species
is formed) condensed species. The concurrent bombardment tends to
activate the reaction process (activate the species in the plasma, ion
enhanced reactions on the surface) making the reaction easier, and tends to
resputter the non-reacted species giving a more stoichiometric deposit.[159]
A large number of compounds have been deposited by reactive ion plating.

8.0 BOMBARDMENT EFFECTS ON FILM PROPERTIES

Many surface and film properties may be modified by particle bombard-


ment.[160] These properties include: adhesion, composition, grain size,
crystallographic orientation, growth morphology, surface morphology, impu-
rity content, electrical properties, magnetic properties, optical properties, film
stress, density, and mechanical properties. Particle bombardment may be an
important process variable and, if not controlled, may give unacceptable
product variability.

8.1 Effects: Adatom Nucleation

Particle bombardment may be used to sputter-clean surfaces in order


to remove barrier layers and contaminates. This allows adatoms to interact
directly with the substrate and, if there is chemical bonding, the nucleation
density is increased and interfacial reactions will be promoted.
374 Deposition Technologies for Films and Coatings

Ion bombardment during adatom deposition may increase the nucle-


ation density in many instances.[161][162] This increase may be due to the
formation of active sites due to the defect formation[163] and/or due to the recoil
implantation of adatoms into the surface region where they act as nucleation
sites. Conversely, ion bombardment may enhance surface mobilities. (Ex:
Ion bombardment enhanced surface diffusion during cone formation.[164]) The
presence of a plasma has been shown to influence the nucleation either due
to bombardment or to electrical effects.[165][166]
It has been shown that the nucleation density of gold deposited on oxide
substrates by sputter deposition in an oxygen plasma is much higher than in
an inert plasma. This allows the deposition of adherent gold films on
substrates where normally the adhesion is poor.[167] The reason for this
dependence on the oxygen plasma is not understood but may be due to
chemical or electrical charging effects.

8.2 Effects: Interface Formation

The nature and type of interfacial region that is formed during film
deposition is important to adhesion and the functionality of the film-substrate
couple. Interfacial regions may be classed as: (a) mechanical, (b) abrupt, (c)
compound,(d) diffusion,(e) pseudo-diffusion or combinations thereof.[36] The
type of interfacial region formed during deposition depends on the film/
substrate materials, chemical interactions, energy available, nucleation
behavior, contamination, and surface morphology. Particle bombardment
prior to and during film deposition affects many of these factors. Of particular
interest is the ability to clean a surface, influence nucleation, and to provide
energy to the surface region to enhance diffusion and chemical reactions.

8.3 Effects: Film Growth

Film growth may be considered to be layered growth where each layer


is a surface (surface-region) which is covered by another surface layer. Thus
particle bombardment effects on growing films are much the same as those
for the surface and surface-region effects. In addition there are sputtering/
redeposition effects on film morphology/microstructure and annealing effects
on the film structure due to the heating during deposition and local thermal
spikes during the bombardment.[168]
Ion Plating 375

Film microstructure, morphology, and properties that can be modified


by ion bombardment during growth include:
Stress
Stoichiometry
Microstructure
Morphology (surface and bulk)
Grain size
Grain orientation
Epitaxial growth
Hardness
Abrasion Resistance
Optical properties (index of refraction)
Density
Pinhole density
Adhesion
By using a partially ionized and accelerated beam of depositing
particles it has been shown that the “epitaxial temperature” can be lowered
compared to the deposition of a non-ionized beam.[169]
The important parameters in structure modification are:
Substrate temperature during deposition—all sources
Angle of incidence of depositing species
Resputtering during deposition—indication of forward sput-
tering
Redeposition (of sputtered species) during deposition
In the DC diode configuration (where there is appreciable redeposition
of sputtered material) studies have shown that an apparent resputtering rate
of 0.2 to 0.3 is necessary to achieve appreciable modification of the columnar
microstructure. In the magnetron configuration (where there is much less
redeposition) apparent resputtering rates of 0.6 to 0.7 are necessary to give
appreciable modification of the columnar microstructure.
Concurrent energetic particle bombardment during atomistic film
deposition may modify many film properties (e.g., Refs. 23 - 26, 170). The
amount of modification will depend on both the mass, energy, and flux of
the bombarding species and the mass and flux of depositing species. In
the case of reactive deposition, the availability of activated species and the
376 Deposition Technologies for Films and Coatings

effect of adsorbed surface species may also be important. The following are
some of the film properties that can be modified by controlled concurrent
bombardment during deposition.

8.4 Film Adhesion

The adhesion of a deposited film to a surface depends on the deforma-


tion and fracture modes associated with the failure.[171][172] Energetic particle
bombardment prior to and during the initial stages of film formation may
enhance adhesion by: removing contaminant layers, changing the surface
chemistry, generating a microscopically rough surface, increasing the nucle-
ation density by forming nucleation sites (defects, implanted and recoil
implanted species), increasing the surface mobility of adatoms, and by
creating lattice defects and introducing thermal energy directly into the surface
region, promote reaction and diffusion. These effects will also improve surface
coverage and thus decrease the number of interfacial voids which result in easy
fracture and poor adhesion. Film adhesion may be degraded by the diffusion
and precipitation of gaseous species at the interface. The adhesion may also
be degraded by the residual film stress, due either to differences in the
coefficient of thermal expansion of the film and substrate material in high
temperature processing, or the residual film growth stresses developed in low
temperature processing.

8.5 Film Morphology/Density

Physical sputtering and redeposition, increased nucleation density,


and increased surface mobilities of adatoms on the surface under bombard-
ment conditions may be important in disrupting the columnar microstructure
that develops during low temperature atomistic deposition processes.[26][173]-
[178] Figure 6.5 shows the fracture cross-section and surface morphology of

RF sputter deposited chromium films at zero bias and a -500 volt bias during
deposition. Note that the bombardment completely disrupted the columnar
microstructure. Bombardment-related effects may also improve the sur-
face coverage and decrease the pinhole porosity in a deposited film. This
increased film density is reflected in film properties such as: better corrosion
resistance, lower chemical etch rate, higher hardness, lower electrical resistivity
(metals), and the increased index of refraction (optical coatings). However,
it has been found that if the bombarding species is too energetic and
the substrate temperature is low, high gas incorporation gives rise to
Ion Plating 377

voids (e.g., Ref. 179). Some investigators have used the parameter
resputtering rate (deposition rate with and without an applied bias) as the
parameter for disruption of the columnar morphology; however this parameter
does not take into consideration the backscattering from the gas phase which
will be greater with higher gas pressure, and so must be used with caution.

Figure 6.5. Fracture cross-section (bottom) and surface morphology (top) of


a thick RF sputter deposited chromium deposit: (a) without bias (no bombard-
ment), and (b) with concurrent bombardment (-500 V bias on the substrate).[25]
378 Deposition Technologies for Films and Coatings

8.6 Residual Film Stress

Invariably atomistically deposited films have a residual growth stresses


which may be tensile or compressive in nature and may approach the yield or
fracture strength of the materials involved. The origin of these stresses is
poorly understood although several phenomenological models have been
proposed.[180] Generally, vacuum-deposited films and sputter-deposited films
prepared at high pressures have tensile stresses which may be anisotropic
with off-normal angle-of-incidence depositions. In low pressure sputter
deposition and ion plating, energetic particle bombardment may give rise to
high compressive film stresses due to the recoil implantation of surface
atoms.[181]-[184] This effect is sometimes called atomic peening. Studies of
deposited films with concurrent bombardment have shown that the conversion
of tensile stress to compressive stress is very dependent on the ratio of
bombarding species to depositing species.[27][185] In plasma processing, the
residual film stress may be very sensitive to the substrate bias and gas
pressure[43] during deposition in a plasma environment. High intrinsic film
stresses may lead to long-term film stability problems such as room-
temperature grain growth[186] and void formation.[187]
Figure 6.6 shows the residual stress and gas content in sputter
deposited chromium films as a function of substrate bias.[25] Figure 6.7 shows
the anisotropic residual stresses in post-cathode magnetron sputter-depos-
ited molybdenum films as a function of sputtering gas pressure and orienta-
tion.[43] Where rather thick films of high modulus materials are involved, these
stresses must be controlled or spontaneous failure (adhesion, cracking,
blistering) will occur.[171] The stresses may be controlled by controlling the
film thickness, materials involved, film morphology, bias during deposition,
deposition temperature, and/or sputtering pressure.[43]
The lattice strain associated with the film stress represents stored
energy and this energy, along with a high concentration of lattice defects, may
lead to:(a) lowering of the recrystallization temperature in crystalline materi-
als,(b) a lowered strain point in glassy materials,(c) a high chemical etch rate,
(d) electromigration problems, (e) void growth in metallization lines by creep,
and (f) other such mass transport effects.

8.7 Crystallographic Orientation

Under proper bombardment conditions, the crystallographic orienta-


tion of the deposited material is developed such that the more dense
Ion Plating 379

Figure 6.6. Residual stress and gas content of an RF sputter-deposited chromium


deposit as a function of substrate bias during RF sputter deposition.[25]

Figure 6.7. Stress and stress anisotropy in post-cathode magnetron sputter-


deposited molybdenum films as a function of orientation and sputtering gas
pressure. [43]
380 Deposition Technologies for Films and Coatings

crystallographic planes are parallel to the bombarding direction.[188][189] This


effect is attributed to the channeling of the bombarding species into the film
thus decreasing the sputtering rate under this orientation. Under more
energetic bombardment condition, however, the crystallographic orientation is
disrupted due to the formation and consolidation of defects.

8.8 Gas Incorporation

When a depositing film is bombarded during deposition by energetic


gaseous particles, the incorporated gas content is dependent on the particle
energy, substrate temperature, film material, and bombarding species.
Generally, low mass bombarding particles are more easily incorporated
than are large mass particles. The gas incorporation increases with energy
of the bombarding species to the point that heating causes gas desorption.
Under some conditions, very high concentrations of normally insoluble gas
may be incorporated into the depositing film by concurrent bombardment
during deposition. An example is the incorporation of 20 - 40 atomic percent
hydrogen and helium in gold[135][136][190] and the incorporation of krypton in
amorphous metals films.[137] This incorporation is probably due in part to the
high lattice defect concentration in the bombarded material which trap
mobile species. At very high gas contents, the gas will precipitate into voids.
Gas incorporation can be minimized by using low-energy bombarding
species (i.e., less than 100 eV), an elevated substrate temperature during
deposition (300 - 400°C), and/or using higher atomic mass bombarding
species (Kr, Xe, Hg).

8.9 Surface Coverage

The macroscopic and microscopic surface coverage of a deposited film on a


substrate surface may be improved by the use of concurrent bombardment during film
deposition. The macroscopic ability to cover complex geometries depends mostly
on scattering of the depositing material in the gas phase.[1][191][192] The gas scattering
by collision in the gas phase may be aided bygas pumping[193]-[195] in the discharge,
which will give a directed velocity to the film-atoms toward the substrate surface. If
gas scattering is extensive then gas phase nucleation will occur and the resulting
deposit will be poorly consolidated. If a plasma is present and the substrate is
at a negative potential, the gas phase nucleated materials will become
negatively charged and repelled from the substrate. In addition, bombard-
Ion Plating 381

ment will heat, densify, and consolidate the deposited material into a high
quality film over the whole surface. On a more microscopic scale, sputtering
and redeposition of the depositing film material will lead to better coverage on
micron and submicron sized features,[24][179][196]-[199] and reduce pinhole
formation. On the atomic scale, the increased surface mobility, increased
nucleation density, and erosion/redeposition of the depositing adatoms will
disrupt the columnar microstructure and eliminate the porosity along the
columns. In total, the use of gas scattering, along with concurrent bombard-
ment, increases the surface covering ability and decreases the microscopic
porosity of the deposited film material as long as gas incorporation does not
generate voids.

8.10 Other Properties

Many other properties of the film material may be changed and improved
by bombardment during deposition. They include: (a) electrical resistivity of
metal films,(b) hardness of hard-coatings,(c) chemical etch rate,(d) corrosion
resistance,(e) pinhole density,(f) index of refraction of dielectric coatings, (g)
color of TiN films, etc.

9.0 ION PLATING SYSTEM REQUIREMENTS

Generally the equipment used for ion plating is the same as that used
for sputter deposition except that now the substrate is the sputtering target and
another vaporization source has been added. Figure 6.8 shows some of the
possible configurations.

9.1 Vacuum System

This system is similar to sputter deposition equipment. A good base


pressure and little contaminant desorption during processing is desirable in
order to keep the contaminant level in the plasma low.

9.2 High Voltage Components

This is also similar to sputter deposition equipment with more attention


paid to substrate cooling and the means of providing a high voltage
connection to the substrate. Because of the high “throwing power” condi–
382 Deposition Technologies for Films and Coatings

Figure 6.8. Some configurations for bombarding a surface from a plasma by using
accelerated or reflected high energy particles: (a) diode, (b) grid to allow bombard-
ment of complex surfaces or insulators, (c) thermoelectron sustained plasma with
magnetic enhancement/confinement, (d) e-beam evaporation with a differentially
pumped vacuum chamber, (e) utilizing reflected high energy neutrals and sputter-
ing, (f) magnetron sputtering source, and (g) moving magnetron plasma to allow
uniform bombardment of substrate surface.
Ion Plating 383

tions often used in ion plating systems, the insulators of electrical feed-
throughs must be carefully shielded from deposition or else they will become
shorted. These conditions may also lead to gas-phase nucleation of particles
which will deposit on the system walls (called “black sooty crap”, BSC, by the
operators). This material has a very low density and if the material is
pyrophoric (Ti, Zr etc.) the BSC may ignite if disturbed in air. In such a case
system cleanup should be done wet.
Power supplies must be capable of withstanding electrical arcs in the
deposition chamber and their attendant electrical transients. Substrate
potentials may be DC or RF and with or without magnetron enhancement. In
some configurations (beam, grounded cathode) the substrate may be at
ground potential. Very high voltage (to 1-00 keV) pulsing of substrates
immersed in plasmas is being studied as a way to modify surfaces by ion
bombardment.[199] This technique could be used in ion plating to allow periodic
bombardment of the depositing film material and might be termed pulsed ion
plating.

9.3 Gas Handling System

This equipment is also similar to sputter deposition. Inert gases should


be purified in order to decrease the contaminants in the plasma. Reactive
gases may be injected directly toward the deposition region and some concern
must be given to having a uniform flux of reactive gases to the deposition region.

9.4 Evaporation/Sublimation Sources

In electron beam evaporation into a plasma, the filament region of the


gun must be differentially pumped in order to prevent sputter erosion of the high
voltage filament. Evaporation/sublimation in a gas environment takes more
power than in a vacuum because of heat loses by convection and backscat-
tering of the vaporized material.

9.5 Sputtering Sources

Sputter target fixturing will be coated by the sputtered material. Target


fixtures and nearby material will be sputtered by the bombarding ions or high
energy neutrals. This may lead to contamination of the deposited material. In
order to get around this problem, the target fixtures may first be coated by the
material to be deposited.
384 Deposition Technologies for Films and Coatings

9.6 Plasma Uniformity

Plasma uniformity over the substrate surface is desirable when using


the plasma as the source of bombarding particles or the source of reactive
species. Often this plasma is non-uniform due to the geometry of the surface,
non-uniformity of the electric field, or non-uniformity of the magnetic field.
The electric field uniformity can be improved in some cases by enclosing
the surface in a high transmission grid at the same potential as the part. The
magnetic field uniformity can be improved by using multiple polepieces or by
using moving magnetic fields.

9.7 Plasma Generation Near the Substrate Surface

In many cases the substrate potential may be used to create a plasma


near the substrate if the gas pressure and magnetic field are of the appropriate
nature. In some sputtering-source configurations, i.e., DC magnetron sputter-
ing, the plasma is held away from the substrate and it may be desirable to
sustain a plasma near the substrate surface. This may be done by having an
auxiliary plasma generating technique such as a hot filament or hollow
cathode triode configuration near the substrates. For reactive deposition, it
may be desirable to inject the reactive gases directly into this region.

9.8 Substrate Fixturing

This is similar to sputter deposition equipment with more attention


paid to substrate cooling and means of providing a high voltage connection
to the substrate. Moveable fixturing may be necessary in order to not leave
points of electrical contact uncoated. The barrel-plating fixturing may also
be used to give 100% coverage of the substrate. [200] Heating of the
substrate holder may be done by having poor thermal contact to a heat
sink, by using embedded heaters, or by radiant heating. Since appre-
ciable heat in introduced into the substrate surface, substrate cooling may
be an important concern. Coolants used to actively cool the substrate
holder must be isolated from ground by using insulating tubing. Some
leakage to ground can be expected though ionic conduction. A cold finger
substrate holder may be used to allow direct cooling of the substrate.
Ion Plating 385

Holding-fixtures and nearby material will be sputtered by the bombard-


ing ions or high energy neutrals. This may lead to contamination of the
deposited material. In order to get around this problem the fixtures may first
be covered by the material to be deposited.

10.0 PROCESS MONITORING AND CONTROL

The ion plating process is very complex and monitoring what goes on
is usually done in a comparative manner rather than an absolute manner. This
means careful control of process variables.

10.1 Plasma

Typically the plasma is monitored by the gas pressure, gas flow through
the system, and power input. Calibrated flow meters are useful for process
control. The species in the plasma may be monitored by the optical emission
from the plasma, and this analysis may be correlated to the composition and
properties of the resulting film.[201] In some cases, mass spectrometry may
be used to determine gas species but if a plasma is used in the process, the
mass spectrometer must be differentially pumped and the calibration is
confused by the initial presence of ionized species.

10.2 Substrate Temperature

Substrate surface temperature monitoring is complicated by the pres-


ence of the plasma and high voltage. Thermocouple readouts must be isolated
from ground and float at the substrate potential. Infrared temperature
monitoring techniques may be used.[202]

10.3 Specifications

Process specifications and reproducible processing are the keys to


good ion plating processing. Because of the many process variables and the
problems of determining some of the possible variables absolutely, specifica-
tions and reliable process monitors are particularly important in the ion plating
process.
386 Deposition Technologies for Films and Coatings

11.0 PROBLEM AREAS

Problem areas which exist with ion plating arise because of:
“Activated” reactive contaminants in the plasma
Redeposition of sputtered contaminates
Plasma uniformity
High field regions
Points
Focusing fields
High plasma density region
Trapped secondary electrons
High secondary emission
Unwanted shielding of substrate areas
Wasted power
Unshielded leads/areas
Substrate heating
Coating (shorting) high voltage insulators
Non-uniform availability of reactive species (reactive depo-
sition)
Electrical contact points are not coated
Gas incorporation
These problem areas can generally be avoided by design of the fixturing
and other “tricks” such as:
Rotating fixturing
Use of grids to smooth out electric fields
Periodic plating (on-off) mode to reduce substrate heating
Heating of substrate to outgas material as it deposits
A major problem area in using plasmas for thin film deposition is that of
obtaining a uniform plasma density over a surface so that uniform bombard-
ment and reactive gas availability can be attained. Plasma non-uniformity can
arise from a number of sources including: (a) geometrical arrangement of
power input electrodes and substrate fixturing,(b) substrate geometry,(c) the
presence of surfaces that allow recombination and loss of species in the
nearby plasma, and (d) in the case of reactive deposition, reactive surfaces
that deplete the supply of reactive gas at the growing film surface.
Ion Plating 387

As a general rule, the best system design is one that is geometrically


symmetric. However, in many instances a symmetric geometry is difficult to
attain. The use of magnetron configurations is an example. The use of a
magnetic field to confine electrons and increase the local plasma density in
one region leads to a decrease in plasma density in some other region. Figure
6.9 shows an example of how two independently sustained plasmas may be
used to allow magnetron sputtering of a source and the use of a hot-filament-
sustained plasma in the vicinity of the substrate to provide a plasma from which
ions can be extracted to bombard the substrate and film.
If the part has a very complex configuration, the electric field around
points and corners focus the bombardment giving high erosion rates and
heating in these areas. A thin region gives poor thermal conductance and
results in heating. Holes and re-entrant features give low field gradients. In
these regions heating will be high and erosion will be low, giving poor cleaning
and allowing reaction with contamination. Excessive heating can sometimes
be alleviated by pulse processing where the substrate bias is periodically
turned on and off.

Figure 6.9. Example of using an auxiliary plasma near the substrate to allow ions
to be extracted and bombard the growing film.
388 Deposition Technologies for Films and Coatings

In some cases high transparency grids at the substrate potential may


be used to surround the substrate giving a more uniform bombardment over a
complex surface. This is the basis of the equipment used in the ion vapor
deposition (IVD) process and in the barrel-plating ion plating configuration.
Figure 6.10 shows a barrel-plating configuration used to coat small parts which
are tumbled in the rotating cage. A grid configuration may also be useful in
coating dielectric materials where charge buildup may be a problem, or in
coating moving substrates where electrical contact may be a problem.

Figure 6.10. Ion plating “barrel plating” configuration using a rotating cage to
contain the parts.[200]
Ion Plating 389

As with any plasma process, wall effects enhance the desorption of


contamination. This contamination, when introduced into the plasma, is
“activated” and can be an important source of contamination which must be
controlled.

12.0 APPLICATIONS

There are many applications of the ion plating process some of which
are:
! Obtaining good adhesion: Ag on steel for mirrors, soft metals
on surfaces for space lubrication, Ag on Be for diffusion
bonding, Cu and Au on Ta and Mo for subsequent brazing,
Cu-on-ceramic metallization.
! Metallization: Al, Ag, Au on plastics and semiconductors.
! Good surface coverage on complex surfaces: TiN on tool
bits, molds and jewelry items; semiconductor metallization.
! Good reaction and stoichiometry: TiN on tool bits, molds
(hardness, wear); jewelry items.
! Corrosion protection: Al on U, steel and Ti (galvanic); C and
Ta on biological implants.
! Abrasion resistance: MgF2 coatings on plastics.
! Deposition of diffusion barriers: HfN and TiN on semiconduc-
tor devices.

13.0 SUMMARY

Like any deposition technique the ion plating process has its advan-
tages and disadvantages.
Advantages:
! Excellent surface covering ability(throwing power) under the
proper conditions.
! Ability to have in situ cleaning of the substrate surface.
390 Deposition Technologies for Films and Coatings

! Ability to obtain good adhesion in many otherwise difficult


systems.
! A great deal of flexibility in tailoring film properties by
controlling bombardment conditions.
! Equipment requirements are roughly equivalent to those of
sputter deposition.
Disadvantages:
! Many processing parameter that must be controlled.
! Processing may be very dependent on substrate geometry
and fixturing.
! Obtaining uniform bombardment and reactive species avail-
ability over a complex surface may be difficult.
! Gas incorporation may be excessive.
! Substrate heating may be excessive.
! Contamination is desorbed from surfaces and activated in the
discharge and can contaminate deposited material.

In order to achieve the desired film property modification, there must be


an appreciable ratio of bombarding particles to depositing species. This ratio
must be much higher to disrupt the columnar morphology than is necessary
to change the film stress. The necessary bombardment conditions for each
application are usually determined empirically and controlled by controlling
the processing geometry and parameters. A typical condition to control film
stress might be a substrate bias of -50 to -100 volts DC, a current density of
1 mA/cm2 and a deposition rate of 10 nanometers per second. For columnar
structure disruption and maximum covering ability, a resputtering rate might
be 30%.
The ion plating process provides an alternative film deposition technique
which should be evaluated for specific applications.
Ion Plating 391

REFERENCES

1. Mattox, D. M., J. Vac. Sci. Tech., 10:47 (1973)


2. Ahmed, N. A. G., Ion Plating Tech., - Dev. and Appl., J. Wiley (1987)
3. Mattox, D. M.,Electrochem. Tech., 2:295 (1964);Sandia Laboratories
Report SC-DR -63-285 (Nov 1963)
4. Mattox, D. M., US Patent # 3,329,601 (1974)
5. Berghaus, B., UK Patent # 510 993 (1938)
6. Maissel, L. I. and Schaible, P. M., J. Appl. Phys., 36:237 (1965)
7. Mattox, D. M., J. Electrochem. Soc., 115:1255 (1968)
8. Muehlberger, D. E.,Ion Plating and Implantation, (R. F. Hochman, ed.)
p. 75, Conference Proceedings American Society for Metals (1986)
9. Steube, K. E. and McCrary, L. E., J. Vac. Sci. Tech., 11:362 (1974)
10. Ahmed, N. A. G., Surf. Eng., 2:95 (1986)
11. Perry, A. J., Thin Solid Films, 146:165 (1987)
12. Martin, P. J., [rev] Vacuum, 36:585 (1986)
13. Bunshah, R. F., Ch. 4, “Evaporation,” this book
14. Culbertson, R. and Mattox, D. M., 8th Conf. on Tube Tech., pp., 101-
107, IEEE Conf. Record 1966; Culbertson US Patent 3,604,970 (1971)
15. Sterling, H. F. and Swann, R. C. G.,Solid-State Electron, 8:653 (1965)
16. Martin, P. J., Netterfield, R. P., Sainty, W. G. and Pacey, C. G., J. Vac.
Sci. Tech., A2:341 (1984); Martin, P. J., J. Mater. Sci., 21:1 (1986)
17. Harper, J. M. E., Cuomo, J. J., and Kaufman, H. R., J. Vac. Sci. Tech.,
21:737 (1982)
18. Greene, J. E., Motooka, T., Sundgren, J. E., Lubbens, D., Gorbotkin,
S., and Barnett, S. A., Nucl. Instrum. Methods Physics Res., B27:226
(1987); Greene, J. E., J. Vac. Sci. Tech., B1:229 (1983)
19. Aisenberg, S. and Chabot, R. W., J. Vac. Sci. Tech., 10:104 (1973)
20. Howson, R. P., Avaritsiotis, J. N., Ridge, M. I., and Bishop, C. A., Thin
Solid Films, 63:163 (1979)
21. Mattox, D. M. and Bland, R. D., J. Nucl. Mater., 21:349 (1967)
22. Mattox, D. M., Rev. Sci. Instrum., 37:1609 (1966)
23. Mattox, D. M. and Kominiak, G. J., J. Vac. Sci. Tech., 9:528 (1972)
392 Deposition Technologies for Films and Coatings

24. Kominiak, G. J. and Mattox, D. M., J. Electrochem. Soc., 120:1535


(1973)
25. Bland, R. D., Kominiak, G. J. and Mattox, D. M., J. Vac. Sci. Tech.,
11:671 (1974)
26. Mattox, D. M. and Kominiak, G. J., J. Vac. Sci. Tech., 9:528 (1972)
27. Hoffman, D. W. and Gaerttner, M. R.,J. Vac. Sci. Tech., 17:425 (1980)
28. Harper, J. M. E., Cuomo, J. J., and Henzell, H. T. G., Appl. Phys. Lett.,
43:547 (1983)
29. Cuomo, J. J.,Ion Plating and Implantation, (R. F. Hochman, ed.) p. 25,
Conference Proceedings American Society for Metals (1986)
30. Wie, C. R., Tang, J. Y., Tombrello, T. A., Grant, R. W., and Housley,
R. M., Vacuum, 38:157 (1988)
31. Baglin, J. E. E.,Ion Beam Modification of Insulators, (P. Mazzolsdi and
G. Arnold, eds.), Ch.15, Elsevier (1987)
32. Forrester, A. T., Large Area Ion Beams: Fundamentals of Generation
and Propagation, J. Wiley (1988)
33. Goebel, D. M., Campbell, G., and Conn, R. W.,J. Nucl. Mater., 121:277
(1984)
34. Williams, D. G., J. Vac. Sci. Tech., 11:374 (1974)
35. Bergman, C.,Ion Plating and Implantation, (R. F. Hochman, ed.) p. 115,
Conference Proceedings American Society for Metals (1986)
36. Valyi, L., Atom and Ion Sources, Wiley (1977)
37. Mattox, D. M., Adhesion Measurement of Thin Films, Thick Films and
Bulk Coatings, ASTM STP 640, (K. L. Mittal, ed.), p. 54, American
Society for Testing and Materials (1978)
38. Vossen, J. L., Thomas, J. H., III, Maa, J. S., and O’Neill, J. J., J. Vac.
Sci. Tech., A2:212 (1984)
39. Brillson, L. J., Thin Solid Films, 89:461 (1982)
40. Kaufman, H. R., J. Vac. Sci. Tech., 15:272 (1978)
41. Lee, W. W. Y. and Oblas, D., J. Appl. Phys., 46:1728 (1975)
42. Hagstrum, H. D., Inelastic Ion Surface Collisions, (N. H. Tolk, J. C.
Tully, W. Heiland and C. W. White, eds.), pp. 1 - 25, Academic Press,
(1977)
43. Cuthrell, R. E., Mattox, D. M., Peeples, C. R., Dreike, P. L., and
Lamppa, K. P., J. Vac. Sci. Tech., A6:2914 (1988)
44. Shubaly, M. R., Nucl. Instrum. Method Phys. Res., B26:195 (1987)
Ion Plating 393

45. Romesser, T. E., Vanek, V., Tang, J., Dixon, D., Bayless, J., Musetto,
M., Strawitch, C., and Higgins, L., A Large Area Plasma Source, IEEE
International Conference of Plasma Science, 83CH1847-3, US DOE
Contract No. DE-ACO3-77ET33006 (1983)
46. Letokhov, V. S., Sov. At Energy, (Translation) 62(4):297 (1987)
47. Cuomo, J. J., Gambino, R. J., Harper, J. M. E., Kuptsis, J. D., and
Webber, J. C., J. Vac. Sci. Tech., 15:281 (1978)
48. Boxman, R. L. and Goldsmith, S., Surf. Coat. Tech., 33:153 (1987)
49. Melngailis, J., J. Vac. Sci. Tech., B5:469 (1987)
50. Chapman, B., Glow Discharge Processes, J. Wiley (1980)
51. Thornton, J. A., Thin Solid Films, 107:3 (1983)
52. Mattox, D. M., Plasma-Surface Interactions and Processing of Mate-
rials, (O. Auciello, A. Gras-Marti, J. A. Valles-Abarca, and D. Flamm,
eds.), 176:377, NATO ASI Series, Kluwer Academic Publishers (1988)
53. Mattox, D. M., Plasma-surface Engineering, (E. Broszeit, W. D. Munz,
H. Oechsner, K.-T. Rie, and G. K. Wolf, eds.), 1:15,
Informationsgesellschaft, Verlag (1988)
54. Gras-Marti, A., Abril, I. and Valles-Abarca, J. A., Thin Solid Films,
124:59 (1985)
55. Davis, W. D. and Vanderslice, J. A., Phys. Rev., 131:219 (1963)
56. Savlnier, J. P., Fzguerra, J., and Guille, J., Vacuum, 33:279 (983)
57. Somekh, R. R., J. Vac. Sci. Tech., A2:1285 (1984)
58. Bessaudou, A. and Weissmantel, C.,Thin Solid Films, 149:225 (1987)
59. Logan, J. S., Mazza, N. M., and Davidse, P. D., J. Vac. Sci. Tech.,
6:120 (1969)
60. Yatsuzuka, M., Morishita, K., Satoh, K., and Nobuhara, S., Jpn. J.
Appl. Phys., 24:1724 (1985)
61. Kohler, K., Coburn, J. W., Horne, D. E., Kay, E., and Keller, J. H., J.
Appl. Phys., 57:59 (1985)
62. Thompson, B. E., Allen, K. D., Richards, A. D., and Sawin, H. H., J.
Appl. Phys., 59:1890 (1986)
63. Coburn, J. W., Ann. Rev. Mat. Sci., 13:91 (1983)
64. Kaufman, H. R. and Rossnagel, S. M., J. Vac. Sci. Tech., A6:2572
(1988)
65. Kushner, M. J., J. Appl. Phys., 58:4024 (1985)
394 Deposition Technologies for Films and Coatings

66. Horwitz, C. M., J. Vac. Sci. Tech., A1:1795 (1983)


67. Lamont, L. T., Jr., and Turner, F. T., J. Vac. Sci. Tech., 11:47 (1974)
68. de Vries, C. A. M. and van den Hoek, W. G. M., J. Appl. Phys., 58:2074
(1985)
69. Suzuki, M. K., Ninomiya, K., Nishimatsu, S., Thoman, J. W., Jr., and
Steinfeld, J. I., Jpn. J. Appl. Phys., 25:1569 (1986)
70. Hull, US Patent # 4,431,901 (Feb. 14, 1984)
71. Vella, M. C., Ehlers, K. W., Kippenhan, D., Pincosy, P. A., Pyle, R. V.,
DiVergilioi, W. F., and Fosnight, V. V., J. Vac. Sci. Tech., A3:1218
(1985)
72. Kashiwagi, K., Kobayashi, K., Masuyama, A., and Murayama, Y., J.
Vac. Sci. Tech., A4:210 (1986)
73. Dahimene, M. and Asmussen, J., J. Vac. Sci. Tech., B4:126 (1986)
74. Kaufman, H. R. and Robinson, R. S.,J. Vac. Sci. Tech., A3:1774 (1985)
75. Goebel, D. M., Hirooka, Y. and Sketchy, T. A., Rev. Sci. Instrum.,
56:1717 (1985)
76. Kaufmann, H., US Patent # 4,346,123 (Aug 24, 1982)
77. Morley, J. R. and Smith, H. R., J. Vac. Sci. Tech., 9:1377 (1972)
78. Schalansky, C., Munir, Z. A. and Walmsley, D. L., J. Mat. Sci., 22:745
(1987)
79. Larson, D. T. and Draper, H. L., Thin Solid Films, 107:327 (1983)
80. Mah, G., Mcleod, P. S. and Williams, D. G., J. Vac. Sci. Tech., 11:663
(1974)
81. Komiya, S. and Tsuruoka, K., J. Vac. Sci. Tech., 12:589 (1975)
82. Murayama, Y. and Takao, T., Thin Solid Films, 40:309 (1977)
83. Kaufman, H. R. and Robinson, R. S.,J. Vac. Sci. Tech., A3:1774 (1985)
84. Kuo, Y. S., Bunshah, R. F., and Okrent, D., J. Vac. Sci. Tech., A4:397
(1986)
85. Thornton, J. A., Surf Eng, 2:283 (1986)
86. Brown, I. G., Feinberg, B., and Galvin, J. E., J. Appl. Phys., 63:4889
(1988)
87. Dorodnov, A. M., Sov. Phys. Tech. Phys., 23:1058 (1978)
88. Zanner, F. J., and Bertram, L. A., Proc. 8th Int'l. Conf. on Vacuum
Metallurgy,1:512 (1985)
Ion Plating 395

89. Zanner, F. J. and Bertram, L. A., IEEE Trans. on Plasma Science, Vol
PS-11:223 (1983)
90. Boelens, S. and Veltrop, H., Surf. Coat. Tech., 33:63 (1987)
91. McDaniel, E. W.,Collision Phenomena in Ionized Gases, Wiley (1964)
92. Hollahan, J. R. and Bell, A. T.,Techniques and Applications of Plasma
Chemistry, J. Wiley (1972)
93. McTaggert, F. K.,Plasma Chemistry in Electrical Discharges, Elsevier
(1967)
94. Kushner, M. J., J. Appl. Phys., 53:2923 (1982)
95. Veprek, S., Thin Solid Films, 130:135 (1985)
96. Thornton, J. A., J. Vac. Sci. Tech., 15:188 (1978)
97. Coburn, J. W. and Chen, M., J. Appl. Phys., 51:3134 (1980)
98. Dreyfus, R. W., Jasinski, J. M., Walkup, R. E., and Selwyn, G. S., Pure
Appl. Chem., 57:1265 (1985)
99. Wormhoudt, J., Stanton, A. C., Richards, A. D., and Sawin, H. H., J.
Appl. Phys., 61:142 (1987)
100. Murakami, A. T., Namura, T., and Itatani, R.,Jpn. J. Appl. Phys., 24:512
(1985)
101. Plas, F., Guille, J., and Machet, J., Vide, Suppl., 196:45 (1979)
102. Hoffman, D. W. and Badgley, J. S.,J. Vac. Sci. Tech., A6:1691 (1988);
Theoretical treatment to be published - private communication with D.
W. Hoffman
103. Hoffman, D. W. and Thornton, J. A., J. Vac. Sci. Tech., 20:355 (1982)
104. Hoffman, D. W. and Thornton, J. A., J. Vac. Sci. Tech., 16:134 (1979)
105. Thornton, J. A. and Hoffman, D. W., J. Vac. Sci. Tech., A3:576 (1985)
106. Mathews, A. and Gethin, D. T., Thin Solid Films, 117:261 (1987)
107. Roth, J., Sputtering by Particle Bombardment ll, (R. Behrisch, ed.), p.
91, Springer-Verlag (1983)
108. Itoh, N. and Hasebe, Y., J. Nucl. Mat., 139:77 (1986)
109. Winters, H. F., Coburn, J. W., and Chuang, T. J., J. Vac. Sci. Tech.,
B1:469 (1983)
110. Topics in Applied Physics, Vol. 47 (1981); Vol. 52, (R. Behrisch, ed.),
Springer-Verlag (1983)
111. Szymonsaki, M., Huang, W., and Onsgaard, J., Nucl. Instrum. Met.
Phys. Res., B14:263 (1986)
396 Deposition Technologies for Films and Coatings

112. Matsuda, Y., Yamamura, Y., Ueda, Y., Uchino, K., Muraoka, K.,
Maeda, M., and Akazaki, M., Jpn. J. Appl. Phys., 25:8 (1986)
113. Wehner, G. K., J. Vac. Sci. Tech., A1:487 (1983)
114. Betz, G., Surf. Sci., 92:283 (1980)
115. Baritzky, B. and Taglauer, E., Surf. Sci., 162:996 (1985)
116. Cuomo, J. J. and Gambino, R. J., J. Vac. Sci. Tech., 12:79 (1975)
117. Mundy, J. N. and Rothman, S. J., J. Vac. Sci. Tech., A1:74 (1983)
118. Navinsek, G., Prog. Surf Sci., 7:49 (1976)
119. Wehner, G. K., J. Vac. Sci. Tech., A3:1821 (1985)
120. Panitz, J. K. and Sharp, D. J., J. Vac. Sci. Tech., 17:282 (1980)
121. Berg, R. S. and Kominiak, G. J., J. Vac. Sci. Tech., 13:403 (1976)
122. Kowalski, Z. W., J. Mat. Sci., 20:15211 (985 )
123. Winters, H. F. and Sigmund, P. S., J. Appl. Phys., 45:4760 (1974)
124. Kominiak, G. J. and Mattox, D. M., Thin Solid Films, 40:141 (1977)
125. Jacobs, M. H., in Surface Modification and Coatings, (R. D. Sisson, Jr.
ed.), p. 291, ASM Conference Proceedings (1986)
126. Geis, M. W., Lincoln, G. A., Efremow, N., and Piacentini, W. J., J. Vac.
Sci. Tech., 19:1390 (1981)
127. Tsou, L. Y., J. Electrochem. Soc., 132:2010 (1985)
128. Lincoln, G. A., Geis, M. W., Pang, S., and Efremow, N., J. Vac. Sci.
Tech., B1:1043 (1983)
129. Winters, H. F., Nucl. Instrum. Met. Phys. Res., B27:243 (1987)
130. Harper, J. M. E., Cuomo, J. J., and Henzell, H. T. G., Appl. Phys. Lett.,
36:456 (1980); also Appl. Phys. Lett., 37:540 (1980)
131. Ashok, S., Krautle, H., Beneking, H., and Mogro-Campero, A., Thin
Solid Films, 126:251 (1985)
132. Winters, H. F., J. Appl. Phys., 38:3928 (1967)
133. Kornelsen, E. V., Rad. Effects, 13:227 (1972)
134. Kornelsen, E. V. and Van Gorkum, A. A., Rad. Effects, 42:93, 112
(1979)
135. Mattox, D. M. and Kominiak, G. J., J. Vac. Sci. Tech., 11:52 (1974)
136. Mattox, D. M. and Kominiak, G. J., J. Vac. Sci. Tech., 8:194 (1971)
137. Cuomo, J. J. and Gambino, R. J., J. Vac. Sci. Tech., 14:152 (1977)
138. Chleck, D., Maehl, R., Cucchiara, O. and Carnevale, E., Int. J. Appl.
Radiation and Isotopes, 14:581 (1963)
Ion Plating 397

139. Cheleck, D. and Maehl, R.,Int. J. Appl. Radiation and Isotopes, 14:593
(1963)
140. Chleck, D. and Cucchiara, O., Int. J. Appl. Radiation and Isotopes,
14:599 (1963)
141. Carden, J. E., Isotopes and Radiation Tech., 3(3):206 (1964)
142. Carden, J. E., Isotopes and Radiation Tech., 3(4):318 (1964)
143. Sharp, D. J. and Panitz, J. K., Surf. Sci., 118:429 (1982)
144. Corbett, J. W., Surf. Sci., 90:205 (1979)
145. Miyoshi, K., Spalvins, T., and Buckley, D. H.,Thin Solid Films, 127:115
(1985)
146. Mah, G., Mcleod, P. S., and Williams, D. G., J. Vac. Sci. Tech., 11:663
(1974)
147. Gibson, V. J. and Kennemore, C. M., III,Thin Solid Films, 124:27 (1985)
148. Satou, M., Andoh, Y., Ogata, K., Suzuki, Y., Matsuda, K., and
Fujimoto, F., Jpn. J. Appl. Phys., 24:656 (1985)
149. Jacobs, M. H., Surf. Coat. Tech., 29:221 (1986)
150. Cuomo, J. J., Ion Plating and Implantation: Applications to Materials,
(R. F. Hochman, ed.) ASM Conference Proceedings (1986)
151. Kimblin, C. W., J. Appl. Phys., 45:5235 (1974)
152. Daalder, J. E., J. Phys. D, Appl. Phys., 9:2379 (1976)
153. Daalder, J. E., Physica., 104:91 (1981)
154. Zanner, F. J. and Bertram, L. A., IEEE Trans. on Plasma Sci., Vol. PS-
11:223 (1983)
155. Mori, T. and Namba, Y., J. Vac. Sci. Tech., A1:23 (1983)
156. Bubenzer, A., Dischler, B., and Nyaiesh, A., Thin Solid Films, 91:81
(1982)
157. Weissmantel, C., Thin Films from Free Atoms and Particles, (K. J.
Klabunde, ed.) p. 153, Academic Press (1985)
158. Shanfield, S. and Wolfson, R., J. Vac. Sci. Tech., A1:323 (1983)
159. Harper, J. M. E., Cuomo, J. J., and Henzell, H. T. G., J. Appl. Phys.,
58:550 (1985)
160. Mattox, D. M., J. Vac. Sci. Tech., A7(3):1105 (1989)
161. Shawki, G. S. A., El-Sherbiny, M. G., and Salem, F. B., Thin Solid
Films, 75:29 (1981)
398 Deposition Technologies for Films and Coatings

162. Hibbs, M. K., Johansson, B. O., Sundgren, J. E., and Helmersson, U.,
Thin Solid Films, 122:115 (1984)
163. Miranda, R. and Rojo, J. M., Vacuum, 34:1069 (1984)
164. Rossnagel, S. M., Surf. Sci., 123:89 (1982)
165. Chopra, K. L., J. Appl. Phys., 37:2249 (1969)
166. Bovey, P. E., Vacuum, 19:497 (1969)
167. Mattox, D. M., J. Appl. Phys., 37:3613 (1966)
168. Muller, K. H., J. Vac. Sci. Tech., A4:184 (1986)
169. Narusawa, T., Shimizu, S., and Komiya, S.,J. Vac. Sci. Tech., 16:366
(1979)
170. Harper, J. M. E., Cuomo, J. J., Gambino, R. J., and Kaufman, H. R.,Ion
Bombardment Modification of Surfaces Fundamentals and Applica-
tions, (O. Aucello and R. Kelly, eds.), Ch. 4, Elsevier (1984)
171. Mattox, D. M. and Cuthrell, R. E., MRS Symposium Proceedings,
Adhesion in Solids, (D. M. Mattox, J. E. E. Baglin, R. E. Gottschall, and
C. D. Batich, eds.), 119:141 (1988)
172. Oh, R. S., Cannon, R. M., and Richie, R. O., J. Cer. Soc., 70:C352
(1987)
173. Thornton, J. A., Thin Solid Films, 40:335 (1977)
174. Thornton, J. A., Ann. Rev. Mat. Sci., 7:239 (1977)
175. Thornton, J. A., J. Vac. Sci. Tech., A4:3059 (1986)
176. Messier, R., Giri, A. P., and Roy, R. A., J. Vac. Sci. Tech., A2:500
(1984)
177. Meissier, R. and Yehoda, J. E., J. Appl. Phys., 58:3739 (1985)
178. Patten, J. W., Thin Solid Films, 63:1211(979 )
179. Panitz, J. K. G., Draper, B. L., and Curlee, R. M., Thin Solid Films,
166:45, (1988)
180. Klokholm, E. and Berry, B. S., J. Electrochem. Soc., 115:823 (1968)
181. Blachman, A. G.. J. Vac. Sci. Tech., 10:299 (1973)
182. Thornton, J. A., Tabcock, J., and Hoffman, D. W., Thin Solid Films,
64:111 (1979)
183. Thornton, J. A. and Hoffman, D. W., J. Vac. Sci. Tech., 18:203 (1981)
184. Thornton, J. A. and Hoffman, D. W., J. Vac. Sci. Tech., A3:576 (1985)
185. Brighton, D. R. and Hubler, G. K., Nucl. Instrum. Method Phys. Res.,
B28:527 (1987)
Ion Plating 399

186. Patten, J. W., McClanahan, E. D. and Johnston, J. W., J. Appl. Phys.,


42:4371 (1971)
187. Yost, F. G., Romig, A. D., Jr., and Bourcier, R. J., Sandia Laboratories
Report SAND88-0946 available from NTIS
188. Dobrev, D., Thin Solid Films, 92:41 (1982)
189. Kay, E., Parmigiani, F., and Parrish, W., J. Vac. Sci. Tech., A5:44
(1987)
190. Weaver, H. T., J. Appl. Phys., 42:2356 (1971)
191. Fancey, K. S. and Beynon, J., Vacuum, 34:591 (1984)
192. Fancey, K. S. and Mathews, A., Surf. Coating Tech., 36:233, (1988)
193. Chester, A. N., Phys. Rev., 169:172 (1968)
194. Hoffman, D. W., J. Vac. Sci. Tech., A3:561 (1985)
195. Rossnagel, S. M., J. Vac. Sci. Tech., A6:19 (1988)
196. Homma, Y. and Tsunekawa, S.,J. Electrochem. Soc., 132:1466 (1985)
197. Skelly, D. W. and Gruenke, L. A., J. Vac. Sci. Tech., A4:457 (1986)
198. Bader, H. P. and Lardon, M. A., J. Vac. Sci. Tech., A3:2167 (1985)
199. Conrad, J. R., Radtke, J. L., Dodd, R. A., Worzala, F. J., and Tran, N.
C., J. Appl. Phys., 62:4591 (1987)
200. Mattox, D. M. and Rebarchik, F. N., J. Electrochem. Tech., 6:3741
(1968)
201. Ricard, A., Michel, H., Jauquot, P., and Gantois, M., Thin Solid Films,
124:167 (1985)
202. Korotchenko, V. and Matthews, A., Vacuum, 36:61 (1986)
7

Chemical Vapor Deposition

Jan-Otto Carlsson

1.0 INTRODUCTION

Chemical vapor deposition (CVD) is a process whereby a solid material


is deposited from a vapor by a chemical reaction occurring on or in the vicinity
of a normally heated substrate surface. The solid material is obtained as a
coating, a powder, or as single crystals. By varying the experimental
conditions—substrate material, substrate temperature, composition of the
reaction gas mixture, total pressure gas flows, etc.—materials with different
properties can be grown.
A characteristic feature of the CVD technique is its excellent throwing
power, enabling the production of coatings of uniform thickness and properties
with a low porosity even on substrates of complicated shape. Another
characteristic feature is the possibility of localized, orselectivedeposition, on
patterned substrates. CVD is employed in many thin film applications. It is,
for instance, used in the microelectronics industry to make films serving as
dielectrics, conductors, passivation layers, oxidation barriers, and epitaxial
layers. The production of optical fibers as well as wear-, corrosion-, and heat-
resistant coatings with this technique is well known. Other CVD applications
are the preparation of high temperature materials (tungsten, ceramics, etc.)
and the production of solar cells, of high temperature fiber composites, and of
particles of well-defined sizes. Recently, high-Tc superconductors have also
been made by this technique. Since oxygen activity in the vapor can be
precisely controlled during the deposition, no annealing in oxygen is needed
to achieve superconductivity.

400
Chemical Vapor Deposition 401

There exist several types of CVD processes. In thermally activated CVD


(TACVD), the deposition is initiated and maintained by heat. However,
photons, electrons, and ions, as well as a combination of these (plasma-
activated CVD), may induce and maintain CVD reactions. In this chapter, the
underlying principles of TACVD are introduced. In addition to large-area
deposition, selective CVD on patterned substrates is discussed.

2.0 IMPORTANT REACTION ZONES IN CVD

In CVD, gaseous reactants are admitted into a reactor (see Fig. 7.1).
Near or on a heated substrate surface, a chemical reaction of the following type
occurs:

Gaseous reactants → Solid material + Gaseous products

Because of the gas flows as well as the temperature used in CVD, five
important reaction zones are developed during the CVD process (see Fig. 7.2).
The properties of CVD materials are affected by the interacting processes
occurring in these reaction zones. In a CVD process, a main gas flow (the
reaction gas mixture) passes over the substrate/coating surface. For fluid
dynamical reasons, a more or less stagnant boundary layer occurs in the vapor
adjacent to the substrate/coating. During the deposition process, the
gaseous reactants and the gaseous reaction products are transported across
this boundary layer. In reaction zone 1 (see Fig. 7.2) as well as in the main
gas stream, homogeneous reactions in the vapor may occur. These reactions
may lead to an undesirable homogeneous nucleation resulting in a flaky and
non-adherent coating. In some cases however, these reactions, when not
accompanied by homogeneous nucleation, are favorable to the CVD process
(for instance CVD of Al2 O3,[1] of B13C2,[2] and of Si,[3] respectively). The
heterogeneous reactions occur in the phase boundary vapor/coating (zone 2).
These reactions determine, in many systems, the deposition rate and the
properties of the coating.
Relatively high temperatures may be used during CVD. This means that
various solid state reactions (phase transformations, precipitation, recrystal-
lization, grain growth, for example) may occur during the process (the zones
3 - 5). In zone 4, which is a diffusion zone, various intermediate phases may
be formed. The reactions in this zone are important for the adhesion of the
coating to the substrate.
402 Deposition Technologies for Films and Coatings

Figure 7.1. The principle of CVD.

Figure 7.2. Important reaction zones in CVD.

3.0 DESIGN OF CVD EXPERIMENTS

Every CVD experiment is unique. However, some general aspects in


designing CVD experiments can be given. The design is usually an iterative
procedure. For instance, the choice of the reaction gas mixture affects the
design of the CVD system, the cleaning procedure, the adhesion of the
coating, etc.
Chemical Vapor Deposition 403

3.1 Classification of CVD Reactions

CVD processes frequently proceed by complicated chemical reaction


schemes. However, use of overall CVD reactions enables a classification to
be made.
Thermal decomposition reactions or pyrolytic reactions mean, in this
case, that a gaseous compound AX is thermally dissociated into A (a solid
material) and X (a gaseous reaction product).

AX(g) → A(s) + X(g)

Use of thermal decomposition reactions normally results in relatively


pure coatings. Examples of some thermal decomposition reactions are given
below:

SiH4(g) → Si(s) + 2 H2(g)

B2 H6(g) → B(s) + 3 H2(g)

Ni(CO)4 (g) → Ni(s) + 4 CO(g)

Si(CH3 )Cl3(g) → SiC(s) + 3 HCl(g)

Processes like carburizing and nitriding may also be classified in this


category of reaction. In carburizing, for instance, a carbon-carrying vapor
species, e.g., methane, is allowed to react at/on a heated surface. Methane
then decomposes in principle according to

CH4(g) → C(s) + 2 H2(g)

The deposited carbon reacts immediately with the substrate yielding a


solid solution of carbon in the substrate and/or—if they exist—carbides of the
substrate material.
Reduction reactions, where hydrogen acts a reducing agent, are
frequently used (see alsoCoupled reactions below).

2 AX(g) + H2(g) → 2 A(s) + 2 HX(g)

Straightforward reduction reactions have been almost exclusively used


in the CVD of elements.
404 Deposition Technologies for Films and Coatings

WF6(g) + 3 H2 (g) → W(s) + 6 HF(g)

2 BCl3(g) + 3 H2(g) → 2 B(s) + 6 HCl(g)

SiCl4 (g) + 2 H2(g) → Si(s) + 4 HCl(g)

Exchange reactions mean that an element E replaces another element,


for instance X, in the molecule AX according to

AX(g) + E(g) → AE(s) + X(g)

Examples of exchange reactions are:

Zn(g) + H2S(g) → ZnS(s) + H2(g)

SiCl4 (g) + CH4(g) → SiC(s) + 4 HCl(g)

SnCl4(g) + O 2(g) → SnO2(g) + 2 Cl2(g)

Disproportionation reactions are rarely used in CVD. Disproportionation


means a reaction where the oxidation number of an element both increases
and decreases through the formation of two new species. CVD of A from AX
can be obtained in disproportionations like

2 AX(g) → A(s) + AX2(g)

3 AX(g) → 2 A(s) + AX 3(g)

4 AX(g) → 3 A(s) + AX 4(g)

Examples of disproportionation reactions are

2 GeI2 (g) → Ge(s) + GeI4(g)

2 TiCl2 (g) → Ti(s) + TiCl4(g)

2 SiI2 (g) → Si(s) + SiI4(g)

Coupled reactions are often used in CVD. For instance, CVD of Al2O3
from AlCl3, CO2 and H2 can be described in an overall reaction:
Chemical Vapor Deposition 405

2 AlCl3(g) + 3 CO2(g) + 3 H2(g) → Al2O3(s) + 3 CO(g) + 6 HCl(g)

where the reaction in which water is formed

CO2(g) + H2 (g) → CO(g) + H2O(g)

is coupled to the hydrolysis reaction

AlCl3(g) + 3 H2 O(g) → Al2O3(s) + 6 HCl(g)

i.e., a reduction reaction is coupled to an exchange reaction (for example, see


Ref. 7.1). Other examples of overall coupled CVD reactions are

TiCl4 (g) + NH3(g) + ½ H2 (g) → TiN(s) + 4 HCl(g)

Ga(CH3 )3 (g) + xPH3 (g) + (l-x)AsH3(g) → GaAs1-xP x(s) + 3 CH4(g)

In general, several possibilities of preparing a substance by CVD exist.


For practical reasons however, relatively few alternatives will remain after a
critical evaluation of the requirements of the process (temperature, total
pressure, compatibility with the substrate and the reactor, the reactions gas
mixture, costs, toxicity of the substances, etc).

3.2 Thermodynamics

Thermodynamic calculations are a useful tool when choosing the


experimental conditions (temperature, total pressure, reaction gas composi-
tion) for the deposition of a certain substance, and also serve as a guide when
changing the experimental conditions in a CVD process. For the calculations,
different computer programs are in use and there are now practically no
limitations in the number of substances that can be included in the calcula-
tions. For reviews of computational methods, the reader is referred to Refs.
7.4 - 7.7.
Usually the computer programs are based on the so-calledfree energy
minimization technique. The free energy G is given by the following equation:

G = ∑ n iµ i
i
406 Deposition Technologies for Films and Coatings

where ni is the number of moles of a substance i and µi is the chemical


potential of the substance. The chemical potential is defined as

µi = µi0 + RTlnai

where µi is the reference chemical potential, and ai is the activity. Assuming


ideal gas conditions, the activity of the gaseous species may be expressed
as its partial pressure

ai = pi = (ni /n)P

where n is the number of moles in the gas phase, and P the total pressure.
For pure condensed substances, the activities are equal to unity.
Eriksson[8] developed a computer program (SOLGAS) based on the
minimization of the free energy. This program became a prototype for many
other equilibrium calculation programs. From the basic equations given
above, dimensionless quantity G/RT was defined (see eq. below) and used
in the calculations.
g s
m  0
g µ n ig n  0
s µ
∑ ∑
G
= ni   + ln P + ln + ni  
RT i =1  RT  i n i =0  RT  i

The superscripts g and s refer to the gas phase and the solid phase, respectively.
The value of µ0/RT for a specific substance is calculated from

µ0
=
(
G 0 − H 298
0
+
)
∆H f0,298
RT RT RT

where: (Go - Ho)/RT = free energy function


∆H0f,298 = heat of formation at 298.15 K.

By minimizing the quantity G/RT (or G) and using mass balance equations
as subsidiary conditions, the equilibrium composition of a system can be
calculated.
The input data in the calculations are the number of moles of the
different reactants, the total pressure, the substrate temperature, the different
substances, and their thermochemical data. From the calculations, various
quantities like the partial pressures of the vapor species, the amounts of the
different substances available for CVD, i.e., the yield, thermodynamic
functions (supersaturation, reaction enthalpies, driving force of different
processes, etc.), are obtained.
Chemical Vapor Deposition 407

Figures 7.3 - 7.5 illustrates results from equilibrium calculations. Figure


7.3 shows the change in equilibrium composition when SiH4 is added to an H2/
WF6 gas mixture. For an overview of the experimental conditions for
depositing a certain substance, CVD phase diagrams are constructed.[10]
Figs. 7.4 and 7.5 are examples of calculated CVD phase diagrams. The
number of variables required to construct a complete CVD phase diagram is
given by the phase rule. Normally various sections (constant temperature,
constant total pressure, constant molar ratio between two of the reactants,
while varying the number of moles of a third reactant) are used. Finally, for
more theoretical work,predominance diagrams with element chemical poten-
tials as variables are employed. In these diagrams, the phase stability ranges
are limited by straight lines.

Figure 7.3. Partial pressures of vapor species in the homogeneous reaction


between H 2, WF6 and SiH4. Total pressure 0.1 Torr, temperature 300°C, H2/WF6
= 39.[9]
408 Deposition Technologies for Films and Coatings

Figure 7.4. Calculated CVD phase diagram for the W - Si system. Reactants WF6,
SiH4, and H2, total pressure 0.1 Torr, H2/WF6 = 39.[9]

Figure 7.5. Calculated diagram for deposition of YBa2Cu3O7-x. The grey-shaded


stability regions contain the superconducting phase. The contour lines represent
the yield of YBa2Cu3O7-x. Precursors YCl3, BaI 2, and CuCl, O2, and H2O, molar ratios
YCl3:BaI2:CuCl = 1:2:3, O2:H2O = 1:1, total pressure 1 kPa.[11]
Chemical Vapor Deposition 409

The reliability of the equilibrium computations described above is


dependent on the availability and accuracy of thermochemical data as well as
the identification of all the substances—vapor species and condensed
phases—that are of importance in the system. Examples of sources of
thermochemical data are given in Refs. 12 - 14. In cases were data do not exist
or the data are unsatisfactory or unreliable, estimation procedures can be used
(see, for instance, Ref. 12). Finally, a few references illustrating the use of
thermodynamic computations in CVD have been selected.[15]-[24]

3.3 Adhesion

Production of well-adhering coatings with desired properties is the


ultimate aim of all CVD work. There are, however, several factors which reduce
the adhesion between the coating and the substrate.
Stresses introduced as deposition stresses or originating from a
mismatch in the thermal expansion coefficients between the substrate and the
coating when cooling down after the deposition process. These stresses can
be reduced by depositing a substance prior to the final CVD process. The
predeposited substance forms an intermediate layer. The stresses can also
be reduced by decreasing the thickness of the coating as well as by changing
the grain size and morphology of the coating.
Homogeneous nucleation in the vapor produces a flaky/powdery de-
posit. By reducing the degree of supersaturation or the driving force of the
process, the homogeneous nucleation can be eliminated.
Intermetallic compounds formed in the coating/substrate interface may be
brittle, leading to the initiation of cracks there. The risk of crack initiation
increases with increasing thickness of the layer containing the intermetallic
compounds. The technique of predeposition of a substance—forming an
intermediate layer later—may be usable to improve the adhesion in this case.
Hydriding of the substrate may cause bad adhesion. Hydrogen is
frequently used in the cleaning procedure prior to the deposition stage. Some
metals/alloys can dissolve a considerable amount of hydrogen. If the
deposition process is then run at a temperature where the hydrogen is
liberated, cracking of the coating occurs. Hydriding can be eliminated by using
another cleaning procedure or heating the substrate in vacuum or an inert gas
after cleaning in hydrogen.
Pores in the coating/substrate interface reduce the adhesion not only
because of the fewer bonds in the interface but also because the pores act as
crack initiators. The pores can originate from the coalescence step at the
410 Deposition Technologies for Films and Coatings

beginning of the process as well as from Kirkendal diffusion (differences in the


diffusion fluxes of the atoms over the coating/substrate interface).
Oxide films or other surface contaminants reduce the adhesion as a
rule. A proper cleaning procedure usually can solve this problem.
Chemical attack on the substrate by the reaction products formed
during the CVD process may cause bad adhesion. The chemical attack on
the substrate can occur as long as the substrate is exposed to the vapor and
is described in the following reactions:

2 AX(g) + H2 (g) → 2 A(s) + 2 HX(g)

The volatile reaction product HX formed reacts with the substrate S according
to the reaction

2 S(s) + 2 HX(g) → 2 SX(s) + H2(g)

The solid substance SX formed may result in poor adhesion. The reaction
above can be predicted from thermodyamics.

3.4 Substrate Cleaning Procedures

A clean substrate surface free from oxides and other contaminants is


a prerequisite condition for good adhesion. The cleaning procedure depends
on the substrate used, the material to be deposited, the CVD equipment
available, etc. Examples of some cleaning techniques are given below.
Before the substrates are placed in the reactor, pickling, grit blasting,
etching, degreasing, etc., are carried out. In the CVD reactor surfaces
containing hydrogen-reducible oxides, e.g., tungsten oxides, are heated in a
hydrogen gas flow at temperatures above the deposition temperature. Metals
forming volatile oxides are cleaned by heating in an inert atmosphere. Finally,
the heating operations in the cleaning step remove dust particles from the
surface, in some cases by the formation of carbides in the surface. After the
cleaning procedure the reactor is purged with an inert gas/hydrogen before the
deposition process (interlayers or final coating).

3.5 The CVD system

The choice of the CVD system is affected by a number of factors: the


reactants used in the process, the maximum acceptable leak rate for air into
the system, purity of the deposit, size and shape of the substrate, process
Chemical Vapor Deposition 411

economy, etc. In the following, some general comments on the design of CVD
systems are given.
A CVD system is advantageously constructed in three modules:
1. The reaction gas dispensing system.
2. The reactor, including components for defining the gas flows.
3. The exhaust system containing a total pressure controller,
vacuum pump, scrubber and/or reactant recycle system.

3.6 The Gas Dispensing System

Reactants, which are gases at room temperature, are stored in gas


bottles. After pressure regulation, their flows are measured with, for instance,
mass flow meters. Use of mass flow meters yields high accuracy and allows
microprocessor control of gas flows.
Those reactants that are liquids or solids at room temperature have to
be fed to the system in other ways (see Fig. 7.6). They can be admitted to
the system by simply heating them above the boiling or sublimation point. The
evaporation rate can be varied by varying the source temperature and/or the
dimensions of the capillary from the sources. Another way of introducing these
substances is to use an evaporator or sublimator and a carrier gas. When the
evaporator is used, the carrier gas is bubbled through the liquid to be
evaporated or flowed above its surface. The carrier gas picks up the liquid
substance and transports it into the reactor. The evaporation rate depends on
the temperature of the liquid, the liquid level in the container, and the flow rate
of the carrier gas. For the highest reproducibility it is important to have a
constant level of the liquid in the container. However, some alternatives to
these evaporators exist which use carrier gases and are independent of the
liquid level. In one alternative, the liquid is evaporated from a vessel, cooled
and condensed in a cooler, leaving the carrier gas saturated at the temperature
of the cooler. If two or more reactant liquids have to be used in the process,
it is seldom possible to vaporize them in the same evaporator while maintaining
the predetermined molar ratio since they normally have different vapor
pressures.
The principle of the sublimator is similar to that of the evaporator. In a
sublimator the substance is transferred to the vapor by sublimation (solid→
gas) and then transported to the reactor by the carrier gas.
412 Deposition Technologies for Films and Coatings

Figure 7.6. Sketch of a CVD system.

Non-gaseous reactants at room temperature can also be admitted into


the reactor by generating them in situ in the gas dispensing system. If, for
instance, the halide AlCl3 is to be used in a process, the generator is filled with
aluminium sponge. Aluminium chlorides are then obtained by leading
hydrogen chloride through the generator. Generator variables are tempera-
ture, flow rate, and concentration of the hydrogen chloride (varied by dilution
with an inert gas).
Chemical Vapor Deposition 413

Direct metering of liquids/solids followed by immediate vaporization in


a vessel can also be used. For metering of liquids, flow meters and various
dispensing pumps are available. The final vaporization takes place in, for
instance, a flash vaporizer[25]—a vessel containing pieces of porcelain of high
temperature.
Many CVD processes are strongly affected by contaminants in the
vapor. The contaminants originate from the reactants themselves and from
various chemical reactions between the gases and the materials in the gas
dispensing system (in the tubes, evaporators, sublimators) and from air
leakage. The contamination level can be reduced by:
! Purifying the reactants. Hydrogen and argon can be purified
to a level of 1 ppm in commercially available purifiers
! Having a low leak rate
! Using carrier gases which are non-reactive against the
materials to be vaporized (in evaporators and sublimators)
! Using materials in the tubes, vaporizers, reactors, etc.,
which are compatible with the gases used
! Using degassed O-rings, where they are used for vacuum
seals
! Installing purge line, which is important when reactive gases,
e.g., halides, are used
Finally, in CVD, explosive, flammable and toxic gases (hydrogen,
silane, phosphine, arsine) are frequently employed. Correct handling of the
gases is, therefore, necessary. Every precaution should be taken. Effective
ventilation systems and gas detectors (commercially available) should be
used.

3.7 The Reactor

The process selected and the size, shape, and number of substrates
define the type of reactor and its geometry. Two main reactor types can be
distinguished:
1. In the hot wall reactor (see Fig. 7.7), the reactor tube is
surrounded by a tube furnace. This means that the
substrates and the wall of the reactor have the same
temperature. In addition to the film growth occurring on the
substrates, film growth might thus take place on theinside of
the reactor walls. With thicker films on the reactor walls,
414 Deposition Technologies for Films and Coatings

there is a risk that particles will break loose from


reactor walls, fall down on the surface of the growing film,
and introduce pinholes in it. There might also be a source
of contamination in this reactor type because of the reaction
between the material of the reactor wall and the vapor. In the
hot wall reactor, homogeneous reactions, affecting the
deposition reactions and hence the structure of the films,
may take place in the vapor. There is a successive depletion
with respect to the reactants as they are transported through
the reactor. Such a depletion may yield different deposition
conditions within the reactor. Finally, in a hot wall reactor,
many substrates can be deposited simultaneously.

Figure 7.7. A hot wall CVD reactor.

2. In the cold wall reactor (Fig. 7.8), the walls of the reactor are
cold and usually no depositionoccurs on the walls, eliminating
the risk of particles breaking loose from the walls. Furthermore,
a low wall-temperature reduces the risk of contaminating
vapor/wall reactions. In the cold wall reactor, the homogenous
reactions in the vapor are suppressed and the importance of
the surface reactions is increased. The steep temperature
gradients near the substrate surface may introduce severe
natural convection resulting in a non-uniform film thickness
and microstructure. However, with the higher flexibility of the
cold wall reactor, high cleanliness, high deposition rates
(yielding high wafer throughput), high cooling rates combined
with the needs of thickness uniformity, automatic wafer
handling and use of increasing wafer diameter, there is
tendency to more frequently use cold wall reactors in the
microelectronics.
Chemical Vapor Deposition 415

Figure 7.8. A cold wall CVD reactor.

Various techniques of heating the substrates exist.[26] Conductive


substrates can be heated resistively or by radio frequency induction. Non-
conductive substrates are normally heated by applying optical techniques
(tungsten filament lamps, lasers), thermal radiation techniques, or by using
susceptors and radio frequency induction heating. Examples of some
reactors are shown in Fig. 7.9. Finally for coating a large number of small
pieces, fluidized bed techniques can be applied.[25]
To illustrate how the choice of reactor is dependent on the substrate to
be coated, an example of applying a coating inside a tube is given. In this case
the tube itself is the reactor. The reactants are introduced in the tube and
transported to the heated zone where the deposition occurs. Induction heating
as well as tubular furnace heating can be employed. By moving the tube or
the heating sources continuously, a coating of uniform thickness can be
produced (see, for instance, Ref. 27).
The arrangement of the gas flows as well as the gas flow rate are of
highest importance for obtaining good coatings. Gas flow dynamics are
discussed in Sec. 4.

3.8 The Exhaust System

The exhaust system contains a vacuum pump, total pressure control,


scrubbers, and a recycling system, if used. Processes working at atmo-
spheric pressure do not require vacuum pumps and total pressure control. At
reduced pressures, however, pumps as well as some kind of total pressure
control have to be used.
416 Deposition Technologies for Films and Coatings

Figure 7.9. Examples of some CVD reactors. (a) and (b) RF heated cold wall
reactors, (c) vertical hot wall reactor, (d) barrel reactor.

The choice of the vacuum pump depends on the process (pumping


capacity required, pressure range to be used, gases to be pumped). At
higher process pressures (>30 Torr), water ring pumps and different
mechanical chemical pumps are used. The chemical pumps are also
employed at lower pressures (1 Torr), and at the lowest pressures in
combination with, for instance, mechanical boosters. When mechanical
pumps are used in CVD processes, the pump oil can polymerize or be
damaged in other ways by certain gaseous species. The pump oilshould
Chemical Vapor Deposition 417

be chosen with respect to its compatibility with the specific gaseous species.
The polymerization of the oil can easily be followed by measuring its viscosity
at different times. Mechanical pumps also produce back-diffusion of oil
molecules into the system. The back-diffusion can be stopped in a trap
(zeolite trap, liquid nitrogen cold trap) just before the pump. With the current
trend of using lower pressures to create abrupt interfaces and superlattices,
diffusion (to pump hydrogen) and turbo pumps are also utilized. Finally,
external oil filtering systems reduce the wear of the mechanical pumps in
processes where solid particles are formed and transported in the vapor to the
pump.
In a CVD process, more or less toxic, explosive, and corrosive gases
are used/formed. To remove them before exhaust, scrubbers are used. The
scrubber type is appropriate to the CVD process used. Halides can easily be
neutralized in a water scrubber. Carbon monoxide and hydrogen can be burnt
in a flame. Arsine can be removed by simply heating the reactor gas in a
furnace especially arranged for this purpose (i.e., with a high efficiency for
stripping arsenic from the gas stream).
Recycling is frequently used to improve process economy. It becomes
necessary in large scale processes, where expensive reactants are utilized
and the conversion efficiency of the reactants is low. The technique of
recycling varies from process to process. A simple recycling can be achieved
in some processes by selective condensation. It can easily be applied in
systems where the component to be recycled has the highest boiling point.
In the production of boron fibers for instance—where hydrogen and boron
trichloride are used—the unconverted boron trichloride is condensed in the exit
stream from the reactor, while the hydrogen and the hydrogen chloride (formed
in the process) are not condensed.

3.9 Analysis of the Vapor in a CVD Reactor

Various spectroscopic techniques have been used to analyze the vapor


in a CVD reactor. The purpose of these analyses is to achieve a better
understanding of the processes. Spectroscopic techniques are also used for
process control. Mass-, Raman, and IR-spectroscopy are in use.[28]-[30]

4.0 GAS FLOW DYNAMICS

The rate and arrangements of the gas flows in a CVD reactor influence
the deposition conditions considerably. In the following, some fundamentals
418 Deposition Technologies for Films and Coatings

of gas flow dynamics are given. For further details the reader is recommended
textbooks in chemical engineering or other books treating transport pro-
cesses.
In a gas, different states exist. In the molecular state, the mean free
path of the molecules is much longer than the dimensions of the vessel. In
the viscous state, the mean free path is much shorter than the vessel
dimensions. The viscous state can be divided into two flow regimes. The
laminar flow regime, where the flowing gas layers are parallel, is appropriate
to low gas velocities. At higher velocities, the flow becomes turbulent. The
limit between the laminar and the turbulent flow is defined by the value of
Reynold’s number, Re:

ρ • V •D
Re =
η

where: ρ = the density of the gas


V = the velocity
η = the viscosity
D = the diameter of the tube
At Re < 1100 the flow is laminar, while at Re > 2100 the flow is turbulent. The
range 1100 to 2100 is a mixed flow regime.
The Reynold’s number given characterizes the flow in an isothermal
environment. In the non-isothermal environment existing in a cold wall reactor,
natural convection induces a turbulence even at low flow rates. Consider the
situation above a heated surface (Fig. 7.10). At small temperature gradients
dT/dx, the varying density of the gas along the coordinate X is compensated
by the gravitational field and no movement of the gas occurs. At larger
gradients, the gas starts to move and the laminar flow can no longer be
retained. From Fig. 7.10 it can be understood that turbulence at a heated
substrate surface may be obtained at different parts of it. For instance, when
the temperature gradient is perpendicular to the gravitational field turbulence
occurs at smaller temperature gradients than in the antiparallel case.
Different dimensionless quantities are used for identifying conditions of
laminar and turbulent flows at different geometries. For instance, the Rayleigh
number, Ra, and the Grashof number, Gr, are employed.[31] Ra and Gr are
related to each other. Gr multiplied by the Prandtl number (nearly equal to one
for gases) yields Ra.
Chemical Vapor Deposition 419

Figure 7.10. Forces at a heated substrate surface. The value, g, the gravitational
force, dT/dx the temperature gradient.

To summarize the flow situation, diagrams depicting flow stability


regions like that in Fig. 7.11 are constructed for different geometries and
reaction gas mixtures. In an isothermal environment, Gr is equal to zero and
Re describes the situation completely. In a non-isothermal environment Gr is
larger than zero (increases with increasingDT). Turbulence occurs at a certain
Gr value, depending on the flow rate of the specific gas mixture and the
temperature difference between the hot and cold part in the reactor.

Figure 7.11. Flow diagram showing flow stability regions.


420 Deposition Technologies for Films and Coatings

In many CVD processe,s the laminar flow region is normally used. High
flow rates (turbulence) usually decrease the conversion efficiency of the
reactants to the coating and very large gas volumes have to be handled. The
flow situation around the object to be coated can be visualized in smoke
experiments where the smoke is generated inside the reactor from, for
instance, titanium tetrachloride and water.

4.1 Gas Flow Patterns

For growth of films of uniform thicknesses and compositions the gas


flow patterns are of greatest importance. This is particularly the case when
“high” pressures (about 1 atm) are used. At reduced pressures the diffusivity
of the vapor species increases, which results in a better mixing of the process
gases, and hence the flow fields become less important.
The gas flow patterns are very complicated in many CVD reactors
because the flow is driven by both the pressure differences (forced convection)
and gravity (free convection) in mostly complex reactor geometries. Free
convections contributes to the gas flow pattern not only in cold-wall reactors
with their steep temperature gradients but also in hot wall reactors with small
axial temperature gradients. These are employed for correction of the
successive depletion of the vapor with respect to the reactants as they flow
through the reactor. Fluid flow phenomena characteristic of various CVD
reactors have been reviewed by, for instance, Westphal[32] and Jensen.[33]
In gas flow calculations, the continuity equation for the total mass, for
the single components, for the energy, and for the momentum must be solved.
For a suitable choice of experimental conditions (flow regimes and reactor
geometries) simplifying equations and boundary conditions—resulting in
reasonable computer times—are obtained. As an introduction to this field
results from detailed flow calculations for two main reactor types are
summarized. Wahl[34] has calculated the flow fields in some cold wall
reactors for the laminar flow region (atmospheric pressure) for the CVD of
silicon nitride from SiH4 and N2. The reactor geometry investigated can be
seen in Fig. 7.12. The flow patterns calculated for this geometry and the
inverted geometry (difference in the buoyance-driven convection) are shown
in Fig. 7.12. The flow pattern becomes more complicated in the inverted
geometry, i.e., when the forced convection and the gravity interact. The flow
pattern, including generation of loops and rolls,was strongly dependent on the
ratio between the free convection and the forced convection.
Chemical Vapor Deposition 421

Figure 7.12. Gas flow pattern in a cold wall reactor, where the forced and buoyance-
driven convection (a) interact, and (b) counteract, substrate temperature 900 K, Re
= 50.[34]

To show the influence of the reactor geometry on the flow pattern, a


calculation of Wahl and Hoffman[35] will be taken as an example. The reactor
geometry considered as well as the results from the calculations are shown
in Fig. 7.13. As can be seen, the flow pattern in this geometry is not as
complicated as that obtained in the previous geometry (Fig. 7.12), where the
diameter of the inlet gas tube was half the diameter of the hot plate.
422 Deposition Technologies for Films and Coatings

Figure 7.13. Gas flow pattern in a cold wall reactor with a geometry different from
that in Fig. 12.[35]

A technique frequently used for correction of the successive depletion


of the reactants as they are transported through a hot wall reactor is the
application of a temperature gradient in the axial (flow) direction of the reactor.
Even small temperature gradients, however, can induce buoyancy-driven
convection. The flow pattern in a hot wall reactor with a temperature gradient
for the atmospheric CVD of GaAs in the Ga-AsCl3-H2 system has been
calculated for different temperature gradients, different gas flow velocities, and
different reactor heights by Westphal et al.[32] A typical result from their
calculations is shown in Fig. 7.14. It can be seen that a convection roll,
induced by free convection, is generated. The effect of free convection on the
gas flow pattern decreased with decreasing temperature gradients, increasing
gas flow velocities and decreasing reactor heights. No extreme conditions
were required to generate convection rolls. They were, for instance, obtained
at a temperature gradient of 6 K cm-1, a gas flow velocity of 2 cm s-1 and a
reactor height of 5 cm.
Chemical Vapor Deposition 423

Figure 7.14. Gas flow pattern in a hot wall reactor with a temperature gradient of
6 K cm-1, linear gas flow velocity of 2 cm s-1, and channel height 5 cm, deposition
system Ga-AsCl3, H2.[32]

Convection rolls are frequently generated in CVD. These rolls cause


dilution of the reaction gas with reaction products, resulting in an alteration of
the deposition conditions. Developed rolls may yield problems for multilayer
growth with well-defined phase boundaries and for the creation of sharp doping
profiles. By using extreme low total pressures (in the 10-3 Torr range), these
problems can be solved.

4.2 Boundary Layers

In CVD the substrates are immersed in a gas stream. From fluid


mechanics it is known (see, for instance, Ref. 36) that so-called boundary
layers are developed near the substrate surface. The boundary layers are
defined as the region near the substrate surface where the gas stream velocity,
the concentration of the vapor species and the temperature are not equal to
those in the main gas stream. Thus a velocity boundary layer, a concentration
boundary layer and a thermal boundary layer exist. The development of a
velocity boundary layer in a laminar flow region is sketched in Fig. 7.15. The
gas velocity is zero at the substrate surface and increases to a constant value
(the bulk gas flow velocity). The layer over which the gas flow velocity varies
is the boundary layer.
The thicknessδ of a boundary layer (laminar flow) at a position X on the
substrate or susceptor[36] is given by

δ = a(ηX/ρν)1/2
424 Deposition Technologies for Films and Coatings

where a is a proportionality constant, η is the viscosity of the gas, ν is the


velocity of the gas and ρ is the density of the gas. From a knowledge of the
temperature and pressure dependence of η, ρ and ν[31][36] it is deduced that
the thickness of the boundary layer increases with increasing temperature and
decreasing total pressure. Moreover, the thickness also increases with
increasing transport distance of the gases along the substrate surface.

Figure 7.15. Definition of the velocity boundary layer.

The development of boundary layers in CVD situations has been


investigated both experimentally and theoretically. Eversteijn et al.,[37] used
smoke experiments to visualize the flow pattern in a horizontal epitaxial
reactor. The smoke was generated from TiCl4 and water. They observed an
immobile layer of gas, called the stagnant boundary layer, above the
susceptor. It was shown later, however, that in steep temperature gradients
(near the susceptor) fine particles are driven away from the susceptor by
thermophoretic forces.[38] This shows that smoke experiments can only be
used to map the flow at a greater distances from a heated susceptor.
Ban and Gilbert[28] investigated the heat transport in a cold wall reactor
by heating a susceptor in helium and measuring the temperature at different
locations above the susceptor with a thermocouple with a small diameter. The
very steep temperature gradient can be seen in Fig. 7.16.
Ban and Gilbert also investigated the concentration profiles of various
vapor species in silicon CVD from an H2 /SiCl4 gas mixture. They introduced
a fine capillary probe for a mass spectrometer at different locations above the
susceptor. The concentration profile of SiCl4 and the reaction product HCl can
be seen in Fig. 7.17. The thickness of the concentration boundary layer in this
case is more than 2 cm. The successive depletion with respect to the
reactants as they were transported through the reactor is shown in Fig. 7.18.
At a height of 7 mm above the susceptor and 15 cm downstream of
Chemical Vapor Deposition 425

Figure 7.16. Temperature profile in helium. Linear gas flow velocity: 24.9 cm s-1.[28]

Figure 7.17. Concentration profiles of SiCl4 and HCl in the CVD of silicon from SiCl4
and Hc. Transport distance along the susceptor: 12.5 cm, linear gas flow velocity:
24.9 cm s-1, - - - 1000°C, —— 1140°C. [28]
426 Deposition Technologies for Films and Coatings

the susceptor, the partial pressure of SiCl4 was reduced to about 50% of the
initial value. Sedgwick et al.[29] measured temperature and concentration
profiles in an air-cooled horizontal cold wall reactor using Raman scattering.
They observed a steep temperature gradient near the susceptor. The
temperature profile developed was dependent on the position along the
susceptor.

Figure 7.18. Partial pressure profile of SiCl 4 as a function of the transport distance
along the susceptor at a height of 7 mm above the susceptor.[28]

Giling[39] investigated the gas flow patterns and temperature profiles at


atmospheric pressure in air-cooled as well as water-cooled horizontal epitaxial
reactors by means of interference holography. The gases used were H2, He,
N2 and Ar. H2 and He yielded stable laminar flows through both the water-
cooled and the air-cooled reactor. At flow velocities higher than 40 cm s-1 a
cold gas finger, indicating incompletely developed flow and temperature
profiles, was observed in the air-cooled reactor. N2 and Ar behaved quite
differently from H2 and He and different convective effects were obtained. At
flow velocities higher than 4 cm s-1 for instance, a laminar layer about 8 mm
thick was developed near the susceptor, while the gas above this layer
appeared to be in turbulence.
Chemical Vapor Deposition 427

Giling also pointed out the importance of entrance effects, i.e., that it will
take some distance (the entrance length) from the susceptor edge for full
velocity and temperature profiles to develop. According to Schlichting[36] the
entrance length for the development of the full velocity profile is given by the
equation

X = 0.04hRe

where h is the height of the channel and Re is the Reynolds number. Hwang
and Cheng[40] predicted that the thermal entrance length was seven times
longer than the flow entrance length. Giling confirmed this for H2 in his
measurements.
Coltrin et al.[41] have developed a mathematical model of silicon CVD
from silane in a cold wall reactor. The model includes gas phase chemistry
as well as fluid mechanics, and predicts temperature, velocity, and concen-
tration profiles for many vapor species. Figure 7.19 depicts the temperature
contour in a typical calculation. The thickness of the boundary layer is in the
centimeter range and increases with increasing gas transport distance along
the susceptor.

Figure 7.19. Calculated temperature contours for silicon CVD from silane (0.6 Torr)
and helium as a carrier gas (600 Torr). Temperature: 1018 K, gas flow velocity: 15.3
cm s-1.[41]
428 Deposition Technologies for Films and Coatings

4.3 Mass Transport Processes Across a Boundary Layer

Different mass transport processes across a boundary layer can be


distinguished:
1. Fickian diffusion occurs because of the concentration gradient
across the boundary layer.
2. Thermal diffusion or Soret diffusion is induced by a temperature
gradient, in for instance, a cold wall reactor.[31] This diffusion
is of greatest importance in systems having large differences
in molecular weights and molecular sizes between vapor
species.
3. A concentration gradient implies a density gradient, resulting
in a buoyancy-drivenadvective flux.[42]
4. In the overall CVD reaction, the number of moles of gas may
be changed. This induces a flux (Stefan flux) towards or away
from the substrate surface. In, for instance, the CVD of boron
from BCl3 and H2 according to the reaction

2 BCl3(g) + 3 H2(g) → 2 B(s) + 6 HCl(g)

the number of moles in the vapor is changed from 5 to 6,


causing a flux from the substrate.[43]

5.0 RATE-LIMITING STEPS DURING CVD

In a CVD process various sequential steps occur. Each of these steps


may be rate-limiting in the absence of thermodynamic limitations. Plausible
rate-limiting steps are as follows (see also Fig. 7.20): (a) transport of the
gaseous reactants to the boundary layer surrounding the substrate (free and
forced convection);(b) transport of the gaseous reactants across the boundary
layer to the surface of the substrate (diffusion and convections flows); (c)
adsorption of the reactants on the surface of the substrate; (d) chemical
reactions (surface reactions between adsorbed species, between adsorbed
species and reactants in the vapor and or between reactants in the vapor),(e)
nucleation (at least at the initial stage); (f) desorption of some of the reaction
products from the surface of the substrate; (g) transport of the reaction
products across the boundary layer to the bulk gas mixture; (h) transport of
the reaction products away from the boundary layer. In each of these steps
several processes may proceed simultaneously.
Chemical Vapor Deposition 429

Figure 7.20. The various steps in a CVD process.

Even though several rate-limiting steps can be identified in a CVD


process, only five main categories of control are normally discussed:
1. Thermodynamic control. Thermodynamic control means
that the deposition rate is equal to the mass input rate into
the reactor (corrected for the yield of the process). This
occurs at extreme deposition conditions (very low flow rates,
high temperatures, etc.). The temperature dependence of
the deposition rate is obtained from thermodynamic
calculations.
2. Surface kinetics control. If the deposition rate is lower than
the mass input rate into the reactor and the mass transport
rate in the vapor in the reactor to or from the substrate, a
surface kinetics control or nucleation control exist. The
surface kinetics control is favorable for obtaining coatings of
uniform thicknesses on more complicated shaped substrates.
The mechanisms of surface reactions are discussed in Sec.
6.
3. Mass transport control. A process may also be controlled by
the mass transport in the vapor in the reactor to or from the
substrate surface. This occurs frequently at high pressures
and high temperatures.
4. Nucleation control. At low supersaturations the deposition
rate may be controlled by the nucleation.
5. Homogeneous reaction control. In some processes the
formation rate of key species in the vapor may control the
deposition rate.
430 Deposition Technologies for Films and Coatings

Since the mass transport in the vapor or the surface kinetics usually
controls the deposition rate, the following discussions are limited to just these
two cases. Surface kinetics control is normally desirable and means a
maximum in throwing power or step coverage. Figure 7.21 shows conditions
of complete mass transport control, complete surface kinetics control and
mixed control. In the surface kinetics control, a fast diffusion in the vapor is
combined with a slow surface reaction. For a mass transport control, the
surface kinetics is fast while the mass transport in the vapor is slow.

Figure 7.21. Diagrams illustrating situations of complete mass transport


control in the vapor (a), and surface kinetics control (b), respectively, and (c)
shows conditions of mixed control.
Chemical Vapor Deposition 431

Reaction resistances are often used to predict rate-limiting steps or


control in CVD. To illustrate their principle use, reaction resistances are
employed to define the surface reaction control and the mass transport
control, respectively.
The diffusion flux JD across the boundary layer is given by

JD = D Pb − Ps
R• T δ

where: D = diffusion coefficient


R = gas constant
T = absolute temperature
δ = boundary layer thickness
Pb and Ps (see Fig. 7.21)

The mass flux JM towards the surface is expressed as

km (
JM = Ps − Peq)
RT

where km is the mass transfer coefficient.


Pb and Peq are known from the reaction gas composition and from
thermodynamic calculations, respectively. Ps can be eliminated by assuming
steady-state conditions (JM = JD)

km • δ
Pb + • Peq
Ps = D
km • δ + 1
D

Km is thus given by

Pb − Peq
JM = 1
RT δ + 1
D km

Pb - P eq is the driving force of the process and δ/D and 1/km are reaction
resistances. If δ/D >> 1/km the process is controlled by the mass transport
in the vapor, while surface reaction control is achieved at 1/km << δ.
432 Deposition Technologies for Films and Coatings

As said before, surface kinetics control is a condition prerequisite to


the obtaining of coatings of uniform thickness on substrates with a complicated
shape. How can surface reaction control be achieved? To answer this
question, the temperature and pressure dependences of the reaction resis-
tances have to be analyzed.
The thickness δ of the boundary layer (laminar flow) at a position x on
the substrate is

1
η • x 2
δ = a  
 ρ •υ 

where a = proportionality constant;η = viscosity of the gas; ν = velocity of the


gas; andρ =density of the gas. The value ofρ depends on both the temperature
and pressure while η and ν depend on the temperature.

M• p
ρ=
R•T
where M = molecular weight and p = total pressure;

m
 
η = ηo  T 
 To 

where To = reference temperature, ηo = reference value,m = constant (0.6 <


m < 1.0); and

υ = υo T
To

where T0 = reference temperature, and ν0 = reference velocity.


From the equations for ρ, η and ν, the pressure and temperature
dependence of δ is expressed as

δ = const • T
m/ 2
p1/ 2

The pressure and temperature dependence of the diffusion coefficient D is

1.75
Pi T 
D = Di ,o • •  
P  To 
Chemical Vapor Deposition 433

where Di,o is the reference value of the diffusion coefficient andPi is the partial
pressure of species i. The reaction resistance is then

δ = const • P1/ 2
D . −m/ 2
T175

Hence δ/D increases with increasing pressure and decreasing temperature.


The value of km follows the Arrhenius equation

E
− a
km = A • e RT

Thus the surface reaction resistance increases with decreasing temperature.


This increase is more rapid than theδ/D increase with decreasing temperature.
Hence surface reaction control can be reached at lower temperatures.
Since the surface reaction control regime is normally the most attractive
experimental conditions to reach (highest throwing power) this regime should
be chosen. From Arrhenius plots (logarithm of the deposition rate versus the
reciprocal temperature) conditions of surface kinetics control can be identified.
For a surface kinetics control, the slope of the Arrhenius plot has a high
negative value, often in the range 100 - 300 kJ mol-1. For mass transport
control, the slope of the Arrhenius plot can either be positive (exothermic
processes) or negative (endothermic processes) (Fig. 7.22). When the total
pressure decreases, the diffusion rate of the species in the vapor increases,
which means that surface kinetics control is readily achieved at low pressures.
Figure 7.23 illustrates that the temperature region of surface kinetics control
expands at lower pressures.
Surface kinetics can also be attained by increasing the gas flow velocity
(see Fig. 7.24). At low gas flow velocities, the thermodynamics control the
deposition. Increasing the gas flow means entering the mass transport
controlled regime. The surface kinetics control is reached at even higher gas
flow velocities.
The fourth possibility to reach the surface kinetically controlled region
is to use another precursor with a higher thermochemical stability. As can be
seen in Fig. 7.25, use of SiCl4 instead of SiH4 results in surface kinetics control
at higher temperatures.
434 Deposition Technologies for Films and Coatings

Figure 7.22. Schematic Arrhenius plots for endothermic and exothermic pro-
cesses.
Chemical Vapor Deposition 435

Figure 7.23. Regions of mass transport and surface kinetics control at different
total pressures (P1<P 2<P3).

Figure 7.24. Influence of gas flow velocity on the control of a CVD process.
436 Deposition Technologies for Films and Coatings

Figure 7.25. Influence of the thermochemical stability of the precursor on the


process control at silicon CVD.

6.0 REACTION MECHANISMS

The reaction mechanisms in CVD processes are very complicated and


only a few are known. In the deposition process, the reactants are transported
to the substrate surface. Molecules and/or atoms are adsorbed on specific
surface sites. After surface diffusion, the molecules/atoms are incorporated
in a step and finally, after diffusion along the step, incorporation in a stable
crystallographic site takes place. The investigation by Bloem and Claassen[44]
of the rate-determining reactions in CVD of silicon from SiH2Cl2 in the
temperature range 800 - 1000°C is a good illustration of the various steps in
a CVD process. A list of the reactions considered is given below.
1. Transport of SiH2Cl2 across the boundary layer:

SiH2Cl2(b) → SiH2Cl2(g)

where (b) and (g) refer to the bulk gas and the gas near the
substrate surface, respectively.
Chemical Vapor Deposition 437

2. Homogeneous reactions in the vapor:

SiH2Cl2(g) → SiCl2(g) + H2(g)

SiCl2(g) + HCl(g) → SiHCl3(g)

3. Adsorption at free surface sites *.

SiH2Cl2(g) + * → SiH2Cl2*

SiCl2(g) + * → SiCl 2*

HCl(g) + * → Cl* + l/2 H 2(g)

l/2 H2(g) + * → H*

4. Surface reactions:

SiH2Cl2* → Si* + 2 HCl(g)

SiH2Cl2* → SiCl2* + H2(g)

SiCl2* + H2(g) → Si* + 2 HCl(g)

SiCl2* + HCl(g) → SiHCl3(g)

SiCl2* + SiCl2(g) → SiCl 4(g) + Si(cryst)

Si(cryst) means a stable crystallographic site in the crystal


grown.

5. Growth reactions. Surface step sites are denoted (st).

Si* → Si(st)

Si(st) → Si(cryst)

SiCl2* → SiCl2(st)

SiCl2(st) + H2(g) → Si(cryst) + 2 HCl(g)

In CVD of silicon from SiH2Cl2, the last reaction given was the
rate-determining.
438 Deposition Technologies for Films and Coatings

7.0 NUCLEATION

Since the properties of a material are influenced by grain size, defects,


inclusions, etc., the nucleation is the most important process in the deposition
of materials. At the initial stages of growth, the nucleation on the foreign
substrate determines the grain size in the “first layer,” the defects in it and, to
a large extent, the adhesion. In the subsequent growth secondary nucleation
may occur with a generation of new grains, defects, inclusion of vapor species
in pores, etc..
The various steps during the heterogeneous nucleation of an element A
on a foreign substrate is schematically shown in Fig. 7.26. Hydrogen and AX
react. The A atoms formed are adsorbed on the surface of the substrate.
Subsequently the adsorbed atoms may desorb from the substrate, diffuse into
the substrate, possibly with the formation of intermediate phases, or react with
HX with the formation of AX. Unstable aggregates of A atoms, embryos, are
formed after surface diffusion and direct impingement of A atoms from the
vapor. Some of these embryos will grow at the expense of others and attain
the status of stable A nuclei (supercritical A nuclei). An intact layer is formed
after lateral growth and coalescence. The growth rate of the nuclei is
determined by the concentration of the adatoms. Finally the coalescence
generates, in general, defects, i.e., grain boundaries.
Usually three-dimensional nucleation occurs on foreign substrates.
However when nucleation takes place on native substrates—nucleus and
substrate of the same material—two-dimensional nuclei may be formed. To
describe 2-D nucleation the TLK model (Terrace,Ledge,Kink) of a surface is
applied (Fig. 7.27). Besides the terraces, ledges, and kinks, atoms adsorbed
on the surface—adatoms—exist. The deviation from the equilibrium concen-
tration of the adatoms is a measure on the driving force of the growth process
(positive deviation) or of the etching process (negative deviation). Surfaces
grow by incorporating surface-diffusing atoms into the steps. This corre-
sponds to a lateral movement of the steps.
The probability of generating new nuclei between the surface steps
depends on the surface diffusion and the deposition rate (the impingement
flux of the atoms). At a high temperature and a low deposition rate, the
adatoms have time enough for diffusion to reach the surface steps and be
captured by them. A lower temperature and/or higher deposition rate results
in shorter diffusion distances facilitating clustering of adatoms between the
Chemical Vapor Deposition 439

steps (two-dimensional nucleation). At even lower temperatures and/or higher


deposition rates—shorter diffusion distance—amorphous growth is obtained.[45]
Finally defects are introduced into the layers when advancing steps meet each
other or nuclei.

Figure 7.26. Schematic representation of nucleation of A on a substrate during


hydrogen reduction of AX (a), and various mechanistic pathways that can be
followed by A (b).
440 Deposition Technologies for Films and Coatings

Figure 7.27. The Terrace, Ledge, and Kink (TLK) model of a surface.

The surface diffusion is strongly affected by the access to free surface


sites. In a CVD process, it is likely that most of the surface sites are occupied
by strongly adsorbed molecules. During the CVD of, for instance, silicon from
Si-H-Cl gas mixtures, the surface sites are occupied to about 99% by
hydrogen and chlorine atoms.[46] Moreover impurity adsorption on surface
steps can effectively prevent diffusing adatoms to be captured. This means
that a supersaturation high enough for nucleation can be built up between
surface steps.[47] In summary, layer growth (no nucleation) can only be
expected at high temperatures, low deposition rates, and low adsorption. This
means long diffusion distances and the free incorporation of diffusing adatoms
at the steps.
After an incubation time, the nucleation rate is frequently high (~1010
cm •s-1). A saturation value of the nucleus density, which remains constant
-2

during a relatively long period of time, is achieved (see Fig. 7.28).[48] The
saturation value is obtained at a stage when the nuclei are so dense that a
supersaturation high enough for nucleation can not be built up between the
nuclei, i.e., when the mean diffusion distance is longer than half the mean
nucleus distance. Subsequently the nuclei grow laterally and the nucleus
density is constant until coalescence occurs. The saturation nucleus
density, Ns, is strongly dependent on the experimental conditions. Figure
7.29 shows the influence of temperature on Ns for different silanes at siliconCVD.
Chemical Vapor Deposition 441

Figure 7.28. The nucleus density as a function of process time.

Figure 7.29. Influence of temperature on the saturation nucleus density at silicon


CVD from various silanes.[49]
442 Deposition Technologies for Films and Coatings

Because of the high supersaturation in CVD, nuclei of critical size


consist only of a few atoms. This means that the thermodynamical treatment
of the nucleation on the basis of microscopic aggregates[50] is not justified.
Instead statistical mechanical methods have to be applied.[51]
The highest nucleation rate is attained at locations where the required
supersaturation for nucleation is built up most rapidly. This is assumed to
occur at sites of long residence times for the adatoms and/or at sites of high
supply rate of the adatoms. Owing to the long residence time, nucleation on
surface steps is highly probable at low deposition rates. Grain boundaries can
be favorable diffusion paths, resulting in a high supply rate of adatoms and
hence nucleation in the grain boundaries.
The nucleation is strongly affected by the surface roughness. To
illustrate this fact an example from an investigation of the preferential
nucleation of boron on tungsten filaments is taken. The tungsten filaments
used had a rough surface (Fig. 7.30a), which originates from the filament
drawing process. The ridges of the filament serve as nucleation sites (Fig.
7.30b). The preferential nucleation on the ridges of the filament is explained
as follows.[52] At the onset of the deposition reaction, boron atoms are added
to the substrate surface. Simultaneously, boron is lost from the surface by
diffusion into the substrate with the formation of tungsten borides. The diffusion
flux, which initially is equal to the deposition rate, later decreases with
increasing boride layer thickness (increased diffusion resistance). For
geometrical reasons it is obvious that the thickness of the boride layer
increases at a higher rate under a ridge than under a groove. Consequently
the critical surface concentration for nucleation of boron is reached earlier on
a ridge than in a groove.

8.0 SURFACE MORPHOLOGY AND MICROSTRUCTURE OF CVD MA-


TERIALS

The surface morphology and the microstructure of CVD materials are


controlled by many factors that are often interrelated, such as the substrate,
temperature, supersaturation, deposition rate, impurities, temperature gradi-
ents, and gas flows. In the following some theories and classifications of CVD
morphologies and microstructures are introduced.
Van den Brekel and Jansen have developed and applied a stability
theory for single phase vapor growth. [53] If an arbitrary perturbation at the
interface vapor/solid is reduced with increased time, the interface is
Chemical Vapor Deposition 443

(a)

(b)

Figure 7.30. The surface of a tungsten filament (a), and preferentially nucleated
boron on ridges of the filament (b).[52]

considered as stable. However, the interface during CVD in an isothermal


environment is unstable. On the other hand, because of the fact that the
relaxation times of films are much longer than the deposition times (a few
minutes) smooth layers can be grown even in unstable processes.
The instability of the interface in a vapor growth process can also be
discussed in the same terms as those used to explain the dendritic growth
from a melt in a negative temperature gradient. Random surface irregulari-
ties are frequently formed in growth processes. The surface irregularities
have a higher rate of growth if they reach out into regions of higher
supersaturation. In a CVD process, the surface irregularities have better
444 Deposition Technologies for Films and Coatings

access to fresh reaction gas, which means a higher supersaturation and


hence a higher deposition rate. Also, a negative temperature gradient, as in
the cold wall reactor, may result in a higher supersaturation for the outgrowths.
Blocher has related the various microstructures formed in CVD to the
process conditions of temperature and supersaturation.[54] At a high tempera-
ture/low supersaturation (see Fig. 7.31) epitaxial growth occurs. Decreasing
the temperature/increasing the supersaturation results in the formation of
platelets, whiskers, etc. At a high supersaturation, a powder—
due to the homogeneous nucleation in the vapor—is obtained. In the following,
only comments on the growth of a few microstructures are given.

Figure 7.31. Microstructure sequence of CVD materials. [54]


Chemical Vapor Deposition 445

Epitaxial growth, which is frequently used in the microelectronics


industry, is obtained at relatively low growth rates. It is affected by the deposit-
substrate crystallographic misfit, the substrate surface conditions, thermal
stresses over the substrate and polycrystalline areas in the substrate. For
epitaxial growth, a high surface mobility of adsorbed species is required, i.e.,
usually a high temperature has to be used.
Columnar grains are common in CVD and exhibit a high degree of
texture. During the primary nucleation, nuclei of different crystallographic
orientations are formed. Depending on the anisotropy in the growth rate of
various crystal surfaces, the nuclei will grow at different rates. This preferential
growth results in a characteristic columnar growth. Numerous examples of
columnar growth in CVD can be found inProceedings of the International CVD
Conference series published by the Electrochemical Society.
Surfaces grow by incorporating surface-diffusing adatoms into surface
steps. However preferential adsorption of molecules at the surface steps
prevents the surface-diffusing adatoms from being captured. Thus a new
growth mechanism is required. Throughout the years, the structure of CVD
materials has been modified by adding small amounts of foreign substances
(growth modifiers) to the reaction gas mixture.

9.0 SELECTIVE DEPOSITION

Chemical vapor deposition (CVD) is known to be a large-area deposition


technique. However, CVD can also be used for local deposition or selective
deposition, i.e., the deposition occurs only on some areas of the substrate
surface. Selectivity may be attained by using different focused beams
(photons,[55] electrons,[56]-[58] or ions.[59][60] The beams induce local CVD
reactions on those areas they hit. Another possibility is to irradiate the
substrate surface through a mask with, for instance, a laser.[61] The openings
in the mask define the substrate areas where the deposition may take place.
Selective chemical vapor deposition may also be achieved on patterned
substrates. The selectivity in this case is based on differences in the initial
interfacial reactions between the different substrate materials and the vapor.
The interfacial reactions on one substrate material should be inhibited
completely to avoid nucleation, while the deposition reactions should be
stimulated on those substrate areas where the deposition shall occur.
446 Deposition Technologies for Films and Coatings

Principally there exist several categories of selective deposition sys-


tems. In the system described above, the deposition takes place on one
substrate material while no deposition is obtained on the other and area-
selective deposition is achieved. However, different phases can also be
deposited simultaneously and selectively on the different materials, resulting
in phase-selective deposition. In analogy to the phase-selective deposition,
films of different microstructures or different chemical compositions may be
deposited on the different substrate materials and hence selectivity in
microstructure or chemical composition is attained.
Selective deposition is an emerging field and there is a great demand
for these processes in many application areas. With the steady reduction of
IC feature sizes there is a need for self-aligned processes. Selective tungsten
for metallization in VLSI and selective GaAs epitaxy for monolithic integration
of optoelectronic devices are well-known examples. Other application areas
may be in micronics, heterogeneous catalysis, engineering of film/substrate
interfaces, and in growth of artificial 2-D and 3-D materials. Since selective
deposition on patterned substrates is based on interfacial chemistry, there are
practically no restrictions in the dimensions of the deposited materials
islands. This opens a fascinating perspective to build up materials with
microstructures without any thermodynamic or kinetics limitations. The
underlying principles of selective deposition are briefly discussed below.

9.1 Area-Selective Growth

Epitaxial Growth Conditions. There is a considerable technological


interest today in area-selective epitaxy of both silicon and gallium arsenide.
A brief discussion of area-selective growth with reference to silicon and gallium
arsenide, respectively is given below.
Epitaxial films can be grown at relatively high rates near equilibrium
conditions, i.e., at a low driving force (low supersaturation) of the deposition
process. For heterogeneous nucleation, a higher supersaturation is gener-
ally required. This means that conditions of selective growth are prevailing at
a supersaturation lower than that for heterogeneous nucleation. This was
used by Joyce and Baldrey for growth of silicon from SiCl4 at 1200°C and
atmospheric pressure in openings etched in the SiO2 mask.[62] A historical
review of selective epitaxial growth, SEG, has recently been published by
Borland.[63] In SEG, the growth is stopped when the surface of the growing
film reaches the mask surface. A continued growth results in an overgrowth
Chemical Vapor Deposition 447

over the mask. The process is then called ELO (Epitaxial Lateral Over-
growth). For a review of the ELO process, the reader is referred to Ref. 64.
A key point in the SEG is the suppression of the nucleation on the mask
(usually silicon oxide or silicon nitride). As mentioned in Sec. 7, the incubation
time for nucleation varies with the substrate material and the deposition
conditions. In an ideal case, this incubation time is longer than the deposition
time required to prepare the desired structures. However, by using an
alternating growth and etching process, SEG can be attained even for
conditions of short incubation times for nucleation.[65] The growth conditions
are then prevailing for about the incubation time. After the growth cycle, the
process is switched over to etching with, for instance, HCl. A minor etch is
also obtained of the monocrystalline silicon in the SiO2 openings.
The GaAs SEG/ELO is nearly as old as the silicon SEG/ELO. Tausch
and Lapierre reported in 1965 on a GaAs ELO process based on a chloride
vapor transport system.[66] With the development of the purification tech-
niques of metal-organic compounds like trimethyl gallium (TMG) and triethyl
gallium (TEG), CVD as well as MBE, based on the use of these compounds
together with AsH3, are highly attractive for GaAs SEG. MBE and elemental
sources yields monocrystalline growth in etched openings as well as
polycrystalline GaAs on the mask (microstructure-selective deposition).[70]
GaAs SEG has received much attention during the last few years as a
technique for achieving monolithic integration of electronic and optoelectronic
devices.
Growth of GaAs from AsH3 and TMG by MBE or CVD is usually
considered to be a non-equilibrium process. The perfection of the crystals
grown, their morphology, and the correlation between the growth rate and
thermodynamic parameters indicates that near-equilibrium conditions exist at
the interface between the vapor and the solid. Hence thermodynamics can be
utilized to analyze selective growth as well heterogeneous nucleation condi-
tions in GaAs CVD.
According to nucleation theory, a certain supersaturation is needed for
heterogeneous nucleation on the mask. From experimental selectivity data,
the maximum supersaturation for maintaining selectivity can be calculated.
The supersaturation is favorably expressed in terms of chemical potentials.
The influence of temperature on the chemical potential of GaAs (expressed
in elemental chemical potentials of Ga and As2 ) at equilibrium with solid
GaAs is shown in Fig. 7.32. Growth will occur if the chemical potential of
GaAs for the homogeneous equilibrium in the vapor is higher than that for the
heterogeneous equilibrium.
448 Deposition Technologies for Films and Coatings

Figure 7.32. Chemical potential of GaAs for the heterogeneous equilibrium (full
line), and for two homogeneous equilibria at different total pressures (dashed
lines). H2/AsH3/TMG = 500/10/1.[68]

The experimental technique used to determine, for instance, the


temperature required to achieve SEG is to raise the temperature successively
until no nucleation on the mask can be observed. Since the chemical potential
of GaAs for the homogeneous equilibrium in the vapor has only a slight
temperature dependence (see Fig. 7.2), the driving force for the deposition (or
supersaturation) will decrease upon a temperature increase and a driving force
value, yielding no heterogeneous nucleation, will be reached.
Thermodynamics and MBE and CVD experimental SEG data were
used in an effort to put experimental selectivity observations on a common
basis.[68][69] In MBE a much lower pressure is used than in CVD. However,
irrespective of the growth technique used, the experimental SEG data fall in
the supersaturation region indicated in Fig. 7.33. By using thermodynamics,
the selectivity data from CVD can be converted to MBE and vice versa.
Chemical Vapor Deposition 449

Figure 7.33. Selective growth regime for GaAs. Precursors: Ga(CH3)3, AsH 3.[69]

Substrate-Activated Selective Growth. When a substrate of differ-


ent materials is exposed to the vapor in a CVD process, the materials
represent areas of different activities or reactivities towards the vapor. One
material may, for instance, act as an effective reducing agent or as a catalyst
of dissociative adsorption of gaseous reactants, which may favor the deposi-
tion. The other material may be relatively inert towards the vapor and growth
may be inhibited. The inertness may be increased purposely by using gas
additives which are preferentially adsorbed to one of the materials. Strongly
adsorbed molecules may passivate a substrate surface considerably and
suppress the deposition process completely. A tendency to substrate-
activated area-selective growth is frequently seen during the initial growth
stage in CVD on polycrystalline, multi-phase substrates. The different phases
and the different crystallographic orientations of the grains exposed to the
vapor, represent surface areas of different activities/reactivities and initial
growth conditions. Taken to its extreme, this means that the deposition is
inhibited on some substrate areas, while other areas are open for deposition.
Area-selective deposition of refractory metals is of highest interest for
metallization in VLSI and ULSI. Selective deposition of refractory metals for
metallization has been reviewed by several authors (see Refs. 70 - 73). The
450 Deposition Technologies for Films and Coatings

substrate-activated area-selective growth is well illustrated by the selective


tungsten deposition from WF6 and H 2 on Si/SiO2 substrates. This process
is described principally below.
Tungsten can be deposited by CVD at low temperatures (300°C) from
H2 and WF6 according to the reaction

3 H2(g) + WF6 (g) → W(s) + 6 HF(g)

The deposition occurs on all substrate surfaces exposed to the vapor, since
both the source material (WF6) and the reducing agent (H2) are gases.
However, if the reducing agent was replaced by a solid reducing agent (like
elemental silicon), the deposition should only occur on those substrate
regions having a reducing agent. So if a wafer exposes areas of elemental
silicon and silicon dioxide to WF6, tungsten deposition takes place only on the
silicon areas and not on the adjacent silicon dioxide areas. Silicon in silicon
dioxide can not act as a reducing agent, since this silicon has its maximum
oxidation number. This is the basis of the initial stage of selective tungsten
CVD.
The selective tungsten deposition may proceed according to the
scheme described above as long as elemental silicon is exposed to the vapor.
After a while, however, the tungsten deposited onto elemental silicon will
separate silicon from the vapor: hence a self-limiting growth process has been
obtained. The mechanism of self-limitation is under discussion and might be
also be due to a polymerization reaction involving lower tungsten fluorides.[74]
The polymer formed may also separate silicon from the vapor, hence inhibiting
the growth process. For growth of thicker tungsten layers, a reducing agent,
H2 , has to be added to the reaction gas. If the WF6 concentration is low and
the H2 concentration is high, i.e., conditions of low supersaturation are
prevailing, deposition of tungsten will occur where tungsten already has been
deposited (on elemental silicon) and not on the silicon dioxide. For deposition
on the silicon dioxide, resulting in a loss of selectivity, tungsten nucleation
must take place. The nucleation step requires a much higher supersaturation
than growth. Hence a deposition window, ranging from the supersaturation
corresponding to equilibrium conditions up to the supersaturation value
needed for heterogeneous tungsten nucleation on silicon dioxide, exists.
Finally, the selective deposition of tungsten to substrate areas where tungsten
already has been deposited, is favored by the dissociation of hydrogen
molecules on these areas.[75]
Chemical Vapor Deposition 451

In summary, two main reaction steps can be distinguished in tungsten


CVD (see Fig. 7.34):
1. In the first step, elemental silicon will act as the predominating
reducing agent even if a large amount of hydrogen is used in
the reaction gas. This results in tungsten deposition on
those substrate regions where elemental silicon is exposed
to the vapor. The reaction step includes an etching of
elemental silicon, i.e., silicon is consumed.

2 WF6(g) + 3 Si(s) → 2 W(s) + 3 SiF4(g)

Considering the stoichiometry of this reaction, about 200 Å


silicon is consumed for 100 Å tungsten deposited. The
topography of the Si/W interface is affected by this reaction.
The etching and hence the topography can be reduced by, for
instance, addition of SiF4 to the reaction gas mixture.[76]
2. In the second step another reducing agent, H2, has to take
over, since the tungsten film, and probably the tungsten
fluoro polymer obtained, prevent the reactions between the
vapor and the silicon.

Figure 7.34. The two reaction steps in selective tungsten CVD.


452 Deposition Technologies for Films and Coatings

The chemical reactions in the first step are usually extremely fast and
a thermodynamically controlled CVD process is obtained. In the second
process step, the deposition process was operated at a low supersaturation
to avoid nucleation on the mask material (SiO2 ). The growth conditions in the
second step are close to those existing in the area-selective epitaxy
discussed above and can be analyzed from thermodynamics. Thermodynam-
ics has been used as a guide for prediction of trends in selectivity and substrate
etching when the deposition conditions are changed. It has also been used
for identification of plausible (and often undesired) side reactions as well as of
gaseous selectivity modifiers, improving selectivity.[9]
Adsorption-Induced Selective Growth. As discussed above, the
heterogeneous nucleation on one of the substrate materials must be
suppressed during a relatively long time in an ideal selective growth
system. The incubation time for nucleation is influenced by many factors:
temperature, substrate reactivity, adsorption, etc. Adsorbed molecules
may reduce the rate of surface reactions and, in extremes, inhibit the
nucleation completely. A concept of strongly adsorbed molecules to one
of the substrate materials was used to achieve area-selective growth of
boron carbide on a patterned substrate exposing areas of titanium and
molybdenum to the vapor.[77] Boron trichloride, ethylene, and hydrogen
were used as reactants and the deposition temperature was 1400 K. The
ethylene molecules (or fragments of them) were preferentially and strongly
adsorbed on molybdenum and no nucleation of boron carbide was
observed. On titanium, however, fast nucleation kinetics was obtained.
The deposition was located only to those substrate areas having titanium.
The boron carbide was amorphous and contained about 21 at% carbon.
This illustrates that adsorbed molecules may act as masks and can be
used to inhibit the deposition on desired substrate areas.

9.2 Phase-Selective Deposition

A new dimension in the field of selective growth is created in phase-


selective growth. Phase-selective growth means that several phases are
selectively and simultaneously deposited on desired substrate materials/
areas. This might result in growth of, for instance, a semiconductor together
with an insulator, i.e., selectivity in properties is also obtained. Phase-
selective deposition may be achieved in different ways. In this chapter, two
principles of phase-selective growth are discussed: phase-selective deposi-
tion attained by differential nucleation behavior and by secondary processes
in or on the growing film, respectively.
Chemical Vapor Deposition 453

Phase-Selective Deposition by Differential Nucleation Behavior.


The initial substrate/vapor reactions and the nucleation kinetics are usually
dependent on the substrate material. This may result in nucleation of different
phases on different substrate materials. Provided that no secondary
processes like phase-transformation in the solid state occur in the film or that
no new phase is nucleated on top of the growing film, the originally nucleated
phases will continue to grow and a phase-selective deposition is obtained.
This principle was used for phase selective-growth of two boron
carbides: T1-BCx and B13C2. The substrate used was that obtained after the
area-selective growth of boron carbide described above, i.e., the substrate
exposes molybdenum and amorphous boron carbide to the vapor. The vapor
contained boron trichloride, methane, and hydrogen and the growth tempera-
ture was 1400 K. T1-BCx was obtained on the amorphous boron carbide while
B13C2 was grown on molybdenum. This phase-selective growth was attrib-
uted to differential nucleation kinetics since no secondary processes were
observed in or on the films.[78]
Phase-Selective Deposition Achieved by using Secondary Pro-
cesses. Elemental boron has several crystalline polymorphs, and in addition
to that, amorphous boron also exists. Phase-selective growth was studied in
this system by using the Ti/Mo patterned substrates described above. Fast
nucleation was observed on both Ti and Mo. Amorphous boron was obtained
on Ti, while a-rhombohedral boron was grown on Mo. The boron grown on Ti
contained a small amount of Ti (about 500 ppm) throughout the layers, while
no traces of Mo was detected in a-B (detection limit 1 ppm). The Ti stabilizes
the originally nucleated amorphous boron.[79] To obtain crystalline boron, a
phase-transformation in the solid state is needed and such a transformation
can be assumed to have a high energy barrier to overcome. Amorphous boron
may also be obtained initially on Mo. However, an immediate phase
transformation is expected because of the deposition temperature used.
Moreover, the film did not contain any substrate contaminants contributing to
a stabilization of the amorphous boron. The morphology of the phase-
selectively deposited boron is shown in Fig. 7.35. The amorphous boron is
characterized by the rounded nodules.

10.0 SOME APPLICATIONS OF THE CVD TECHNIQUE

The CVD technique is known for its versatility in producing materials of


greatly varying properties. This is illustrated by the examples given in the
application list below.
454 Deposition Technologies for Films and Coatings

Figure 7.35. Phase-selective growth of amorphous boron (rounded nodules) and


a-rhombohedral boron on a substrate exposing titanium and molybdenum.[79]

! Microelectronics industries use CVD for growth of epitaxial


layers (vapor phase epitaxy, VPE) and for making films
serving as dielectrics, conductors, passivation layers, oxida-
tion barriers, etc. An emerging field is selective deposition
of refractory metals and silicides for metallization in VLSI.
! Semiconductor lasers of GaAs/(Ga,Al)As and InP/(In,Ga)As.
These substances are also used in microwave devices and
solar cells.
! Optical fibers for telecommunication. Optical fibers are
produced by coating the inside of a fused silica tube with
oxides of silicon, germanium, boron, etc., for obtaining the
correct refractive index profile. After the deposition the fused
silica tube is collapsed to a rod and the rod is then drawn into
a fiber.
! Solar energy conversion by the utilization of selective absorbers
and of dry solar cells of silicon and gallium arsenide.
! Wear resistant coatings have wide industrial applications.
Coatings of TiC, TiN and Al2O3 on cemented carbide cutting-
tool inserts and of TiC on steels (punches, nozzles, free
wheels, etc.) are well-known.
Chemical Vapor Deposition 455

! Friction reducing coatings for use in sliding and rolling


contacts, for example.
! Corrosion resistant coatings (Ta, Nb, Cr, etc.).
! Erosion resistant coatings (TiC, Cr7C3, B 4C, etc.).
! Heat-resistant coatings (Al2O3, SiC, Si 3N4, etc.).
! Fibers for use in fiber-reinforced materials (fibers of boron,
silicon carbide, boron carbide, etc.).
! Structural shapes (tubes, crucibles, heating elements, etc.)
of, for instance, tungsten and silicon carbide.
! Decorative coatings of, for instance, TiN (gold color) on
watches.

11.0 OUTLOOK

CVD offers many advantages in thin film deposition. With the use of new
precursors, the deposition temperature can usually be lowered considerably.
By lowering the total pressure, extremely sharp interfaces with respect to
chemical composition and topography can be obtained. The atmospheric
pressure CVD is attractive in many applications with its high deposition rates
and hence short process times. Since CVD processes are based on
interfacial chemistry, they are sensitive to contamination and load-lock
systems must be used to keep the contamination level low. The selective
deposition opens fascinating prospects for the future, not only for microelec-
tronic applications but also for materials science in general, and for engineer-
ing of interfaces and artificial materials.
456 Deposition Technologies for Films and Coatings

REFERENCES

1. Lindström, J. N. and Schachner, H.,Proc. of the 3rd European Conf. on


CVD, (H. E. Hintermann, ed.), p. 208 (1980)
2. Jansson, U., Carlsson, J. O., Stridh, B., Söderberg, S., and Olsson, M.,
Thin Solid Films, 172:81 (1989)
3. Claassen, W. A. P. and Bloem, J., J. Cryst. Growth, 51:443 (1981)
4. Zeleznik, F. J. and Goedon, S., Ind. Eng. Chem., 60:27 (1968)
5. van Zeggeren, F. and Storey, S. H., The Computation of Chemical
Equilibria, Cambridge University, Cambridge (1970)
6. Smith, W. R., Ind. Eng. Chem. Fundam., 19:1 (1980)
7. Smith, W. R. and Missen, R. W., Chemical Reaction Analysis, Wiley
Interscience, New York (1982)
8. Eriksson, G., Acta Chem. Scand., 25:2651 (1971)
9. Carlsson, J. O. and Hårsta, A., Thin Solid Films, 158:107 (1988)
10. Spear, K. E., Proc. of the 7th Int. Conference on CVD, (T. O. Sedgwick
and H. Lydtin, eds.), p. 6, The Electrochemical Society, Pennington, NJ
(1979)
11. Ottoson, M., Hårsta, A., and Carlsson, J. O., J. Electrochem. Soc.,
138(3):778 (1991)
12. Stull, D. R., et al., JANAF Thermochemical Tables, Nat. Stand. Ref.
Data Ser., NBS, 37 (1971)
13. Barin, I. and Knacke, O., Thermochemical Properties of Inorganic
Substances, Springer, Berlin (1973)
14. Kubaschewski, O., Evans, E. Ll., and Alcock, C. B., Metallurgical
Thermochemistry, Pergamon, Oxford (1967)
15. Ducarroir, M. and Bernard, C., J. Electrochem. Soc., 123:136 (1976)
16. Bessman, T. M. and Spear, K. E., ibid., 124:786 (1977)
17. Bernard, C., Proc. of the 8th Int'l. Conf. on CVD, (J. M. Blocher, Jr., G.
E. Vuillard, and G. Wahl, eds.), p. 3, The Electrochemical Society,
Pennington, NJ (1981)
18. Colmet, R., Naslain, R., Hagenmuller, P., and Bernard, C., ibid., p. 17
(1981)
19. Vandenbulcke, L., ibid., p. 32 (1981)
20. Wang, C. F. and Spear, K. E., ibid., p. 54 (1981)
21. Boman, M. and Carlsson, J. O., Surf. Technol, 24:173 (1985)
Chemical Vapor Deposition 457

22. Boman, M. and Carlsson, J. O., in Proc. of the 9th Int'l. Conf. on CVD,
(M. Robinsson, C. H. J. van den Brekel, G. W. Cullen, J. M. Blocher,
Jr., and P. Rai-Choudhury, eds.), p. 150, The Electrochemical Society,
Pennington, NJ (1984)
23. Spear, K. E., ibid., p. 81 (1984)
24. Carlsson, J. O., J. Vac. Sci. Technol., A6:1656 (1988)
25. Powell, C. F., Oxley, J. H., and Blocher, J. M., Jr., Vapor Deposition,
John Wiley & Sons, Inc., New York (1966)
26. Yee, K. K., Int. Met. Reviews, 1:19 (1978)
27. Perry, A. J., Beguin, C., and Hintermann, H. E., in Proc. of the 3rd
European Conf. on CVD, (H. E. Hintermann, ed.), p. 131 (1980)
28. Ban, V. S. and Gilbert, S. L., J. Cryst. Growth, 31:284 (1975)
29. Sedgwick, T. O., Smith, J. E., Ghez, Rh., and Cowher, M. E., J. Cryst.
Growth, 31:264 (1975)
30. J. Nishizawa, and M., Saito,in Proc. of the 8th Int'l. Conf. on CVD, (J.
M. Blocher, Jr., G. E. Vuillard, and G. Wahl, eds.), p. 113, The
Electrochemical Society, Pennington, NJ (1981)
31. Bird, R. B., Stewart, W. E., and Lightfoot, E. N., Transport Phenomena,
John Wiley & Sons, Inc., New York (1960)
32. Westphal, G., J. Cryst. Growth, 65:105 (1983)
33. Jensen, K. F., Proc. of the 9th Int. Conf. on CVD, (M. Robinsson, C. H.
J. van den Brekel, G. W. Cullen, J. M. Blocher, Jr., and P. Rai-
Choudhury, eds.), p. 3, The Electrochemical Society, Pennington, NJ
(1984)
34. Wahl, G., Thin Solid Films, 40:13 (1977)
35. Wahl, G. and Hoffman, R., Rev. Int. Hautes Temp. Refract., 17:7 (1980)
36. Schlichting, H., Boundary Layer Theory, 6th ed., McGraw-Hill, New
York (1968)
37. Eversteijn, F. C., Severin, P. J. W., van den Brekel, C. H. J., and Peek,
H. L., J. Electrochem. Soc., 117:925 (1970)
38. Talbot, L., Cheng, R. K., Schefer, R. W., and Willis, D. R., J. Fluid
Mech., 101:737 (1980)
39. Giling, L. J., J. Electrochem. Soc., 129:634 (1982)
40. Hwang, G. J. and Cheng, K. C., J. Heat Transfer, Trans ASME, 95:72
(1973)
458 Deposition Technologies for Films and Coatings

41. Coltrin, M. E., Kee, R. J., and Miller, J. A., Proc. of the 9th Int'l. Conf.
on CVD, (M. Robinsson, C. H. J. van den Brekel, G. W. Cullen, J. M.
Blocher, Jr., and P. Rai-Choudhury, eds.), p. 31, The Electrochemical
Society, Pennington, NJ (1984)
42. Rosenberger, F.,Fundamentals of Crystal Growth, Springer, New York
(1979)
43. Carlsson, J. O., J. Less-Common Metals, 70:97 (1980)
44. Claassen, W. A. P. and Bloem, J., J. Electrochem. Soc., 50, 807,
(1980)
45. Venables, J. A. and Price, C. R., Epitaxial Growth, (J. W. Matthews,
ed.), p. 381, Academic Press, New York (1975)
46. Chernov, A. A., J. Cryst Growth, 42:55 (1977)
47. Carlsson, J. O., Proc. of the 3rd European CVD Conference, (H. E.
Hintermann, ed.), p. 10 (1980)
48. Venables, J. A., Phil. Mag., 27:698 (1973)
49. Claassen, W. A. P. and Bloem, J., J. Electrochem. Soc., 127:1836
(1980)
50. Hirth, J. P. and Pound, G. H.,Condensation and Evaporation, MacMillan,
New York (1963)
51. Walton, D., J. Chem. Phys., 37:2182 (1962)
52. Vega-Boggio, J., Vingsbo, O., and Carlsson, J. O., J. Mater. Sci.,
12:1750 (1977)
53. van den Brekel, C. H. J. and Jansen, A. K., J. Cryst. Growth, 43:364
(1978)
54. Blocher, Jr., J. M., J. Vac. Sci. Technol, 11:680 (1974)
55. Eherlich, D. J. and Tsao, J. Y., ibid., B1:969 (1983)
56. Chin, B. H. and Ehrlich, G., Appl. Phys. Lett., 15:253 (1981)
57. Matsui, S. and Mori, K., J. Vac. Sci. Technol., B4:299 (1986)
58. Kunz, R. R., Allen, T. E., and Mayer, T. M., ibid., B5:1427 (1987)
59. Melngailis, J., ibid., B5:469 (1987)
60. Kato, T., Morimoto, H., Saitoh, K., and Nakata, H., ibid., B3:50 (1985)
61. Higashi, G. S., Blonder, G. E., and Fleming, C. G.,“Photon, beam, and
plasma stimulated chemical processes at surfaces”, (V. M. Donelly, I.
P. Herman, and M. Hirose, eds.), 75:117, Materials Research Society,
Pittsburgh, PA (1987)
62. Joyce, B. D. and Baldrey, J. A., Nature, 195:485 (1962)
Chemical Vapor Deposition 459

63. Borland, J. O., Proc. of the 10th Int'l. Conf. on CVD, (G. W. Cullen and
J. M. Blocher, Jr., eds.), p. 307, The Electrochem. Soc., Pennington,
NJ (1987)
64. Jastrzebski, L., J. Cryst. Growth, 63:493 (1983)
65. Jastrzebski, L., Corboy, J. F., McGinn, J., Pagliaro, R., Jr., J.
Electrochem Soc., 130:1571 (1983)
66. Tausch, F. W. Jr. and Lapierre, A. G., III, ibid., 112:706 (1965)
67. Furumura, Y., Mieno, F., Nishizawa, T., Maeda, M., ibid., 133:379
(1986)
68. Carlsson, J. O., Proc. of 13th Nordic Semiconductor Meeting, (M.
Östling, ed.), p. 298, The Royal Institute of Technology, Stockholm
(1988)
69. Carlsson, J. O., Unpublished
70. Green, M. L., Borland, J. O., Proc. of the 10th Int'l. Conf. on CVD, (G.
W. Cullen and J. M. Blocher, Jr., eds.), p. 603, The Electrochem. Soc.,
Pennington, NJ (1987)
71. Lami, P. and Pauleau, Y., J. Electrochem. Soc., 135:980 (1988)
72. Pauleau, Y., Lami., P., Tissier, A., Panyel, R., and Oberlin, J. C., Thin
Solid Films, 143:259 (1986)
73. Green, M. L., Ali, Y. S., Boone, T., Davidsson, B. A., Feldman, L. C.,
and Nakahara, S., J. Electrochem. Soc., 134:2285 (1987)
74. Lifshitz, N., Appl. Phys. Lett., 51:967 (1987)
75. McConica, C. M. and Krisnamani, K., J. Electrochem. Soc., 133:2542
(1986)
76. Levy, R. A., Greene, M. L., Gallagher, P. K., and Ali, Y. S., J.
Electrochem. Soc., 133:1905 (1986)
77. Jansson, U. and Carlsson, J. O.,J. Vac. Sci. Technol., A6:1733 (1988)
78. Jansson, U., Carlsson, J. O., Markert, L. M., and Greene, J. E.,
Submitted to J. Vac. Sci. Technol., A7(6):3172 (1989)
79. Jansson, U., Carlsson, J. O., Markert, L. M., and Greene, J. E.,J. Vac.
Sci. Technol., A9(2):266 (1991)
8

Plasma-Enhanced Chemical Vapor


Deposition

Arthur Sherman

1.0 INTRODUCTION

Chemical vapor deposition is the process of thin film formation on a solid


surface by the heterogeneous reaction between a reacting gas and a hot
surface.[1] In some instances, the temperatures necessary to achieve
acceptable deposition rates can be too high to be useful. For example,
titanium nitride deposition at acceptable rates from a gas mixture of TiCl4 , N2
and H2 requires temperatures on the order of 1000ºC. This is a disadvantage
when the film is being used to provide a hard surface on tool steel, since this
temperature is higher than the steel’s softening temperature, and control of
critical dimensions cannot be maintained. Similarly, silicon nitride is an
excellent passivation and barrier layer for integrated circuits. However, the
process of deposition from SiCl2H2 and NH3, again at acceptable rates,
requires temperatures as high as 900ºC. This clearly cannot be used over
aluminum metallization with a melting point of 660ºC. Finally, it is also
important to lower deposition temperatures because of the reduction in
critical dimensions in VLSI integrated circuits (very large-scale ICs). In
this instance, diffused layers become quite thin (1000 - 2000 Å), and they
cannot be maintained when the wafer has to be heated to a temperature
which is too high.

460
Plasmas in Deposition Processes 461

The requirement for lower deposition temperatures can sometimes be


met by using different gaseous reactants such as TiCl4 and NH3 to deposit
titanium nitride at ~600ºC.[2] However, the number of such choices is limited.
An alternative would be to create an electrical discharge in the reactant
gases.[3] This will produce a significant number of free radicals (i.e., SiH4 →
SiH2, SiH and NH3 → NH, NH2, etc.), and these will be much more reactive
at lower surface temperature.
All plasma-enhanced CVD reactors operate with low pressure discharges
(glow discharges) sustained by RF. This is the preferred approach since a
high-volume, uniform discharge with high electron energy can be created that
can dissociate a significant fraction of the reactive gases. Since reactor walls
will be in contact with the plasma, it will be necessary to evaluate their
influence on the discharge behavior.
In addition to the deposition of PECVD silicon nitride at moderate
temperatures (~350ºC), many other films can be deposited by this technique.
Silicon dioxide is often deposited by PECVD, in spite of the fact that it can be
deposited thermally at 400ºC. This points to the other reason for using
PECVD—that it is possible to vary the stoichiometry of the resulting film. This
is much more difficult with a strictly thermal process. Similarly, PECVD
amorphous silicon has a substantial amount of hydrogen in the film (30 - 40
%), and as a result, it can be used to fabricate solar cells. Other materials that
can be deposited by this technique include refractory metals, refractory metal
silicides, and aluminum.

2.0 REACTOR INFLUENCE ON PLASMA BEHAVIOR

Since we are always dealing with plasmas confined within a reactor


chamber, we must study the nature of plasma-surface interactions. Initially
we discuss the characteristics of AC and DC discharges. Then what happens
when the two electrodes are of unequal size is covered. Finally, we analyze
the effects of different frequencies on the operation of an AC discharge.

2.1 DC/AC Glow Discharges

Using an applied DC voltage, a nonuniform glow discharge is created in


a low pressure gas (~1 Torr), as shown in Fig. 8.1. [4] A sheath is formed
462 Deposition Technologies for Films and Coatings

next to the cathode where few collisions occur and charge neutrality is not
obeyed. In this region, ions are accelerated toward the cold cathode, and upon
striking emit secondary electrons. These secondary electrons sustain the
discharge. An alternative would be to use a hot cathode which serves as a
thermionic emitter. The sheath includes the Aston, Crookes and Faraday dark
spaces as well as the cathode and negative glows. This region has a net
positive charge because of the excess of ions there. The positive column
shows no net space charge, so this is the true plasma. It’s electrical resistivity
is low, so only a weak electrical field is necessary to establish a current flow.
Ions and electrons recombine to neutral atoms in this region, either by gas
phase recombination or diffusion to the tube walls. They are regenerated by
electron impact ionization.
A potential difference is established between the positive column and the
tube wall, because the highly mobile electrons tend to flow rapidly out to any
surface, while the heavy ions remain immobile. This creates a negative
potential on the wall which hinders further electron outflows. A sheath forms
next to the wall which has a deficit of electrons and therefore a positive net
charge. The ions in the plasma see the negative wall potential, however, and
are attracted to the wall. This ion diffusion to the walls is referred to as
ambipolar diffusion.
When the glow discharge of Fig. 8.1 is operated under AC conditions
(below 10 kHz), two dark spaces are observed. We have essentially created
DC discharges of alternating polarity, since there is time between cycles for
the discharge to extinguish at low frequencies. Depending on geometry and
gas, the starting of an AC discharge depends on frequency and pressure.[5]
Finally, when an AC discharge is set up with a blocking capacitor between the
power supply and one of the electrodes, that electrode has a negative self-bias.
This causes ions to accelerate toward this electrode at high energies, and
plasma etching for ICs uses this phenomena to create anisotropic etches.[6]
Figure 8.2 provides an excellent explanation of why a negative self-bias
forms.[7] In the figure on the left, a conducting probe is placed in a plasma
where a positive voltage causes a large current flow. A negative voltage
produces only a small current because the ions cannot move readily. This
produces a zero self-bias. When the probe is attached to a capacitor, the
figure on the right shows what happens. The average applied voltage must be
negative to satisfy the condition of no average current flow. Therefore, a
negative bias forms.
Plasmas in Deposition Processes 463

Figure 8.1. A DC glow discharge at low pressure.[4]


464 Deposition Technologies for Films and Coatings

Figure 8.2. Creation of negative self-bias in AC discharge.[7]

2.2 AC Discharges with Unequal Area Electrodes

Next, we can evaluate the situation that occurs when one electrode is
larger or smaller than the other, as shown in Fig. 8.3. If it is assumed that the
ion current density to all internal surfaces is the same, then a relationship
between V1/V2 and A 1/A2 can be derived.[8]

4
V1  A2 
= 
V2  A1 
Eq. (1)

Some experimental work has been done to examine the validity of Eq. (1).[9]
Here peak-to-peak and AC bias voltages were measured in a 13.56-MHz glow
discharge. Results showed that voltage ratios depended on the electrode area
ratios as well as the electrode material, the gas, the pressure and the peak-
to-peak voltages. Some of these results are shown in Fig. 8.4 for argon in a
stainless steel chamber operated at 50 mTorr with a peak-to-peak voltage of
600 V.
Under these conditions, V1/V2 = (A1/A2)n is a fair representation of the
data, but n≅ 4 for only 0.6 < A1 /A2 < 1.0 Smaller ratios give n ≅ 1. From these
results, we conclude that the DC bias that will appear on the electrode carrying
wafers will depend on the electrode configuration. It can also be a function of
the frequency used, as discussed next.
Plasmas in Deposition Processes 465

Figure 8.3. Reactor with unequal size electrodes.

Figure 8.4. Voltage ratio versus area ratio for argon plasma.[9]
466 Deposition Technologies for Films and Coatings

2.3 Frequency Effects on RF Plasma Reactor Behavior

The prevailing wisdom is that lower frequency discharges (i.e., 50 kHz)


will yield films with greater compressive stress because ion bombardment is
more intense. Recent experiments have confirmed this effect.[10] They were
done in a parallel-plate reactor configuration with one electrode having a hole
in it. Then ions passing through this hole were electrostatically retarded, and
the cutoff voltage measured. This voltage as a function of power level and
frequency is shown in Fig. 8.5.[10]

Figure 8.5. Cl2 plasma beam maximum ion energy. Circles = 27 MHz; triangles
= 100 kHz; solid = Cl2*; open = Cl*.[10] (Reprinted by permission of the publisher,
The Electrochemical Society, Inc.)
Plasmas in Deposition Processes 467

One explanation of why the lower frequency produces more intense ion
bombardment is that the sheath potential is higher. Then, since the electrons
are lighter, they diffuse out of the plasma first and the electrode assumes a
negative bias. For higher frequencies, however, there is less time for electrons
to diffuse out between cycles, so there is less need for a strong negative bias
to form. A weaker negative bias means lower energy ions at the electrode
surface.
Alternately, we recognize that the plasma potential varies with time.[12]
When an ion can cross the entire sheath before a reversal of the applied field,
it sees the maximum sheath potential. For higher frequencies, the ion does
not make it all the way across before the applied field reverses, so it sees only
the average sheath potential or 1/3 the maximum.

2.4 Adjusting DC Bias for Fixed Electrode Geometry

Since changing the electrode geometry of a PECVD reactor is a major


hardware modification, it would be desirable to change the DC bias on the
electrode holding the wafers by altering the RF power to the system. This can
be done either by inserting a variable LC circuit between this electrode and
ground[13] or by powering each electrode with separate power supplies.[14]
This latter arrangement is shown in Fig. 8.6. By using a low frequency (50 -
400 kHz) on the lower electrode and a high frequency on the upper one (13.56
MHz), a stable discharge is created along with the ability to control the DC bias
on the lower electrode. As discussed in Sec 3.1, this arrangement permits
control of film stress, density, step coverage and stoichiometry.

2.5 Plasma-Enhanced CVD (PECVD) Reactors

There are three well-known methods of creating plasmas for thin film
deposition. In one, a pair of electrodes are placed in a low pressure gas, and
either an AC or a DC voltage applied to create a glow discharge. If the film being
deposited is a nonconductor, only AC will work, so it is generally used. A
second approach uses a coil wound around a nonconducting tube containing
the gas. Then an AC field excites strong fields inside the tube and a discharge
can be created. Finally, a pair of electrodes can be placed, one on each side
of a dielectric tube filled with gas, and again an AC voltage applied. This also
produces a strong field inside the tube, and a discharge can be created.
468 Deposition Technologies for Films and Coatings

Figure 8.6. Dual frequency RF configuration.[14]

Cold-Wall, Parallel-Plate PECVD Reactors. The original reactor of


this type is shown in Fig. 8.7. It has circular symmetry and the wafers sit on
a heated platen. Reactants are introduced at the outer edge and exhausted
down the center. The theory was that there would be a stronger discharge in
the center, and that this would be offset by a shorter residence time as gases
flowed over the platen, leading to a more uniform deposition.
Another version that introduced gases at the center was developed by
Applied Materials[16][17] and is shown in Fig. 8.8. To improve uniformity of
deposition, the platen is rotated so it must be heated by radiation. For this
design, the platen is grounded and typically operates at ~325°C, and a 50 kHz
power supply is used at 500 - 1000 watts. For 4 inch wafers, a load size of
22 wafers is practical so that good throughput is achieved.
Hot-Wall, Parallel-Plate PECVD Reactors. The PECVD reactors just
described operate with cold walls to minimize deposition on the reactor.
However, this configuration limits the number of wafers in a single load, and
therefore the throughput. Another approach would be to arrange long parallel
and narrow electrodes so that they could fit into a hot tube, such as a diffusion
furnace; then batch size could be much larger. Such an arrangement is shown
in Fig. 8.9.
Plasmas in Deposition Processes 469

Figure 8.7. Radial-flow, plasma-enhanced CVD reactor after Reinberg.[15]


470 Deposition Technologies for Films and Coatings

Figure 8.8. Radial-flow, plasma-enhanced CVD reactor.[16]


Plasmas in Deposition Processes 471

Figure 8.9. Hot-wall, parallel-plate reactor for plasma-enhanced CVD. (Courtesy


of Pacific Western Systems, Inc.)

Five rectangular electrodes are positioned down the length of a quartz


tube and held equidistant from each other. Wafers sit in pockets in these
electrodes, which are alternately powered by 400 kHz power. A load of 84 4-
inch wafers can be run in this system compared to 22 in the cold-wall system,
so throughput is higher.
Electron Cyclotron Resonance (ECR) CVD Reactor. Finally, electron
cyclotron resonance[18] has been used with 2.45 GHz power to operate a cold-
wall, single-wafer PECVD system such as that shown in Fig. 8.10. The
system operates at low pressures (~1 mTorr) with a solenoidal magnetic field
used to create the resonance condition. In this case, a very high degree of
ionization is created away from the wafer, and since the pressure is low, the
high number density of free radicals created persist until the plasma reaches
the wafer. This prevents undesirable wafer bombardment during deposition.
472 Deposition Technologies for Films and Coatings

Figure 8.10. ECR (Electron Cyclotron Discharge) reactor for plasma-enhanced


CVD (after Matsuo[18]).

3.0 FILMS DEPOSITED BY CVD

In the present section, we review some of the films that can be deposited
by PECVD, with particular emphasis on those that are commercially important
for fabrication of integrated circuits. Therefore, we concentrate on silicon
nitride and silicon dioxide films. Amorphous silicon films are also deposited
by PECVD, but they are used commercially in the manufacture of solar cells
(not in the IC industry). Many other films can be deposited by PECVD,
including conducting films, and these are reviewed briefly. More details are
available elsewhere.[1]

3.1 Silicon Nitride

PECVD of silicon nitride has generally been done using SiH4 as the silicon-
bearing reactant and some combination of NH3, N2 and H2 with one of several
inert gases as diluents. The reactors used are all capacitively coupled and run
at RF frequencies. For a particular reactor configuration, some of the parameters
governing the film are:(i) operating pressure,(ii) operating temperature,(iii)
discharge frequency, and (iv) reactant gas mixture.
Plasmas in Deposition Processes 473

The film quality obtained will be related to:(i)stoichiometry,(ii)H2 content,(iii)


impurities, (iv) density, and (v) stress. The first three items relate to the film
chemistry, and it is an interesting feature of such films that their composition
can be controlled far more easily than is possible with a thermal process. The
film density and stress relate to the mechanical behavior of the film, and
therefore to its effectiveness as a diffusion barrier.
Most of the development of PECVD silicon nitride has been done in
systems such as those shown in Figs. 8.8 and 8.9 operated at a single low
frequency. For the cold-wall system of Fig. 8.8, a frequency of 50 kHz is
generally used. The hot-wall system of Fig. 8.9 has usually been operated at
400 kHz. More recently, silicon nitride films have been deposited in cold-wall
systems operated at dual frequencies.
When the reactor of Fig. 8.7 is operated at 50 kHz, 200 mTorr, gas flows
of SiH4 /NH3/N2 = 140/270/800 sccm, at 500 watts, useful films are deposited.
In Table 8.1, we compare these films to ones deposited thermally. Similar
experiments carried out in a hot tube version reactor (see Fig. 8.8) yielded data
on film quality as a function of several operating parameters.[20] For example,
stress depends on wafer temperature, RF frequency, and gas pressure as
shown in Fig. 8.11. For each of the three curves, the conditions were as
specified below:

Pressure: T = 300°C f = 310 kHz


SiH4/N2 /NH3 = 100/300/1100 sccm

Frequency: T = 300°C P = 130 Pa


SiH4/N2 /NH3 = 100/700/700 sccm

Temperature: p = 130 Pa f = 310 kHz


SiH4/N2 /NH3 = 100/200/1200 sccm

In general, a slight compressive stress in the film is the preferred condition. A


film with high tensile stress will tend to crack, and one with high compressive
stress will tend to delaminate. For this film and this system, it is best to stay
below 4 MHz in frequency and 600°C in temperature. Since these silicon
nitride films are used to passivate over aluminum, temperatures well below
500°C are preferred; and this can lead to compressive stress which is too high.
Increasing the pressure can also lower the compressive stress, but this may
lead to unacceptable film uniformity in a batch reactor environment.
474 Deposition Technologies for Films and Coatings

Table 8.1. Physical and chemical properties of CVD and PECVD silicon
nitride films.[19]

Property High Temp. Nitride Plasma Dep. Nitride


900°C 300°C
Composition Si 3N4 SiN x
Si/N Ratio 0.75 0.8 - 1.0
Solution Etch Rate
Buffered HF 20-25°C 10 - 15 Å/min 200 - 300 Å/min
49% HF 23°C 80 Å/min 1500 - 3000 Å/min
85% H3PO4 155°C 15 Å/min 100 - 200 Å/min
85% H3PO4 180°C 120 Å/min 600 - 1000 Å/min
Plasma Etch Rate
82% CF4-8% O2, 700 W 600 Å/min 1000 Å/min
Na+ Penetration <100 Å <100 Å
IR Absorption
Si-N max. ~830 cm-1 ~830 cm-1
SiH minor - 2,200 cm-1
Density 2.8 - 3.1 g/cm3 2.5 - 2.8 g/cm3
Refractive Index 2.0 - 2.1 2.0 - 2.1
Dielectric Constant 6-7 6-9
Dielectric Strength 1 x 107 V/cm 6 x 106 V/cm
Bulk Resistivity 1015 - 10 17Ω-cm 10 15 Ω-cm
Surface Resistivity >1013 Ω-cm 1 x 1013 Ω-cm
Intrinsic Stress 1.2 - 1.8 x 1010 dyn/cm2 1 - 8 x 109 dyn/cm2
Tensile Compressive
Thermal Expansion 4 x 10-6/°C -
Color, Transmitted None Yellow
Step Coverage Good Conformal
H2O Permeability Zero Low - None

Another very important feature of PECVD silicon nitride films is the


hydrogen content, which can be as high as 40%. For those systems operated
at a single frequency, the hydrogen content of the film varies strongly with
temperature and gas mixture, as shown in Fig. 8.12.[21] As can be seen,
removing the NH3 from the gas mixture produces a lower hydrogen content.
Similarly, increasing the temperature of deposition sharply reduces the H2.
Plasmas in Deposition Processes 475

Figure 8.11. Stress in silicon nitride films as functions of pressure, frequency and
temperature.[20] (Reprinted by permission of the publisher, The Electrochemical
Society, Inc.)

As circuit parameters have become more extreme with the advent of VLSI
and ULSI circuits, the demands on PECVD silicon nitride films have increased.
An excellent review of problems in passivation, such as its influence on
aluminum voiding, electromigration, hillock formation, hot carriers, etc. has
been published recently.[22]
One way to deal with these new requirements is to use a PECVD
reactor operated with dual frequency, such as the one shown in Fig. 8.6.[14]
The use of this feature allows considerably more flexibility in film deposition
476 Deposition Technologies for Films and Coatings

andis, in effect, another available system parameter to be adjusted. The effect


of the high frequency is to produce a more stable discharge, while the low
frequency increases the ion bombardment. For example, the stress can be
changed from tensile to compressive simply by adjusting the ratio of low
frequency/high frequency power. For a constant power level of 0.4 watts/cm2,
the film stress is shown in Fig. 8.13. Also, even though the total hydrogen
content of the film may be the same, it is possible to vary the Si-H vs. N-H
bonds. Figure 8.14 shows that the Si-H bonds can be dramatically reduced
at higher percentages of low frequency power. Apparently, the Si-H bond is
much weaker than the N-H bond, so that in films where there is a large amount
of Si-H, it is more likely that the hydrogen atoms will be mobile in the circuit.
This can cause hot carrier problems as noted earlier.[22]

Figure 8.12. Hydrogen % versus deposition temperature for films deposited with
SiH4 + NH3, SiH4 + N2 and SiH4 + NH3 + N2 (one point).[21]
Plasmas in Deposition Processes 477

Figure 8.13. Film stress as a function of percent of low frequency power.[14]

Figure 8.14. Si-H and N-H content of PECVD silicon nitride as a function of percent
of low frequency power.[14]
478 Deposition Technologies for Films and Coatings

3.2 Silicon Dioxide

PECVD oxide can be grown from a number of reactants. Most work has
been done with SiH4 plus any one of a number of oxidizers such as O 2, CO2,
N2 O. Because of the potential for gas phase nucleation, O2 is generally
avoided; the most commonly studied system is SiH4 + N2 O.[17] More
recently, there has been considerable interest in the use of TEOS
(tetraethoxysilane) plus O2, because of the improved step coverage possible
with this system.[23]
To illustrate the process, we can review the SiH4 + N2O PECVD process
carried out in a cold-wall parallel-plate reactor (Fig. 8.8) at 57 kHz, 400 mTorr
and 300°C. Typical results are listed in Table 8.2, where they are compared
to similar results for PECVD silicon nitride. Observe that although the power
level used for oxide is one-third that for nitride, the deposition rate is twice as
high. Probably, the most significant finding is that there can be nitrogen as
well as hydrogen in this film. In fact, adjusting the film stoichiometry and
creating only nitrides is one of the most useful features of such a system.

Table 8.2. Plasma oxide and nitride characteristics.[17]

Silicon Dioxide Silicon Nitride

Gases SiH4 + N2O SiH 4 + NH3 + N2


% SiH4 2% 9%
% N2O, NH3 resp. 98% 45%
RF Power Density 0.05 W/cm2 0.17 W/cm2
RF Frequency 57 kHz 57 kHz
Operating Pressure 53 Pa 33 Pa
Substrate Temperature 300°C 300°C
Deposition Rate 60 nm/min 38 nm/min
Film Uniformity ± 5% ± 4%
Film Composition SiO1-9N0-15 Si3-2N4(H)
Refractive Index 1.54 2.02
Film Density 2.38 g/cm3 2.75 g/cm3
Etch Rate (B.O.E.) 130 nm/min 20 nm/min
Etch Rate (CF4 + O2 plasma) 10 nm/min 150 nm/min
Plasmas in Deposition Processes 479

For example, we can adjust the dielectric constant over a range of 3:1
by changing the gas phase ratio of N2O to silane, as shown in Fig. 8.15. These
experiments were carried out again in a parallel-plate cold-wallreactor at 600
mTorr and 350°C at a high frequency, 13.56 MHz.[24] Increasing the silicon
content of the film appears to increase the dielectric constant.

Figure 8.15. Dielectric constant as a function of flow ratio (N 2O/SiH4).[24]

As noted earlier, one of the most perplexing problems associated with


PECVD of silicon oxide films is the fact that the films tend to be nonconformal.
Therefore, when covering high aspect ratio holes, the tendency is for the film
to close over, leaving a hole behind as shown in Fig. 8.16.[23] The far more
conformal coverage of the TEOS-based film is either due to a larger mean-free
path for diffusion[23] or a much lower reactive sticking coefficient. [25]
480 Deposition Technologies for Films and Coatings

Figure 8.16. SEM cross section micrographs of plasma oxide deposited from (a)
silane and (b) TEOS for an aspect ratio of 0.74 (aspect ratio = metal height/metal
space)[23]

The process conditions for the PECVD TEOS films are shown in Table
8.3, and the film properties are reported in Table 8.4. It is interesting to note
that these depositions were done at 13.56 MHz in a cold-wall reactor.[23] Also,
in spite of the film deposited from TEOS, there is very little carbon contamination
of the film.
Plasmas in Deposition Processes 481

Table 8.3. Plasma TEOS Processing Conditions

Gas Composition TEOS + O2


TEOS Flow 35 sccm
O2 /TEOS Ratio 20:1
Operating Pressure 2 Torr
RF Frequency 13.56 MHz
RF Power 0.5 watts/cm2
Substrate Temperature 400°C
Deposition Rate 2050 Å/min

Table 8.4. Dielectric Film Properties

Thickness Uniformity ±1.5%


Stoichiometry Si:O = 1.0:2.0 (RBS)
Carbon Content <0.2 atom % (SIMS)
Refractive Index 1.45 ± 0.01
Stress 1.5 x 109 dynes/cm2
Etch Rate (7:1 BOE) 2200 Å/min
Breakdown Voltage 6 - 7 MV/cm
Fixed Charge, QF <2.5 x 1011 cm-2
Mobile Ions, QM <6 x 1010 cm-2

3.3 Conducting Films

The silicon nitride and oxide films just discussed are the only PECVD
films currently being used commercially in integrated circuit manufacture.
There are, however, many materials that can be deposited by this technique.
These include other dielectrics, semiconductors (polysilicon, epi-silicon) as
well as conductors. The latter may eventually be of commercial significance,
so it is of value to summarize some of the more interesting studies that have
been done. Table 8.5 lists some of the more interesting PECVD conducting
films.
482 Deposition Technologies for Films and Coatings

Table 8.5. Conducting films deposited by PECVD

Material Reactants Temperature Pressure Frequency References


(°C) (mTorr) (MHz)

W WF6,H2 350 200 4.5 26


WSi2 WF6,SiH4 230 600 13.56 27
Mo MoCl5,H2 350 1000 - 28
MoSi2 MoCl5,H2 400 1000 - 28
TaSi2 TaCl5,SiH2Cl2 580 1500 3.5 29
TiSi2 TiCl4,SiH 4 450 750 0.05 30
Al Al(CH3)3 - 200 13.56 31
TiN TiCl4,N2,H2 400 3000 13.56 32
TiCl 4,NH 3 400 1000 12.0 33

In general, if temperature of deposition is a critical issue, then PECVD


may be a viable approach to metal CVD. However, the films are likely to have
a higher level of impurities compared to the thermal CVD ones, and this may
prove a significant limitation.

REFERENCES

1. Sherman, A., Chem. Vapor Deposition for Microelectronics, Noyes


Publications, Park Ridge, NJ (1987)
2. Sherman, A., J. Electrochem. Soc., 137:1892 (1990)
3. Sherman, A., Thin Solid Films, 113:135 (1984)
4. Brown, S. C., Basic Data of Plasma Physics, John Wiley & Sons, New
York (1959)
5. Brown, S. C.,Handbuch der Physik., Vol. 22, (S. Flugge, ed.), Springer-
Verlag (1956)
6. Thornton, J. A.,Deposition Technologies for Films and Coatings, (R. F.
Bunshah, ed.), Noyes Publications, Park Ridge, NJ (1982)
7. Butler, H. S., and Kino, G. S., Phys. Fluids, 6:1346 (1963)
8. Koenig, H. R., and Maissel, L. I., IBM J. Res. Develop., 14:168 (1970)
9. Horwitz, C. M., J. Vac. Sci. Technol., A1:60 (1983)
Plasmas in Deposition Processes 483

10. Smith, D. L. and Bruce, R. H., J. Electrochem. Soc., 129:2045 (1982)


11. Bruce, R. H., J. Appl. Phys., 52:7064 (1981)
12. Bruce, R. H.,Proc. of the Symp. on Plasma Etching and Deposition,81-
1:243 (1981)
13. Logan, J. S., IBM J. Res. Develop., 14:172 (1970)
14. Van de Ven, E. P., Connick, I-W. and Harrus, A. S., Proceeding of the
7th Internat. VLSI Multilevel Interconnection Conf., IEEE, New York,
(1990)
15. Reinberg, A. R., Radial Flow Reactor, U.S. Patent 3,757,733, (Sept. 11,
1973)
16. Rosler, R. S., Benzing, W. C., and Baldo, J., Solid State Technology,
19(6):45 (1976)
17. Van de Ven, E. P. G. T., Solid State Technology, 24(1):167 (1981)
18. Matsuo, S. and Kiuchi, M.,Proc. Symp. on Very Large-Scale Integration
Science and Technology, p. 83, Electrochem. Soc., Pennington, NJ
(1982)
19. Hollahan, J. R., Wauk, M. T., and Rosler, R. S., Proceedings of the 6th
International Conf. on Chemical Vapor Deposition,(L. F. Donaghey, P.
Rai-Choudhury and R. N. Tauber, eds.), p. 224, Electrochem. Soc.,
Pennington, NJ (1977)
20. Claasen, W. A. P., Valkenburg, W. G. J. N., Willemsen, M. F. C., and
v.d. Wijgert, W. M., J. Electrochem. Soc., 132:893 (1985)
21. Chow, R., Lanford, W. A., Ke-Ming, W., and Rosler, R. S.,J. Appl. Phys.,
53:5630 (1982)
22. Harrus, A. S., and Van de Ven, E. P., Semiconductor International, p.
124, (May 1990)
23. Chin, B. L., and Van de Ven, E. P.,Solid State Technology,p. 119 (April
1988)
24. Yokoyama, S., Dong, D. W., DiMaria, D. J., and Lai, S. K., J. Appl.
Phys.,54:7058 (1983)
25. Cheng, L-Y, McVittie, J. P., and Seraswat, K. C., ULSI Science and
Technology, (C. M. Osburn, and J. M. Andrews, eds.), 89-9:586,
Electrochem. Soc., Pennington, NJ (1989)
26. Hess, D. W., Proc. of the Matl. Res. Soc. Symp., Vol. 38 (1985)
27. Akitomoto, K., and Watanabe, K., Appl. Phys. Lett., 39:445 (1981)
28. Tabuchi, A., Inoue, S., Maeda, M., and Takagi, M.,Proc. 23rd Symp. on
Semicond. and IC Tech. of Japan, p. 60 (1982)
484 Deposition Technologies for Films and Coatings

29. Hieber, K., Stoltz, M., and Wieczorek, C.,Proceedings of 9th International
Conf. on Chem. Vapor Dep., (McD. Robinson, G. W. Cullen, eds.), 84-
6:205, Electrochemical Society, Pennington, NJ, (1984). This figure was
originally presented at the Spring 1984 Meeting of the Electrochemical
Society, Inc. held in Cincinnati, OH.
30. Rosler, R. S., and Engle, G. M., J. Vac. Sci. and Technol., 82(4):733
(1984)
31. Ito, T., Sugii, T. and Nakamura, T., Digest of Papers of 1982 Symp. on
VLSI Technol., IEEE, New York (1982)
32. Jang, D. H., and Chun, J. S., J. Vac. Sci Technol., A7(1):31 (1989)
33. Hilton, M. R. et al., Thin Solid Films, 139:247 (1986)
9

Plasma-Assisted Vapor Deposition


Processes: Overview

Rointan F. Bunshah

1.0 INTRODUCTION

The previous chapters on Evaporation Deposition, Sputter Deposition,


Ion Plating, Chemical Vapor Deposition, and Plasma-Assisted Chemical
Vapor Deposition have covered all the major vapor deposition technologies. A
perusal of these chapters shows that plasma-assisted vapor deposition is very
important and extensively utilized for the deposition of compounds and novel
technological materials as illustrated below.
Compounds
Oxides - TiO2, ZrO2 , Al2O3, SiO2, Y2 O3 , etc.
Nitrides - TiN, ZrN, HfN, (Ti, Al)N, Ti, Zn)N, etc.
Carbides - TiC, ZrC, HfC, TaC, WC, W2C, etc.
Carbo-nitrides - TixCyNz, ZrxCyNz, etc.
Sulfides - TiS2 , MoS2, MoS3
Novel Technological Materials
Low Tc Superconductors - NbN, Nb3 Ge, CuMo6S 8
High Tc Superconductors - YBa2Cu3O7-d
Photovoltaic Materials - aSi-H, CuInSe2, CuInS2
Optoelectronic Materials - ZnO, In(Sn)O2
Superhard Materials - Diamond, Cubic Boron Nitride, Amor-
phous (Diamond-like Carbon), C3N4

485
486 Deposition Technologies for Films and Coatings

This chapter reviews the currently used plasma-assisted vapor deposi-


tion processes. They are analyzed in terms of the three steps in deposition
processes, i.e., generation of the depositing species, transport from source
to substrate, and film growth on the substrate. The role of the plasma in each
of the steps for the various processes is discussed. All processes involve two
sets of parameters, the plasma parameters and the process parameters.
These parameters couple to a greater or lesser degree in each of the basic
processes which reflects on their versatility. The role of plasma volume
chemistry and plasma diagnostics is discussed. It is clear that a deeper basic
understanding of plasma-assisted deposition processes necessitates a much
greater volume of work on plasma diagnostics coupled with theoretical
estimates. The role of ion bombardment on the structure, composition, and
properties of the films is given. Hybrid processes which attempt to circumvent
the somewhat deleterious intercoupling of the plasma and process param-
eters are briefly discussed.
There are a large number of processes used to deposit thin and thick films
of metals, alloys, ceramics, composites, etc., from solutions, gases and in a
vacuum environment. They can be classified based on the size of the
depositing species.[1] For example:
Atomic deposition processes: electrodeposition, electroless
deposition, evaporation, sputtering, chemical vapor deposition,
etc.
Droplet deposition processes: flame spray, wire spray, plasma
spray, detonation gun, enameling, electrophoretic coating.
Bulk deposition processes: painting, dip coating, printing, spin
coating, explosive compaction, roll bonding, weld coating, etc.
Current and future applications in the high technology areas require the
deposition of simple and multiple layers of various materials in thin film form.
The materials being deposited are metals, alloys ceramics, polymers,
and composites on a variety of metallic and non-metallic substrates. The
processes used are broadly classified into physical (PVD) and chemical
vapor deposition (CVD) processes as illustrated in Table 9.1. In PVD
processes, the deposition of compounds can be carried out either by direct
evaporation/sputtering or reactive evaporation/sputtering. The term direct
connotes that the target is the same compound as the film. Reactive implies
that a metal/alloy is evaporated/sputtered in the presence of a reactive gas
Plasma-Assisted Vapor Deposition Processes 487

to deposit a compound. For example titanium is sputtered in nitrogen to


deposit titanium nitride. The properties of the compounds are strongly
influenced by their stoichiometry, i.e., anion:cation ratio. Control of stoichi-
ometry in a deposited film is therefore very important and can be more readily
achieved with reactive processes as compared to direct processes, as
discussed in Ref. 2.
This chapter will therefore concentrate on critical issues of plasma
assistance in thin film deposition processes, with the emphasis on reactive
deposition for compound films.

Table 9.1. Classification of PVD and CVD Processes


488 Deposition Technologies for Films and Coatings

2.0 PLASMA-ASSISTED DEPOSITION PROCESSES

In reactive deposition processes, the introduction of a plasma can be very


beneficial or even essential. It should be noted that a plasma of a working gas
(such as argon) is an inherent part of the sputtering process. On the other
hand, for evaporation and CVD processes, plasmas are options that may be
used if needed. In that sense, they are more versatile, i.e., offer an extra degree
of freedom to the process. Plasmas are used not only in deposition
processes, but also for etching and polymerization.
Whenever a plasma is employed in a process, there are two sets of
variables.
Plasma variables: electron density, electron energy, and its
distribution function
Process variables: evaporation / sputtering rates, gas com-
position, pressure and flow rate, substrate bias, substrate
bombardment, etc.
Unfortunately, these sets of variables are not independent. Changes in
a process variable can effect other process variables as well as the plasma
parameters. The degree of this interdependency varies with the type of
process as discussed below.

3.0 MODEL OF A DEPOSITION PROCESS

All deposition processes consist of three basic steps as illustrated in Fig.


9.1, i.e., generation of the depositing species, transport from source to
substrate, and film growth on the substrate. By way of illustration, consider
these three steps for PVD processes.
Step 1: Generation of the Vapor Species. Vapor species can be
generated by: (a) evaporation using resistance, induction, electron beam, or
laser beam heating. (b) sputtering using DC or RF plasma generation.
Step 2: Transport of the Species From Source to Substrate.
Various flow regimes can apply.
1. In molecular flow, the mean free path is larger than the
source-to-substrate distance. This occurs at low partial
pressures of the depositing specie and residual gas in the
system, and is responsible for the line-of-sight feature typical
of evaporation-deposition processes and low pressure mag-
netron-type sputtered deposition processes.
Plasma-Assisted Vapor Deposition Processes 489

Figure 9.1. The three steps in film deposition.

2. Viscous flow occurs at higher partial pressures, 20 to 120


millitorr, typical of diode sputter deposition. It also is
intentionally added in the evaporation deposition process to
cause gas-scattering of the depositing specie and increase
the throwing power of the process.
An additional feature in Step 2 is the absence or presence of a plasma
in the source-to-substrate region and the mode by which the plasma is
excited, e.g., DC, RF, or microwave. The latter is an important aspect since
it controls the electron energy and distribution function, and thereby, the
plasma volume chemistry that takes place. Processes which involve low
electron energies, such as plasma-assisted evaporation where the electron
energies generating the plasma can be independently controlled, offer a more
versatile and richer plasma volume chemistry than processes such as
sputtering, where the electron energies are dictated by other considerations
such as target voltage (50 - 100 eV) which controls the rate of sputtering; in
sputter deposition, the electron energies thus cannot be controlled indepen-
dently of other process parameters. It should be pointed out that the presence
of a plasma is optional in the evaporation process but is an integral part of the
sputtering process.
490 Deposition Technologies for Films and Coatings

Step 3: Film Growth on the Substrate. The process depends on


the energy of the incident species (which is typically 0.5 eV for evaporation and
10 to 100 eV for sputtering) and the substrate temperature. The structure,
composition, and residual stress in the film can be substantially changed by
bombardment of the growing film by energetic ions or neutrals. They can be
generated by a separate ion source or be attracted to the film from the plasma
by electrical biasing of the substrate/film. Thus, the location of the substrate
inside or outside the plasma can substantially change the nature and amount
of ion bombardment. In magnetron sputtering, the plasma is confined to a
narrow zone near the target. Therefore, if the film is to be bombarded, a second
plasma has to be created near the substrate by a suitable method.
In CVD processes, the same general model applies with the differences
being that the source is usually a gas or a vapor incorporated into a carrier gas.
The transport phase occurs under vicious flow conditions only.
This three-step model as applied to plasma-assisted deposition pro-
cesses is illustrated in Fig. 9.2. Several items can be noted:
1. The plasma is optional for reactive evaporation processes but
is an integral part of the reactive sputtering process.

Figure 9.2. General schematic of plasma-assisted vapor deposition process.


Plasma-Assisted Vapor Deposition Processes 491

2. Plasmas can be localized around the source and/or the


substrate. Thus one can independently influence the reac-
tion occurring in each location. An example of this is given
in Ref. 18 for the deposition of indium tin oxide films by
reactive sputtering.
3. The substrate acquires a negative floating potential when it
is immersed in a plasma thus causing ion bombardment of
the substrate/film and the resultant changes in structure,
composition, residual stress, and properties.

4.0 MATERIALS DEPOSITED BY REACTIVE VAPOR DEPOSITION


PROCESSES

Examples of ceramic compounds and novel materials that are deposited


by the various vapor deposition processes were given at the beginning of this
chapter. Many of the materials in this table are thermodynamically stable, i.e.,
∆G is a large negative valve. The reactive deposition process is then controlled
by the kinetics of the reaction between the constituent species. Conceptually,
the kinetic or activation energy barrier is overcome by supplying energy into
the reacting system via a plasma.[3]
There are three materials listed, namely diamond, diamond like-carbon
(or i-C, a-C) and cubic boron nitride that are metastable at ambient tempera-
tures and pressures, i.e., they are not in a thermodynamically stable state.
Here the problem of deposition of these materials is considerably more
complex. The qualitative picture is to “persuade” the depositing species that
they are locally in a “different” environmental regime where they are stable (i.e.,
at high temperatures and high pressures). This can be achieved by
bombarding the film by ions, as well as by the associated chemical activity
occurring in a plasma environment which permits the nucleation and growth
of these metastable phases, which are then quenched in. There is another
important difference between the deposition of the thermodynamically stable
phases and the metastable phases. More energetic bombardment can
actually cause etching away or removal of the deposited film or preclude the
nucleation and growth of the film for the metastable phases whereas it can only
change the composition, imperfection content, structure, and properties of the
thermodynamically stable films.
492 Deposition Technologies for Films and Coatings

An in-between case is the deposition of the high Tc superconductor films


where the oxide phase may be thermodynamically stable but its oxygen
content, and hence the properties, are drastically influenced by the process
conditions.

5.0 KEY ISSUES IN PLASMA-ASSISTED REACTIVE VAPOR


DEPOSITION PROCESSES

The objective of any deposition process is to end up with a film with the
desired composition, structure and properties. In plasma-assisted reactive
process, there are some key issues.

5.1 Plasma Volume Chemistry

Reactions taking place in the plasma volume to form molecular frag-


ments, free radicals, etc., can then (a) condense directly on the substrate,
migrate, and react to form a compound film, or (b) form precursor species in
the plasma volume which deposit on the substrate and dissociate to form a
compound film.
Plasma diagnostic techniques, such as optical emission spectroscopy
(OES), mass spectroscopy (MS), langmuir probe, and laser-induced fluores-
cence (LIF) spectroscopy, can be used to ascertain the species present in the
plasma volume. While there is considerable published work on end point
detection in plasma etching processes, there is relatively little work on
deposition processes.
A classic example is the deposition of TiC by reaction of evaporated
titanium atoms in a hydrocarbon gas plasma to form TiC films by the activated
reactive evaporation (ARE) process.[4] It was observed that if CH4 is used, no
TiC films form. On the other hand, using C2 H2, TiC films readily form.
Furthermore, the C/Ti ratio in the TiC film can be controlled by varying the
evaporation rate of Ti or the partial pressure of C2 H2.[5a] Plasma diagnostic
studies revealed that C2H2 dissociated into C, CH, CH2, CH3, fragments which
reacted with Ti+ ions to form TiC. On the other hand, (CH4) did not fragment;
instead it polymerized into (CH4 )n species which did not react with Ti+ and no
TiC film was formed.[5b] This still does not answer the question raised above,
i.e., did the Ti+ and the CH type fragments deposit on the substrate and react
to form TiC directly or did a precursor species form in the plasma which then
deposited on the substrate and yielded TiC film.
Plasma-Assisted Vapor Deposition Processes 493

5.2 Type and Nature of the Bombardment of the Growing Film

Any surface immersed in a plasma acquires a small negative potential


which results in ion bombardment of the film and hence changes in its
composition, structure, properties, and residual stress. The bombardment
can be enhanced by intentionally applying a negative bias to the substrate.
The plasma parameters, i.e., the nature and concentration of the charged
species in the plasma, will therefore determine the resultant bombardment of
the film. It should be mentioned that the substrate can be bombarded prior to
deposition to remove surface species and an atomically-mixed interface
between the substrate and film can be produced.
In any plasma-assisted vapor deposition process, there are two sets of
parameters to be considered, i.e., the plasma parameters (electron density,
electron energy, and electron energy distribution function) and the process
parameters (evaporation/sputtering rate, reactive/inert gas pressure, flow rate,
substrate temperature, substrate bias, etc.).
The model for film growth by PAVD process can therefore be schemati-
cally represented as shown in Fig. 9.2. One might picture, in a plasma-
assisted deposition process, that the depositing species undergo various
types of reactions in the plasma leading to the formation of excited neutral
species, ions, free radicals, etc., which may react to form a precursor species
that, in turn, deposits on the substrate, migrate on the surface, react, and form
the film. The reactions forming the above species, i.e., the plasma volume
chemistry, in turn are controlled by both the process and the plasma
parameters, as discussed below.
The rate of any chemical reaction in a plasma is primarily dependent on
electron density (ne), electron energy (E), and distribution function f(E), as
shown below:

E =x
RA = N
∫ (E/ 2me ) 12 n e σ (E )f (E )dE
E =0

where N is the number density of colliding species,σ(E) is the collision cross-


section, and f(E) is electron energy distribution function.
The electrons are assumed to have a Maxwellian velocity distribution at
a temperature Te and the cross-section for a given reaction is approximated
by a step function of magnitude σo and threshold energy Eo. Then,

RA = ne Nσo ve(1 + Eo /kTe) exp(-Eo /kTe)


494 Deposition Technologies for Films and Coatings

Apart from the plasma parameters, the deposition parameters also


influence the growth and properties of the films produced by any vapor
deposition process. The most important deposition parameters are:
1. Rate of generation of vapor species which determine the
deposition rate and stoichiometry of the films.
2. Partial pressure of all species in the gas phase which
determines the mean free path of these species and hence
affect the growth rate. In reactive deposition processes,
partial pressures also determine the probability of the colli-
sional reactions between various atomic and molecular
species during transit from source to substrate, and hence
influence the formation of precursor molecular species which
in turn affects the growth and properties of the films.
3. Gas flow rate is an important process parameter, particularly
in reactive deposition processes, since along with the metal
species in the vapor phase, it controls the stoichiometry of
the films.
4. Substrate temperature controls the composition, structure,
and morphology of the films by affecting the atom mobility on
the substrate as well as the rate of any chemical reaction
occurring on the substrate.
5. Substrate bias, together with substrate temperature, also
influences the structure and morphology since it controls the
intensity of the ion bombardment of the growing film. More-
over, ion bombardment of the growing film can also lead to
reduction of absorbed impurities and trapped gases in the
films.
In order to achieve better control of film properties, it is desirable to
independently control the above parameters; however, it is not always possible
in all deposition processes to achieve the process parameter flexibility
conferred by the ability to vary them independently of each other. The nature
and degree of intercoupling of the variables controlling the above parameters
determines the advantages and limitations of a given deposition process. The
presence of a plasma introduces additional constraints as some of the
variables controlling the process parameters also affect the plasma param-
eters. To understand and optimize plasma-assisted deposition processes, it
is necessary to evaluate this interrelationship between the process param-
eters and plasma parameters.
Plasma-Assisted Vapor Deposition Processes 495

6.0 PLASMA-ASSISTED DEPOSITION TECHNIQUES IN CURRENT US-


AGE

The most commonly used plasma assisted techniques for the deposition
of compounds can be classified under the following two categories.
1. Plasma-assisted chemical vapor deposition (PACVD) pro-
cesses.
2. Plasma-assisted physical vapor deposition (PAPVD) pro-
cesses such as(a) reactive sputtering (RS), using DC or RF
magnetron geometries and ion beams,and (b) activated
reactive evaporation (ARE).
Although they have been treated in other chapters, a summary is given
here for each process with relevance to the main theme of the chapter.

6.1 Plasma-Assisted Chemical Vapor Deposition

Plasma assisted chemical vapor deposition involves forming solid


deposits by initiating chemical reactions in a gaseous discharge.[6] The
discharge can be excited by using either RF, microwave, or photonic
excitation. It produces a wide variety of chemical species in ionized and
excited states, free radicals as well as ions and electrons. The nature, type,
concentration, and energy of these species determine the growth and
properties of the films. The important parameters controlling film growth by
PACVD are as follows: (a) reactant partial pressure and flow rate; (b) RF
power; and (c) substrate temperature and substrate bias.
The above variables affect process parameters such as deposition rate
on the one hand, and plasma parameters such as electron density, electron
energy, and distribution function on the other. For example, the partial
pressure of the reactant gas together with RF power determines the rate of
dissociation of the reactive gas and hence the deposition rate. These same
process variables also determine the electron energy, and electron density;
moreover, the substrate floating potential depends on the average electron
energy, so pressure and RF power also control the substrate bombardment.
The substrate bombardment of the growing film and deposition rate both are
therefore dependent on the same set of process variables, i.e., pressure and
RF power. This interdependence of process and plasma parameters makes
it difficult to obtain high deposition rates by PACVD processes.
A variety of reactor designs have been used for carrying out PACVD in
the laboratory; however, only parallel plate reactors have been used for
496 Deposition Technologies for Films and Coatings

production applications. Detailed information on theory and practice of


PACVD processes can be found in excellent reviews by Reinberg,[6] Hollahan
and Rosler,[7] Rand,[8] Yasuda, [9] and Hollahan and Bell.[10]

6.2 Sputter Deposition

The sputter deposition process involves a target and a plasma of a neutral


working gas such as argon. The target material is transferred to the vapor
phase by positive ion bombardment from the plasma via momentum transfer
from the ions to the target atoms. The most important parameters controlling
the growth and properties of the films by sputter deposition processes are:
1. target voltage and current,
2. reactant partial pressure and flow rate, and
3. substrate temperature and substrate bias.
Similar to PACVD processes, these variables affect both process
parameters as well as plasma parameters. For example, in conventional diode
sputtering using either DC or RF, the deposition rate is dependent on target
voltage and current as well as on pressure. However, these same parameters
also determine the average energy of the secondary electrons, which in turn
influences the floating potential and hence the bombardment of the growing
film.
The target voltage determines the energy of the secondary electrons
ejected at the target. These are accelerated across the cathode sheath by a
potential equal to target potential. The partial pressure on the other hand
determines the mean free path and hence the collision frequency (number of
collisions per unit length) of the electrons. As electrons lose energy in each
collision, the average electron energy functionally depends on pressure. Thus
the target voltage in conjunction with the operating pressure determines the
average electron energy. Due to the relatively high voltage levels involved in
diode sputtering, the energy of the secondary electrons is very high. Bombard-
ment of the substrate by such high energy electrons leads to substrate
heating, and radiation damage, and is thus a limiting factor in conventional DC
and RF sputtering processes using the diode geometry.
The target voltage/current and reactive gas flow rate exhibit a complex
relationship in reactive sputter deposition processes due to target poisoning
effects. This issue has been discussed in detail by Bunshah et al.[11]
Plasma-Assisted Vapor Deposition Processes 497

There are many variants of the sputter deposition processes:


1. Diode geometry using DC or RF excitation.
2. Magnetron geometries with DC or RF excitation.
3. Reactive sputtering using diode and/or magnetron geom-
etries with DC or RF excitation. In this process, a working
gas (argon) is used in combination with a reactive gas. For
a detailed review of physics and applications of sputter
deposition processes, refer to review articles by Vossen and
Cuomo,[12] and Thornton.[13]

6.3 Activated Reactive Evaporation (ARE)

The activated reactive evaporation (ARE) process developed by


Bunshah[14] involves evaporation of metal in the presence of a plasma of the
reactive gas alone. There is no working gas in the ARE process.
The two basic variants of the ARE process are:(i) the activated reactive
evaporation process with an electron beam evaporation source, and (ii) the
ARE process with a resistance heated source. Both of these processes are
illustrated in Fig. 9.3.
In ARE using an e-beam source, the metal is evaporated by an electron
beam in presence of a reactive gas. The plasma is generated by accelerating
the secondary electrons from the plasma sheath above the molten pool
towards a probe biased to a low AC, or positive DC, potential.
Nath and Bunshah[15] modified the ARE process for use with resistance
heated sources. The metal is evaporated from a resistance heated source in
the presence of the reactive gas. The plasma is generated by accelerating
thermionically emitted electrons from a heated filament towards a positively
biased anode. A transverse magnetic field is applied to cause the electrons
to travel in spiral paths thereby increasing the probability of ionization.
Apart from the above two basic geometries, many other variants of the
ARE process have been developed. For further details, the reader is referred
to a review by Bunshah.[16] The important process parameters controlling the
growth and properties of films by the ARE process are:
1. evaporation rate;
2. plasma parameters such as electron density, electron en-
ergy and distribution function; and
3. substrate temperature and bias.
498 Deposition Technologies for Films and Coatings

Figure 9.3. Schematic of the activated reactive evaporation system: (a) using an
electron beam evaporation source, and (b) using a resistance-heated evaporation
source.
Plasma-Assisted Vapor Deposition Processes 499

Unlike PACVD and sputter deposition processes, the above variables


can be controlledindependently. For example, one can control the deposition
rate via the evaporation rate by controlling the e-beam current or the heating
current passing through the boat source. This does not significantly affect the
plasma parameters, which are controlled through an auxiliary anode potential.
This ability to control plasma and deposition parameters relatively indepen-
dently offers the ARE processes much greater flexibility to deposit films with
varying stoichiometry, structure, and properties at high rates, as compared to
PACVD and RS processes.

7.0 LIMITATIONS OF CURRENT PLASMA-ASSISTED TECHNIQUES

As discussed earlier, the presence of the plasma in the source-substrate


space significantly affects the processes occurring at each of the three steps
in film deposition, which are: (a) generation of species, (b) transport from
source to substrate, and(c) film growth on the substrate. Moreover, the effect
of the plasma on the above three steps differs significantly between various
processes. Such differences are manifest in terms of the types and
concentration of the metastable species, ionized species, and energetic
neutrals which, in turn, influence the reaction paths or steps involved in the
overall reaction for film formation and the physical location of these reaction
sites. Deshpandey et al.[11] have discussed in detail the role of plasma in
plasma-assisted deposition processes. They have shown that the advantages
and limitations of various plasma-assisted deposition techniques can be
addressed in terms of the differences in plasma interactions at the source,
during transport, and at the substrate in the respective processes. Compari-
sons between the three currently used plasma-assisted deposition tech-
niques via reactive sputtering (RS), activated reactive evaporation (ARE) and
plasma-assisted chemical vapor deposition (PACVD) in terms of plasma/
source–plasma/volume and plasma/substrate interactions is shown in Table
9.2. Also indicated in this table are the limitations/advantages inherent to each
process.
As can be seen from this table, each of the above processes suffers from
limitations in terms of one or more of the following:
1. Control over the supply of the source material in vapor form.
2. Control of the number density and energy distribution of
electrons and hence the associated plasma volume chemistry.
500
Table 9.2. Comparison of Plasma-Assisted Deposition Proceses

Deposition Technologies for Films and Coatings


Plasma-Assisted Vapor Deposition Processes 501

Most of the above limitations are due to the interdependency of the three
reactions, i.e., plasma-source, plasma-volume, and plasma-substrate reac-
tions. For an ideal plasma-assisted process, one should be able to control
each of the above reactions independently of each other.
In view of the above, attempts have been made to develop hybrid
processes by combining various features of the plasma-assisted deposition
techniques to extend the processing capabilities and to overcome the
limitations of the individual techniques. Many modifications of the PACVD
have been developed and have been discussed by Deshpandey and Bunshah.[17]

8.0 HYBRID PROCESSES

In view of the facts discussed in the previous section, attempts have been
made to develop hybrid processes by combining different plasma-assisted
deposition techniques to extend the processing capabilities and to overcome
the limitations of the individual techniques. The general thrust is directed
towards:
1. Separation of the various parts of the process so as to exert
independent control over each part and avoid complications
due to overlap between the parts.
2. Use substrate/film bombardment with different species of
controlled energy as contrasted to a spectrum of energies.
A representative list of such hybrid techniques together with their
advantages in processing is given in Table 9.3 and illustrated in Fig. 9.4

9.0 CONCLUSIONS

It is clear that we have barely scratched the surface in our understanding


of the detailed mechanisms of plasma assisted deposition processes. Much
work remains to be done on experimental plasma diagnostics as well as the
relevant theoretical modeling. This becomes particularly true when complex
molecules instead of sample atomic shears are used as the reactants. It is
unfortunate that very little systematic long-range support on studies leading
to a basic understanding of these processes is available either from govern-
ment or industrial sources. Until such a detailed understanding is developed,
plasma-assisted deposition processes are still somewhat in the realm of
enlightened witchcraft.
502
Table 9.3. Hybrid Processes Currently Used for Materials Synthesis

Deposition Technologies for Films and Coatings


Plasma-Assisted Vapor Deposition Processes 503
Table 9.3. (Cont'd)
504
Deposition Technologies for Films and Coatings
Figure 9.4. Schematics of hybrid deposition processes.
Plasma-Assisted Vapor Deposition Processes 505

REFERENCES

1. Bunshah, R. F. and Mattox, D. M., Physics Today, 33:50 (1980)


2. Bunshah, R. F., Films and Coatings for Technology, (R. F. Bunshah,
ed.) pp. 122-127, Noyes Publications (1982)
3. Bunshah, R. F., ibid., p. 128
4. Bunshah, R. F. and Raghuram, A. C., J. Vac. Sci. Technol., 9:1385-88
(1972)
5a. Raghuram, A. C. and Bunshah, R. F., J. Vac. Sci. Technol., 9:1389-94
(1972)
5b. Deshpandey, C. V., O’Brien, B. P., Doerr, H. J., and Bunshah, R. F.,
Surface and Coatings Technology, 33:1-16 (1987)
6. Reinberg, A. R., Ann. Rev. Mater. Sci., 9:341-372 (1979)
7. Hollahan, J. R. and Rosler, R. S., Thin Film Processes, (J. L. Vossen
and W. Kern, eds.), pp. 335-360, Academic Press, New York (1978)
8. Rand, M. J., J. Vac. Sci. Technol., 16;420-427 (1979)
9. Yasuda, H.,Thin Film Processes, (J. L. Vossen and W. Kern, eds.), pp.
361-400, Academic Press, New York (1978)
10. Techniques and Application of Plasma Chemistry, (J. R. Hollahan and
A. T. Bell, eds.), Wiley, New York (1974)
11. Deshpandey, C. and Bunshah, R. F., Surf. Coat. Technol., 27 (1986)
12. Vossen, J. L. and Cuomo, J. J., Thin Film Processes, (J. L. Vossen and
W. Kern, eds.), pp. 12-75, Academic Press, New York (1978)
13. Thornton, J. A. and Penfold, A. S., ibid, pp. 75-114
14. Bunshah, R. F., "The Activated Reactive Evaporation Process", U.S.
Patent #3,791,852 (Feb. 1974)
15. Nath, P. and Bunshah, R. F., Thin Solid Films, 69:63-68 (1980)
16. Bunshah, R. F., Thin Solid Films, 107:21(1983)
17. Deshpandey, C. V. and Bunshah, R. F., Thin Solid Films, 16:131-147
(1988)
18. Karim, A. A., Deshpandey, C., Doerr, H. J., and Bunshah, R. F., Thin
Solid Films, 172:111-121 (1989)
10

Deposition from Aqueous Solutions:


An Overview

Morton Schwartz

1.0 INTRODUCTION

Electrodeposition, also called electroplating or simply plating, is an


economical technology to protect and enhance the functionality of parts used
in many diverse industries including home appliances, jewelry, automotive,
aircraft/aerospace, and electronics− −in both decorative and engineering
applications. Although decorative applications have diminished somewhat
primarily due to added expenses and problems associated with plant effluent
(pollution) control and waste treatment, its applications in engineering,
electroforming, and electronics have increased. The emphasis is on the
latter applications, and the structures and properties of deposits.
The purpose of decorative plating is to provide a durable, pleasing finish
to the surfaces of manufactured articles, so the corrosion characteristics of
the deposits and their ability to protect the substrate are important factors.
These and other deposit properties involved in the selection and performance
of decorative coatings including hardness, wear resistance, ductility, and
stress are also important to the engineering applications of plated coatings.
Engineering applications of plated coatings involve imparting special or
improved properties to significant surfaces of a part or assembly and/or
protecting or enhancing their function in their operating environment. Other
applications include salvage of mismachined or worn parts and other types
of reworking as well as material savings, use of less expensive materials,
and substitution of materials more easily fabricated, Special technologies

506
Deposition from Aqueous Solutions 507

such as electroless deposition, electroforming, anodizing, thin films, magnetic


coatings, and printed wiring (circuit) boards have been selected for discussion
as representing specific engineering applications.
Although electroplating and vacuum deposition processes are generally
considered competitive processes, there are increasing applications in
which they are (or can be) highly complementary. These involve utilizing the
advantages of both deposition technologies:

Vacuum Deposition Aqueous Deposition

! Close tolerances ! Lower costs


! Wide choice of substrates ! Thicker coatings
! Wide choice of coatings ! Coating complex shapes
! Control and modification
of deposit properties
! Control of residual stress

A combined process permits almost any substrate to be coated with a


much wider range of deposits than either used alone. It extends the
application of aqueous deposition to substrates which are difficult to coat,
particularly non-metallics (ceramics) or active metals not readily or
satisfactorily processed.
Examples of combined processing include the electrodeposition of
such metal substrates as Mo, Ti, and Be by initially sputtering a thin Cu or Au
deposit to provide substantially improved adhesion.[1] The plating of plastics
using chemical preparation is expensive, requires rigorous control, and
presents formidable waste treatment problems. A pre-plate treatment using
vacuum techniques to condition the surface by RF glow discharge followed
by sputtering or electron beam evaporation of 1000 angstrom Ni and 1000
angstrom Cu deposits permits direct electroplating to final thicknesses.[2]
In printed wiring board (PWB) fabrication, plasma processing is being
employed to clean drilled holes and to remove drill smear. However, in some
cases, this leaves undesirable interfering ash and decomposed residues
requiring further chemical clean-up. Sputtering processes for depositing the
initial Cu deposit on PWB’s and through-hole deposition have been developed
to replace the electroless Cu deposition processes.[3] It has been predicted
that such processes may replace the presently used electroless Cu
systems completely.[4]
508 Deposition Technologies for Films and Coatings

Electrodeposition has been used to fabricate magnetron sputtering


targets of well defined shapes. The advantages include deposition precisely
where needed or desired, eliminating waste. Such electrodeposited targets
are quite pure with a minimum of oxygen or other gases. Sputtering targets
of Ag, Cr, Au, Fe, Ni, Co and alloys have been prepared by electroplating.[5]

2.0 GENERAL PRINCIPLES

Figure 10.1 represents a simplified plating cell. A DC source, usually


a rectifier or motor generator, supplies current flowing in one direction
through the external portion of the circuit when a potential difference is
imposed across the system. The current flow is that of electrons in the
external conductors. The mechanism of electrical transfer in the solution is
by means of electrically charged “particles” called ions. Positive ions
(cations) travel toward the negative electrode (cathode) and negative ions
(anions) travel toward the positive electrode (anode) when the potential is
applied, thus completing the electrical circuit. The electrolyte usually
contains other components which influence the process (see Fig. 10.7 later
in this chapter).

Figure 10.1. Plating Cell


Deposition from Aqueous Solutions 509

The cathode or deposition reactions are characterized as reduction


reactions since electrons are “consumed,” and the valence states of the ions
involved are reduced. The anodic reactions are oxidation reactions wherein
electrons are liberated, and the valence states are increased. Each set of
reactions represents half-cell reactions and proceeds independently of the
other, limited by a condition of material balance, i.e., electrons liberated in the
anode reactions must equal the number of electrons “consumed” in the
cathode reactions.
The above describes systems such as nickel or copper deposition from
acidic solutions* of their simple ions. Since these are divalent ions (Ni2+,
Cu2+), the equations shown in Fig. 10.1 would involve two electrons.
Deposition from solutions in which the metallic ions are combined with
other ions or ligands as complex ions involves more complicated mechanisms.
The cyanide-containing electrolytes represent the largest group of such
systems. Some of these complex ions are so tightly constituted, i.e., the
ionization constant of the metal ion is so small, that reduction or deposition
of the metal atoms at the cathode occurs directly from the complex ions. This
appears to be the mechanism involved with copper, silver and gold cyanide
complex ions:

Eq. (1) [Cu(CN)3 ]= + e- " Cu o + 3(CN)-

Eq. (2) [Ag(CN)2 ]- + e- " Ag o + 2(CN)-

Eq. (3) [Au(CN)2 ]- + e- " Au o + 2(CN)-

The stability of the gold cyanide complex ion is such that it exists in mildly
acidic gold plating solutions.
It should be noted that the complex ions described above and other
types are anionic and would migrate to the anode during electrolysis. Yet,
deposition still takes place at the cathode, indicating that mechanisms other
than simple electron reactions are involved in the cathode film. These
complex anions approach the cathode by convection and/or diffusion where
specific adsorption effects can occur in the double layer as discussed by
Wagner, citing Frumkin and Florianovich.[6] The influence of simple cations
present in the film are also involved in the reduction process.
Faraday’s Laws of electrolysis (1833) are basic to electrodeposition.

* Most acidic plating solutions fall into this category, involving the simple ions.
510 Deposition Technologies for Films and Coatings

They relate the current flow, time, and the equivalent weight of the metal with
the weight of deposit and may be stated as follows:
1. The amount of chemical change at an electrode is directly
proportional to the quantity of electricity passing through the
solution.
2. The amounts of different substances liberated at an electrode
by a given quantity of electricity are proportional to their
chemical equivalent weights.
Faraday’s Laws may be expressed quantitatively:

I · t Eq
Eq. (4) W = ____________
F

where: W = weight of deposit in grams


I = current flow in amperes
t = time in seconds
Eq = Equivalent weight of deposited element
F = Faraday, a constant, = 96,500 coulombs (approx.)

I · t is the quantity of electricity used (coulombs = ampere-seconds) and Eq,


the equivalent weight of the element, is the atomic weight divided by the
valence change, i.e., the number of electrons involved. If the current is not
constant, then I · t must be integrated:

t2
I dt
t1

From a practical standpoint, the weight of the deposit is converted to the


more meaningful thickness of the deposit using the relationship, W (gms) =
volume (cm3)/deposit density, with the volume of the deposit equal to the
thickness (in µm)* times the area (in m2). The Faraday**, F, can be
experimentally determined by rearranging Eq. (4):

* Thickness in ∝m ξ 0.0394 = thickness in mils.

** The Faraday can be derived from the fact that 1 gram-atomic weight of an element
contains 6.023 x 1023 atoms (Avogadro’s Number, N). If the charge on the ion is A,
then Z x N electrons are required to deposit 1 gram-atomic weight, and Z x N/A =
6.023 electrons are required to deposit (or dissolve) 1 equivalent weight of an
element. Since the charge on an electron is 1.602 x 10-19 coulombs, 6.023 x 1023
electrons x 1.602 x 10-19 coulombs = 96,496 coulombs.)
Deposition from Aqueous Solutions 511

I · t Eq
____________
Eq. (5) F =
W
Rearranging Eq. (4) to
WF
_________
Eq. (6) It =
Eq
permits the determination of the charge passing through a circuit by the
known deposition or dissolution of an element, usually silver. Devices which
utilize this application of Faraday’s Laws are known as coulometers.
Coulometers are used to determine the efficiency of a deposition process.
They are also employed as either timers or integrators possessing
“electrochemical memory” and producing sharp potential “end-points,” i.e.,
significant changes in electrode potentials which activate electronic circuits.
Figure 10.2 schematically illustrates such a device—an electrochemical cell
called an E-cell as part of an electronic circuit.

Figure 10.2. Microcoulometer − E-Cell (Courtesy Plessey Electro-Products)

Faraday’s Laws are absolute laws, and no deviations or exceptions


have been found. Apparent exceptions can be shown to be incorrect or
explained by failure to take into account all the chemical or electrochemical
reactions involved at the electrode. Thus, the efficiency of an electrochemical
reaction can be determined:
512 Deposition Technologies for Films and Coatings

actual weight of deposit


Eq. (7) % Electrode Efficiency = 100 ×
theoretical wt. of deposit

Table 10.1 indicates typical cathode current efficiencies for some common
deposits from various electrolytes. With knowledge of the actual efficiency,
predicted (average) thickness of deposit can be obtained, limited by the
control of the current distribution.

Table 10.1. Cathode Current Efficiencies of Various Plating Solutions


_____________________________________________________

Deposit Electrolyte Range, %


_____________________________________________________

Ag CN 100

Au Acid
Neutral 50 - 100
CN

Cd CN 85 - 95

Cr CrO3 /H2SO4 10 - 15
CrO3 /SO4-F 18 - 25

Cu Acid SO4 97 - 100


CN (low eff.) 30 - 45
CN (high eff.) 90 - 95
P2O7 ~100

Fe Acid 90 - 98

In Acid or CN 30 - 50

Ni Acid 93 - 98

Pb Acid 95 - 100

Rh Acid 10 - 50

Sn Acid 90 - 95
Alkaline 70 - 95

Zn Acid ~95
CN 50 - 80
___________________________________________________
Deposition from Aqueous Solutions 513

The current flowing through a conductor is driven by a potential


difference or voltage, the magnitude of which is determined by the relationship
expressed as Ohm’s Law (1826-27):

Eq. (8) E = IR

where E = volts, I = amps, and R = ohms. This law regulates both the current
flow and its paths in an electrodeposition cell. A commercial electroplating
installation and operation involves a multiplicity of series and parallel
electrical circuits with only the total current and applied voltage controlled.
The current distribution on each individual part or portion of a part (and
resulting deposit thickness and properties) depends on the electrode potentials
and resistances involved in the “mini-circuits” as well as the geometry and
spacing of parts. Since the resistances of the solid, metallic conductors in
the circuit are several orders of magnitude lower than the electrolytic
(solution) resistances, they can usually be neglected. The potentials within
the electrolyte and, more importantly, the electrode-electrolyte interfaces,
are fundamental controlling factors and are not as straight-forward as
suggested by Ohm’s Law.
When a metal is immersed in a solution containing its ions, an equilibrium
condition is set up between the tendency for the metal to go into solution and
the tendency for the metallic ions in solution to deposit on the metal: M0 ← →
Mn+ + ne-. However, before this equilibrium is established (i.e., the exchange
currents or current densities are equal |i+| = |i-| = i0 ), one of the reactions may
be faster than the other, resulting in a “charge separation.” If the reaction
proceeding to the right is faster than to the left, the metal surface would be
negatively charged. If the deposition reaction (to the left) is faster, then the
surface would be positively charged. This resulting potential between the
metal and the solution (at unit activity) is called the single or standard
electrode potential. Since this is a half-cell reaction, a reference electrode,
the saturated hydrogen electrode (SHE) is used to complete the circuit and
is given the arbitrary value of zero potential. In many instances other
reference electrodes such as the calomel electrode are substituted with
appropriate corrections. Potential measurements made in this manner (or
values derived thermodynamically) result in a series known as the
Electromotive Force (EMF) Series.
This origin of the electrode potential was first formulated by W. Nernst
(1889). The magnitude of the potential difference between the metal and its
ionic solution is given by the Nernst equation:
514 Deposition Technologies for Films and Coatings

RT ax (products)
Eq. (9) E = Eo + _____ ln ______________
nF ay (reactants)*

where E = observed EMF, potential difference (volts)


Eo = standard EMF
R = gas constant, 8.314 (j · o K-1 mol -1)
T = absolute temperature, o K
n = valence change (electron transfer)
F = Faraday, 96,500 coulombs (A · sec mol-1)
a = activity (apparent degree of dissociation)

If the natural logarithm is converted to logarithm base 10, and T is 298o K


(25oC), then Eq. (9) becomes:

Eq. (10) E = Eo + (0.059/n)** log a (or log c approx.)

Thus, a tenfold change in ion concentration changes the electrode potential


by 59 mV/n (a negative change makes the electrode potential less positive).
This is significant when complexing agents are present since the ionic
concentration can be reduced drastically with the accompanying change in
electrode potential.*** For example, Eo = -0.76 volts for zinc. But, when zinc
is complexed with cyanide:

Eq. (13) Zn2+ + 4(CN)- → [Zn(CN)4]=

the electrode potential shifts to approximately -1.1volt. The standard


electrode potential for the Cu1+ /CuM half cell is +0.52 volts which shifts to ~-
1.1 volts when complexed with cyanide:

* Since the metal (solid) is the reactant in a plating cell, its activity is considered =
1 for all practical purposes and can be neglected. Also, as a practical approximation,
the concentration in moles/L can be substituted for activities.
** 0.059 = 2.303 x 8.316 x 298.1 / 96,496.
*** When complex ion reactions are involved:
Eq. (11) Mn+ + qX p- →
← [MXq]n-pq

where q is the coordination number, then the Nernst equation is modified:

RT RT a nM− pq
q
Eq. (12) E= Eo - nF ln Kf + nF ln q
a p−
X
Kf is the stability constant of the complex ion. Since Kf will be quite large for very
stable complexes, the potential can shift substantially negatively.
Deposition from Aqueous Solutions 515

Eq. (14) Cu1+ + 3(CN) - → [Cu(CN)3 ]=

The practical significance is that a copper cyanide strike provides the best
undercoat on a zinc surface since the potentials are essentially the same.
Attempts to use an acid copper (Cu2+ ) (Eo = +0.34 volts) solution would
provide a potential difference of 1.1 volts, resulting in an immersion or
displacement deposit with poor adhesion. The closeness of the electrode
potentials for the [Zn(CN)4]= and [Cu(CN)3 ]= complexes also permits these
metals to be deposited simultaneously as a brass alloy deposit from cyanide
solutions.
For electrodeposition reactions to occur, an additional potential is
required to overcome the equilibrium conditions discussed above, i.e., to
provide a non-equilibrium, irreversible condition. Referring to Fig. 10.1, the
total plating voltage is the sum of three components. E2 represents the
potential required to overcome the resistance of the electrolyte and obeys
Ohm’s Law; it would be the only potential required if only the single electrode
potentials were involved in the electrodeposition process. E1 and E3 are the
potentials at the electrodes required to sustain the electrolysis process when
the current is flowing and exceed the single electrode potentials. The
additional voltage is called polarization which usually increases as the
current increases. The electrical energy is converted to heat according to
Joules Law:

Eq. (15) Eheat = I E t = I 2R t

resulting in increased temperatures of the electrolytic solutions.


Polarization, also called overpotential or overvoltage*, is an important
controlling factor in electrodeposition processes. A minimum energy which
the reactants must possess is a requisite for any chemical reaction to occur.
For an electrochemical reaction to proceed, an overpotential is required to
overcome the potential barrier at the electrode/solution interface; this is
called the activation overpotential. It is the overpotential required for the
charge-transfer reaction itself and is kinetically controlled. Cathodic activa-
tion overpotential shifts the energy level of the ions in the inner electrical
double layer nearer to the potential barrier, so that more ions can cross it in

* In more rigorous treatments, the term overvoltage is restricted to the excess


potential required for a single reaction (usually irreversible) to proceed at a specified
electrode whereas the term polarization is more general and includes all reactions
at the electrode.
516 Deposition Technologies for Films and Coatings

a given time, producing a deposit on the surface. Activation overpotential


also exists at the anode but in the opposite direction.
Changes in the ion concentrations at the electrodes are major contribu-
tions to polarization. Figure 10.3 depicts the increased metallic ionic
concentration at the anode and the decreased concentration at the cathode
as a result of the dissolution and deposition processes. This results in
corresponding changes in the equilibrium potentials per the Nernst equation
(Eq. 9) since it changes the value of log CE/CS, CE being the ionic concen-
tration at the electrode and CS the concentration in the bulk of the solution
(see Eq. 10). This effect due to the concentration changes is called
concentration polarization and is mass transport controlled.

Figure 10.3. Concentration polarization

Figure 10.4 illustrates a typical current/potential curve indicating the


regions of activation polarization (ηa ), concentration polarization (ηC), limit-
ing current followed by a post limiting region (with gas evolution). The open
circuit (rest) potential is indicated by (EM)R. Where anodic and cathodic
polarization curves intersect, |io | is indicated.
Increased anode concentration polarization ultimately results in the
evolution of oxygen which reacts with the electrode to produce oxide
insulating films increasing the ohmic resistance. The oxygen may also
react with various solution constituents such as organic compounds or cyanides,
Deposition from Aqueous Solutions 517

thereby consuming them and/or converting them into other compounds which
may be detrimental to the electrodeposition process. In some pro-
cesses, such as anodizing of aluminum or where insoluble anodes are
involved such as in chromium plating, anode polarization is desirable.
Cathodic concentration polarization may result in the evolution of
hydrogen as the competing reaction. The pH of the cathode film increases
and hydrates or hydroxides may precipitate and be occluded in the deposit.
The co-deposition of hydrogen may result in brittleness of the deposit and,
by migration and diffusion into the substrate, result in hydrogen embrittlement.

Figure 10.4. Typical polarization curve

Hydrogen overvoltage which is the polarization for the specific reaction


discharging hydrogen at a specified electrode surface involves at least two
steps:

Eq. (16) 2H+ + 2e → 2Hadsorbed → H2 (gas molecule)

The latter step is usually the slower, rate-determining step, and a higher
potential is required to discharge the gas.
The factors influencing hydrogen or oxygen overvoltage include:
a. Electrolyte composition
b. Type of metal electrode
c. Nature of electrode surface
d. Current density
e. Temperature
518 Deposition Technologies for Films and Coatings

Agitation and increased operating temperature of the solution help


minimize concentration polarization, permitting higher current densities and
faster plating rates.
The "throwing power" (TP) of a plating solution or, more properly,
macrothrowing power since it indicates the degree of plate uniformity
(thickness distribution) over the substrate surface contours, is an important
characteristic because the deposit properties and overall quality are af-
fected. Factors influencing deposit (plate) distribution are shown in Table
10.2. Generally, electrolytes containing free metallic ions exhibit poorer
throwing power than those in which the ions are complexed or contain
supporting, non-depositing, ions, the latter improving solution conductivity.
The overall “geometry” of the plating system influences current distribution.
Significantly increased cathode polarization at higher current densities
results in decreased current efficiency, improving throwing power. Thus,
cathode current efficiency-current density curves are useful in predicting the
throwing power of a plating solution. If the cathode efficiency decreases with
increased current density, the throwing power improves proportionately.
The shape and slope of the curves are indicative of the throwing power.
However, if the cathode efficiency remains high over a wide range of current
densities, the throwing power is usually poor. Examples of desirable
cathodic polarization are complex ion-containing solutions such as alkaline
stannate (tin plating) and alkaline-cyanide (zinc plating) solutions.
Based on Haring-Blum %TP values, Schaefer and Pochapsky[7]
reported that conventional plating solutions generally fall into four classes:
(i) alkaline stannate and zincate (%TP > 50), (ii) most other cyanide
solutions (%TP = 25 to 50), (iii) most acid solutions (%TP > 0 to 25), (iv)
chromium plating (%TP = -100 to 0). The wide range of “negative”
macrothrowing power for chromium (from chromic acid solutions) is due to
the fact that, within limits, the cathode current efficiency increases with
increasing current density, thereby greatly exaggerating the non-uniformity
of the deposits.
Rothschild[8] showed substantial improvement in the throwing power of
the so-called high throw acid copper sulfate plating solutions containing low
metal/high acid concentrations employed for through-hole plating of printed
circuits boards, 87% vs. 14% for a conventional high metal/low acid concen-
tration solution.
Foulke and Johnson[9] investigated the throwing power characteristics
of various precious metal plating solution formulations. Percent throwing
power values for both macro- and microthrowing power characteristics were
reported.
Deposition from Aqueous Solutions 519

The term throwing power is sometimes mistakenly applied to another


property of plating solutions: namely, covering power. Covering power
relates to the lowest applied current density at which a plating solution
produces a deposit, i.e., it is a measure of a solution’s ability to deposit into
geometric recesses of an article to be plated. At very low current densities
in some plating solutions, the potential required for metal deposition (some-
times referred to as the decomposition potential) may not be reached, and
some other electrode reactions support the passage of current; these may
include hydrogen evolution or the reduction of addition agents or other
reducible species or ions. Poor covering power or the inability to deposit
metal into areas of low current density can sometimes be overcome or
avoided by using a high current density (“strike”) to initiate plating into the
recess and then reducing the current density to the normal operating range.

Table 10.2: Factors Influencing Current/Plate Distribution

Type of Electrolyte
Simple “Free” Ions
Complex Ions
Supporting Ions
Polarization
Conductivity
Cathode Efficiency-
Current Density Curves
Geometry of Plating System
Other Factors
Substrate Composition and Structure
Surface Preparation and Pre-treatment

Current distribution over the electrode surfaces influence plate distribu-


tion and is differentiated as primary, secondary, and tertiary. Primary current
distribution involves the plating system geometry with the potential constant
over the electrode surface and negligible polarization influences. Secondary
current distribution involves activation overpotential (ηa), electrode kinetics,
and solution conductivity. Tertiary current distribution involves concentra-
tion overpotential (ηC), the diffusion (boundary) layer, and solution agitation,
i.e., mass transport is a factor.
Current and plate distributions, and methods and calculations for
determining throwing power, such as the Hull Cell,[10] the Haring-Blum
520 Deposition Technologies for Films and Coatings

Cell,[11] and Wagner number are reviewed and analyzed by Ibl.[12] Shawki et
al[13] devised a method for measuring throwing power into recesses and holes
using cathodes with varying tube diameters and determining plate thickness
along the depth of the tubes.
The concept of microthrowing power is discussed later.

3.0 ELECTRODEPOSITION

3.1 Mechanism of Deposition

Metal deposition differs from other electrochemical processes in that


a new solid phase is produced. This dynamic process complicates and
introduces new factors in elucidation of the mechanisms involved in the
discharge of ions at the electrode surfaces. Factors determining deposition
processes include:
1. The electrical double layer (~10 angstroms thick) and ad-
sorption of ions at the surface-some 2 - 3 angstroms away.
At any electrode immersed in an electrolyte, a double layer of
charges is set up in the metal and the solution ions adjacent
to the surface. At solid electrode surfaces, which are usually
heterogeneous, the character and constitution of this double
layer may exhibit local variations, resulting in variations in the
kinetics of the deposition process. This could affect the
electrocrystallization processes involved in the overall growth
process.
2. The energy and geometry of solvated ions− −especially those
involving complex ions. All metal ions are associated with
either the solvent (water) molecules or complexed with other
solution constituents either electrostatically or by coordi-
nated covalent bonding. Desolvation energy is required in
transferring the metal ion out of solution to the growing
crystal lattice.
3. Polarization effects. A symposium[14] on Electrode Pro-
cesses was held by the Faraday Society in 1947. The
excellent papers pioneered the concepts upon which the
modern concepts of the deposition mechanism are based.
Schaefer and King[15] compiled a chronological annotated
bibliography on polarization covering the period 1875 - 1951.
Thus, the condition of the metal surface to be plated is a basic
determining factor in the kinetics of the deposition process and the morphol-
Deposition from Aqueous Solutions 521

ogy and properties of the final deposit. The presence of other inorganic ions
and organic additives in the double layer or adsorbed on to the surface can
greatly modify the electrocrystallization and growth process (Fig. 10.5).

Figure 10.5. (a) The distribution of ions and dipoles in the electrical double-layer.
(1) Cations, (2) anions, (3) specifically adsorbed anions, (4) adsorbed additives, (5)
adsorbed water dipoles, (6) electrons. (b) The potential as a function of distance in
the double-layer [corresponding to (a)] measured from the metal surface.[16]
522 Deposition Technologies for Films and Coatings

Based on these considerations, several deposition mechanisms have


been proposed.[16]-[21] The basic or essential steps as shown in Fig. 10.6
include:
1. The aquo- or complexed metal ion is transferred or deposited as
an adion (still partially bound) to a surface site. Such sites
include the plane surface, edges, corners, crevices or holes with
the plane surface providing the primary sites.
2. The adion diffuses across the surface until it meets a growing
edge or step where further dehydration or desorption occurs.
3. Continued transfer or diffusion steps may occur into a kink or
vacancy or coordinate with other adions, accompanied by more
dehydration until it is finally fully coordinated with other ions (and
electrons) and becomes part of the metal being incorporated
into the lattice.

Figure 10.6. Diagram of the crystallization process according to the theory of


Kossel and Stranski. Different atom positions: (a) another phase (gas phase, melt,
electrolyte), (b) in the lattice plane [ad-atom (ad-ion)], (c) edge (step) site, (d)
growth (kink) site.[18]

Deposition of metal ions results in depletion in the solution adjacent to


the surface. These ions must be replenished if the deposition process is to
continue. This replenishment or mass transport of the ions can be accom-
plished in three ways:
1. Ionic migration is least effective. The mobility of the metal ion is
very low, its migration rate being dependent on the current and the
transport number which is usually less than 0.5. When other
conducting salts, are added, these conduct most of the current,
Deposition from Aqueous Solutions 523

reducing the metal ion migration approaching zero. In the case


of complex ions where the total charge is negative (complexed
as anions). the migration is actually in the reverse direction.
2. Convection is the most effective, involving substantial move-
ment of the solution. This is accomplished by mechanical
stirring, circulation or air agitation of the solution or moving the
electrodes (parts) through the solution. Any one or combina-
tion may be employed.
3. Diffusion is the effective mechanism for ionic migration in the
vicinity of the electrode surface itself where convection be-
comes negligible. The region near the electrode surface where
the concentration of the ions differs from that of the bulk of
solution is called the diffusion or boundary layer. It is defined
somewhat arbitrarily as the region where the concentrations
differ by 1% or more.[22] The diffusion layer is much thicker than
the electrical double layer (approximately 15,000 to 200,000
times thicker, depending on agitation and temperature.
Figure 10.7 illustrates the diffusion/boundary layer, differentiating the
Nernst diffusion layer (δN) from the actual diffusion layer. The diffusion rate
of the reacting species is given by:

Eq. (17) R = D (Cs - Ce) / δN

where: R = diffusion rate (moles cm-2 s-1)


D = Diffusion coefficient (cm2 s-1)
Cs = solution concentration (bulk concentration)
Ce = concentration at electrode
δN = the Nernst diffusion thickness
The diffusion rate increases as δN decreases. On flat, smooth
electrode areas, the diffusion layer is fairly uniform. At rough surfaces
or irregularities which have a roughness profile with dimensions about
equal to the diffusion layer thickness, the diffusion layer cannot follow the
surface profile, being thinner at the micropeaks than in the microvalleys.
The deposit may be thicker at the peaks than in the valleys, a condition
characterized as poor microthrowing power. A reverse condition may
also exist resulting in good microthrowing power or leveling, i.e., making
the surface smoother after plating than before plating. Figure 10.8
represents the three types of microthrowing power. The plating solution
composition, especially organic additives, greatly influences the charac-
ter of microthrowing power and brightening.
524 Deposition Technologies for Films and Coatings

Figure 10.7. Relation of Nernst diffusion layer (δN) to actual diffusion layer (δ).

The limiting current (or current density) (see Fig. 10.4) can be defined*
as:

Eq. (18) iL = nFD (Cs - Ce ) /,δN

where n = electron transfer, F = Faraday constant, D = diffusion coefficient.


When the overpotential is sufficiently high, Ce can be neglected and Eq. (18)
becomes:

Eq. (19) iL = nFDCs / δN

and D/ δN equals the mass transfer coefficient.


Increasing the current density increases the plating rate. However, the
deposit deteriorates when the current density exceeds some value depend-
ing on the solution composition and operating variables. Rough, burnt,
dendritic, or powdery deposits maybe obtained when the limiting current
density region is approached. Landau[23] observed that it is not the absolute
value of the current density which determines the quality of the deposit, but

* Electroplaters consider the limiting current density as the maximum current density
which will still produce acceptable deposits. This value is generally lower than
calculated values using Eqs. 18 and 19.
Deposition from Aqueous Solutions 525

rather the ratio of actual plating current density to the limiting current
density,i/iL . He determined that when the ratio exceeded 0.6, rough deposits
generally resulted, with the concentration overpotential, ηC, becoming
appreciable. The limiting current density is higher as agitation is increased,
especially in the turbulent regime.

Figure 10.8. Types of microthrowing power.


526 Deposition Technologies for Films and Coatings

3.2 Parameters

The parameters generally controlling the composition, structure and


properties of the deposit are shown in Fig. 10.9. These are briefly reviewed.
Basic Electrolyte Composition. This includes the compounds sup-
plying the metal ions (to be deposited) and the supporting ions. The basic
functions of the supporting ions or compounds are to stabilize the electrolyte,
to improve solution conductivity, to prevent excessive polarization and
passivation (especially anodic), and to provide compatibility with the desired
plating conditions. Supporting ions or conducting salts reduce the current
shared by the metallic ions or complexes, making convection (agitation) a
more significant factor.
Additives. Additives, commonly called addition agents (A.A.), are
frequently added to plating solutions to alter desirably the character of the
deposit. Read[24] discussed the effects of A.A. on the physical and mechani-
cal properties of deposits− −intentional or accidental. They are usually organic
or colloidal in nature although some are soluble inorganic compounds. When
additives produce a specific effect, they are descriptively called brighteners,
levelers, grain-refiners, stress-relievers, anti-pitters, etc.
Profound effects are produced with small concentrations, ranging from
a few mg/L to a few percent. In general, the effective concentration range is
of the order of 10-4 to 10 -2 moles/liter. The mechanisms by which these
effects are achieved are not clear in spite of a considerable amount of
research and published literature (including a voluminous patent literature,
since most commercial additives are proprietary). However, the additive
must be adsorbed or included in the deposit in order to exert its effect, and
thus appears related to its role in the diffusion layer. Kardos[25] reviewed
comprehensively the “diffusional” theory of leveling, which, experimentally
derived, provides a scientific basis to explain the phenomenon.
To date, no generally acceptable mechanism has been devised to
explain satisfactorily the brightening action of addition agents. Brightness,
of course, is related to the absence of roughness on a very small scale. The
diffusion-controlled leveling theory may be involved for rough surfaces but
becomes inapplicable on smooth surfaces or on the sustained growth of
bright deposits. Kardos[25] recognized this limitation, and for the latter
favored the selective adsorption of inhibitor on certain growth sites without
being diffusion-controlled. The selective adsorption of brightening agents on
active sites (lattice kinks, crystal projections, growth steps) or random
Deposition from Aqueous Solutions 527

Basic Electrolyte
Composition Controlled Plating
Variables
Impurities
pH
C.D.
Temperature
Agitation
Additives Composition and Time
Structure of
Leveling Deposit
Brightening Current
Anti-pitting Characteristics
Grain refining Thickness
Stress relieving DC-% ripple
PR
IC
Superimposed AC
Asymmetrical AC
Pulsed

Physical Properties Mechanical Properties

Density Hardness
Coefficient of thermal Ductility
expansion Tensile Strength
Electrical resistance Stress
Modulus of elasticity

Corrosion resistance

Magnetic properties

Figure 10.9. Factors influencing the properties of deposition


528 Deposition Technologies for Films and Coatings

adsorption to suppress crystallographic differences in the deposit represent


other proposals of the mechanism, but these are still highly conjectural.
Other reviews,[26]-[29] with extensive references, discuss the problems
associated with elucidating a brightening mechanism. It seems likely that the
“trial and error” method of selecting brightening agents will remain the really
effective approach− −at least for the near future.
While neither leveling nor brightening may be considered “properties”
of the deposit, the resulting grain size can greatly influence the physical and
mechanical properties due to the inclusion of these additives or the decom-
position products− −especially sulfur and/or carbon− −in the deposit. The
corrosion characteristics of these deposits are also affected, usually ad-
versely.
The functions of the other types of addition agents are evident. In many
instances, the same addition agent performs several of these functions or
acts synergistically with other solution constituents or other addition agents.
Controlled Plating Variables. The influence and effects of the
operating variables are somewhat dependent upon the solution composition.
They are also interdependent. All exert an influence on the structure and
properties of the deposit. They are not always predictable, and establish-
ment of optimum ranges is usually determined empirically.
The use of ultrasonic energy agitation in electroplating solutions, i.e., its
effect on the polarization, the diffusion layer and properties of deposits has
received considerable interest since the 1950’s. Rich[30] determined that low
frequency vibrations (16 - 30 kHz) produced more uniform results, and
Roll[31] obtained best results in the frequency range 20 - 50 kHz with intensity
(power) range at 0.3 - 0.5 watt cm-2. However, Hickman[32] found that results
based only on reported frequencies and intensities provide an inadequate
description and suggested the use of the limiting current method with
characterization of the ultrasonic agitation intensity in terms of diffusion layer
thickness. The considerable research by Russian investigators is reported
by Kapustin and Trofimov.[33] Walker and Walker[34] reviewed the effects of
ultrasonic agitation on properties of deposits and noted that the conflicting
results reported in the literature may be due to the differences in frequency,
intensity and methods of application. Forbes and Ricks[35] were able to
reduce the number of preparatory steps required to silver-plate aluminum
bus bars from 11 to 4, using ultrasonic agitation in key operations. In this
connection, ultrasonic agitation has been widely employed in degreasing,
cleaning and pickling pre-plating operations.
Deposition from Aqueous Solutions 529

Some of the advantages attributed to the use of ultrasonics in elec-


trodeposition are;
1. Higher permissible current densities resulting in higher rates of
deposition.
2. Suppression of hydrogen evolution in favor of metal deposition,
i.e., a shift in limiting current density.
3. Improved adhesion
4. Reduced porosity
5. Reduced stress
6. Increased brightness
7. Increased hardness (especially in chromium deposits)
The influence of ultrasonic agitation on grain size appears to be the most
important factor, controlling most of the other property changes. However,
no specific effects or trends can be attributed to ultrasonics.[34]
Walker and Holt[36] applied hydrosonic agitation as an alternative to
ultrasonic agitation. The plating solution is circulated under pressure through
an hydrosonic generator, converting solution velocity to acoustical energy
with a pulse waveform from 5 kHz to the ultrasonic range solely by
mechanical action. Results similar to plating with ultrasonic agitation were
reported without some of the disadvantages associated with ultrasonics.
Impurities. It is practically impossible to maintain a plating solution free
of impurities. Common or potential sources include:
1. Chemicals used for make-up and maintenance.
2. Impure anodes.
3. Improperly cleaned anodes, anode bags and filters.
4. Rubber or plastic linings and hoses.
5. Rack coatings or maskants.
6. Decomposition of addition agents.
7. Improper rinsing and drag-in of solution from the previous step
8. Accumulated dissolution of parts during plating.
9. Corrosion of electrical bus bars suspended above the solution.
10. Improper or insufficient cleaning or preparation of parts prior to
plating.
11. Fall-in of airborne dirt and oil particles.
12. Chemicals in water used for volume replenishment (e.g., hard
water).
13. Generally poor housekeeping.
530 Deposition Technologies for Films and Coatings

Particles suspended in the solution may become attached to the


surface, resulting in rough, nodular deposits, or leave pits if they fall off; either
result produces adverse effects on the integrity and corrosion resistance of
the deposit. (A notable exception is the dispersion of controlled particles to
be included into the deposit; see Dispersion Coatings in Sec. 5.2.)
Organic impurities generally contribute to pitting, poor covering power,
poor adhesion, and harder, more brittle, stressed, darker deposits.
Metallic impurities contribute to pitting, poor throwing power, poor
adhesion, lower cathode efficiency, stress and cracking, brittleness, burn-
ing and off-color deposits. These cations may co-deposit or become
entrapped in the deposit, altering its structure and properties. Furthermore,
the distribution of the impurity in the deposit may be current density
dependent− −usually more concentrated in the low C.D. areas. (Dummying,
i.e., removal of impurities from solution by electrolysis, is a common practice
in certain plating solutions−−especially nickel−−at C.D.’s between 0.2 and 0.5
amps/dm2.) The effects and removal of metallic impurities (copper, zinc and
iron) in nickel deposition were studied in detail by D. T. Ewing et al.[37]
Current Characteristics. All plating processes− −with very few excep-
tions− −require unidirectional or direct current (DC). Current sources are
motor generators or rectifiers which convert alternating current (AC) to DC,
with the latter almost completely supplanting the former. At present, silicon
rectifiers are the most widely used.
Depending on the number of rectifying elements, the type of AC (single
or three phase), and the circuitry, the output wave form can be half wave or
(usually) full wave with varying percentages of ripple, ranging from 48% to
less than 4%. In most plating processes, especially from complex ion type
solutions, ripple may not be too significant. However, it can be a significant
factor in some plating operations, notably chromium where the ripple should
be low (5 - 10%), since higher ripple may co-deposit excessive oxides and
adversely affect the deposit’s structure and result in dull deposits. DC
rectifiers used in gold and other precious metal plating require ripple to be as
low as 1% for optimum deposit characteristics.
Figure 10.10 represents examples of the modulated current forms
employed in attempts to reduce the magnitude and effects of polarization and
to alter the structure and properties of deposits.
Superimposed AC on DC, the earliest approach, has not had extensive
application. Zentner[38] employed this technique to raise the coercive force
(Hc) and decrease remanence (BR) in cobalt-nickel alloys developed for hard
magnetics.
Deposition from Aqueous Solutions 531

Figure 10.10. Examples of pulsed wave forms[47]


532 Deposition Technologies for Films and Coatings

Periodic reverse, or PR,[39] under the proper conditions, produces


dense fine-grain, striated, leveled and bright deposits. It has its greatest
effect and applications on deposits from cyanide solutions, notably copper,
permitting smooth, heavy deposits. [Copper deposits produced with DC
from cyanide solutions generally become nodular when thicknesses exceed
0.075 - 0.1 mm (3 - 4 mils).] A typical PR cycle is 15 seconds plating and 3
seconds deplating; the longer the deplating (reversal) cycle, the smoother is
the deposit.
The extended plating time or increased current density required by PR
to deposit a given thickness led to the use of interrupted DC employing similar
cycles. The interrupted or off duty segment’s function is to permit the
diffusion layer to be replenished.
Asymmetric AC can be considered a variation of PR. In an interesting
application, Rehrig[40] used high frequencies (500 Hz), and very high current
densities [cathodic C.D. ~82.5 A/dm2 - 110 A/dm2 (~750 - 1000 A/ft2) and
anodic C.D. at 25% of cathodic C.D.] for high speed spot plating of gold on
lead frames to obtain good bonding properties. In contrast, DC current
densities in excess of 33 A/dm2 (300 A/ft2) produced deposits with poor
bonding characteristics. Co-deposited metallic impurities were removed
during the anodic phase; the degree of effectiveness was proportional to the
anodic C.D. with a minimum of 22 A/dm2 (200 A/ft2 ) required. The deposit
hardness decreased and the bond pull strength increased as anodic C.D.
increased.
Considerable work is being done applying pulsed current modification
in plating, especially in electronic plating applications. Wan et al.[41] and
Puippe et al.[42] reviewed the literature to 1979. Two symposia[43] and a
monograph, “Theory and Practice of Pulse Plating,”[44] present current
practices and applications involving pulse plating. Pulse plating may be
defined as on/off DC as is interrupted DC mentioned above. The primary
differences are that the on pulses are of very short duration, generally 5 - 15
milliseconds, and the off time is approximately ten times longer; much higher
current densities are applied. The so-called duty cycle is the ratio of on time
(Ton) to off time (Toff):

Eq. (20) Duty Cycle = Ton / (Ton + Toff)

The time interval (on + off) is the reciprocal of the frequency, the on time being
the product of the time interval and duty cycle.
The average current density is calculated as the peak current density
times the duty cycle. The average current density in pulse plating cannot
Deposition from Aqueous Solutions 533

exceed the diffusion limiting current density determined for DC plating[45][46]


The size of a pulse rectifier, i.e., the peak current required, is determined by
the ratio of the average current to the duty cycle. The duty cycle is usually
reported as percent. Each variable influences the properties and quality of
the deposit and the optimum conditions are usually determined experimen-
tally.
Osero[47] evaluated the equipment associated with pulse current modi-
fication. Avila and Brown[48] detail the circuitry and power requirements.
They indicated that the off time is critical since it is based on and determines
the requirements of the diffusion layer returning to equilibrium.
Cheh et al.[49] indicated that the cathode current efficiency (CCE)
dropped from 100% to a 93.7 - 80.4% range due to pulse plating, shorter
pulses (0.5 msec) resulting in lower CCE than longer ones (2 - 10 msec).
They hypothesized that this may be due to the 2-step reduction mechanism
as advanced by Mattson and Bockris:[50]

Eq. 21 Cu2+ + e- → Cu 1+

Eq. 22 Cu1+ + e- → Cu0

where Eq. 21 is faster than Eq. 22 during the first interval of the pulse. Thus,
the cuprous ion (Cu1+) accumulates and during the relaxation (off) period
disproportionates:

Eq. 23 2Cu1+ ←
→ Cu2+ + Cu0

The throwing power of copper, as measured with the Haring Cell, was
somewhat reduced by pulse plating while that of gold from a citrate-
phosphate solution was improved; however, the improvement diminished
rapidly with increasing peak current densities. Using a rotating disc
electrode, they found a slight improvement in the microthrowing power of the
gold solution due to pulsing; however, the current densities and especially
the agitation used had more significant effects.
Reid[51] found that pulsed plating in cobalt-hardened gold deposits
virtually eliminated polymer formation under low C.D. (~5 mA/cm2 ) and high
off-to-on ratios (100 ms/10 ms). Other effects in the properties noted were:
1. Improved ductility without any significant decrease in hard-
ness.
−even in the presence of polymer−
2. Increased density− −from 17.1
gm/cm3 for DC plating (1 mA/cm 2) to 19.2 gm/cm3.
534 Deposition Technologies for Films and Coatings

3. Significant reduction in electrical resistance for Co-hardened


gold, from 14 µΩ-cm to 6 µΩ-cm, but an insignificant reduction
in pure gold deposits, from 3 µΩ-cm to 2.4 µΩ-cm.
Effects of pulsed plating on the deposit compositions and properties of
gold and gold alloys are reviewed by Raub and Knödler.[52] They show
increased alloying element content (Ni or Co) and a decrease in carbon
content as a function of off-time. The tensile stresses are reduced in alloy
deposits, while the hardness is about 10% higher than that of comparable DC
plated alloys. The gas content (H2, N2, and O2 ) of pulsed plated deposits is
also substantially reduced. Knödler[53] reviewed the use and effects of pulse
plating of the precious metals. Hosokawa et al.[54] found that desirable
properties of gold and rhenium deposits sometimes lie within a narrow range
of pulse parameters. They found that the CCE was five times greater than
with DC plating when the duty cycle exceeded 50% with a pulse duration of
3 - 5 µsec. Puippe and Ibl[55] studied the influence of Ton and Toff on the
morphology of cadmium, copper, and gold deposits. The influence of Toff
proved to be important with regard to electro-crystallization; it also strongly
influenced other properties unrelated to the morphology of the deposits.
The effect of pulse plating on current distribution and throwing power
was reviewed by Dossenbach.[56] He indicated that pulse plating does not
affect primary current distribution and compared to DC plating provides a
less uniform secondary current distribution whereas the tertiary distribution
can be improved, especially for short duration high current density pulses.
Avila[57] reviewed pulse plating of alloys. The pulse plating of other
(individual) metals have been discussed in Refs. 43 and 44.
Fundamental aspects of pulse plating were presented by Ibl.[43a][58]
The influence of pulsing and the effect on the double layer at the electrode
surface are discussed by Puippe and Ibl.[59]
Some of the advantages claimed for pulse plating are:
1. Faster plating rates due to increased permissible current den-
sities.
2. Denser deposits (less porosity).
3. Higher purity of deposits, less tendency for impurities to deposit.
4. Smoother, finer-grained deposits.
5. Reduced need or elimination of addition agents.
6 Less hydrogen evolution, providing sharper, finer lines at mask-
ing interfaces and possibly less hydrogen embiittlement.
7. Decreased stress in deposits.
8. Increased Ni or Co contents in alloy-hardened gold deposits
with less polymer formation.
Deposition from Aqueous Solutions 535

Some of the effects and advantages attributed to pulse plating and other
modulated current wave forms are very similar to those for ultrasonic
agitation. Both attempt to reduce the adverse polarization effects by
decreasing the Nernst diffusion layer thickness while increasing mass
transfer of the reacting species, permitting the use of higher current densi-
ties. In many instances, pulse plating has an effect similar to organic addition
agents, especially as related to grain size.
A more recent approach to modification of plating processes and
resulting deposits involving the simultaneous application of laser energy was
first reported by von Gutfeld et al.[60] The impingement of a laser beam on
the cathode surface resulted in increased plating rates by as much as a factor
of 1000. The mechanisms responsible for this deposition rate increase were
investigated by Puippe et al.[61] The absorption of laser energy resulted in
localized increase in temperature at the cathode/solution interface which
produced vigorous agitation (microstirring), a shift in the rest potential (open
circuit potential), and an increase in both the charge transfer and mass
transfer rates.
Gutfeld and Romankiw[62] described the application of laser-enhanced
plating to gold patterning, i.e., the selective deposition on spots and patterns
or tracks with the ability to “write directly” without the use of masks as well as
potential use in repair of electronic circuitry. Bocking[63] described plating
equipment and set-up combining laser-enhanced plating with high speed jet
selective plating with plating rates as high as 16 ∝m/s. Pure gold was
deposited on both metallic and metallized ceramic substrates without the
need for any masking. Gutfeld et al.[64] developed a method for selective
pattern plating by applying a dielectric coating and utilizing a laser (Nd-YAG
laser) to produce the desired pattern leaving a clean surface which could then
be plated by conventional means. Gelchinski et al.[65] found that laser-
enhanced jet plating of gold increased deposit smoothness and decreased
nodularity and voids with increasing laser power density; hardness of the
deposits was in the range characteristic of soft gold.
Kuiken et al.[66] indicated that laser-enhanced plating was not very
effective on good heat-conducting substrates since only a limited tempera-
ture rise results. They suggested depositing an undercoat of a relatively poor
heat-conducting material such as a nickel-phosphorus alloy (which is almost
1/20 that of nickel), significantly improving the effectiveness of laser-
enhanced plating and reducing the need for high laser power densities.
536 Deposition Technologies for Films and Coatings

Zahavi et al.,[67] using a Nd/YAG laser, deposited Au and Pd-Ni alloys


directly on semiconductor and polymeric substrates with conventional
electroplating solutions but without external current. The deposition was
highly selective and accomplished without masking or any surface prepara-
tion. The deposits exhibited Schottky contact behavior on n-type silicon and
GaAs substrates.

4.0 PROCESSING TECHNIQUES

The preparation of metal surfaces for plating involves the modification


or replacement of interfering films to provide a surface upon which deposits
can be produced with satisfactory adhesion. The type and composition of the
soils present as well as the composition and metallurgical condition of the
substrate determine the “preparation cycle” and the materials used. The
operations involved are designed to accomplish these objectives:
1. Clean the surface.
2. Pickle or condition the surface.
3. Etch or “activate” the surface.
4. Stabilize the surface. Strike
In some cycles, several objectives are combined in the same operation.
Rinsing steps follow each treatment step.
Each of these steps is examined separately.
Cleaning. The cleaning steps serve two functions: (i) Removal of bulk
soils (oils, grease, dirt). This may involve mechanical operations such as wet
or dry blasting with abrasive media, brushing or scrubbing or chemical
cleaning with solvents (degreasing) or emulsions. (ii) Removal of last “trace”
residues. Usually chemical soak (or spray) and electrochemical cleaners
are employed. These can affect the substrate and therefore should be
compatible with it. Such cleaners may contain alkaline chemicals, surfac-
tants, emulsifying or dispersing agents, water softeners, inhibitors, and
chelating agents. Acidic formulated cleaners are also available.
Pickling or Conditioning. These are acid dips which neutralize and
solubilize the residual alkaline films and micro-etch the surface. The
common acid dips are either sulfuric acid (~5 - 15% v/v) or hydrochloric acid
(~5% to full strength) and are satisfactory for most alloys. Where undesirable
reactions or effects may occur, the acid dip should be formulated to be
compatible with the substrate composition.
Deposition from Aqueous Solutions 537

Etching or Activating. Undesirable (from the plating viewpoint)


metallurgical micro-constituents are removed or rendered non-interfering; e.g.,
silicides in aluminum alloys or nickel, or chromium in stainless steels or super
alloys, or these steps remove or reduce oxides or other passive conditions
prevalent to some surfaces.
High nickel and/or chromium containing alloys usually have a tenacious
oxide or passive films which must be destroyed with strong acids or anodic
etching in strong acids. Solutions containing 15 - 25% v/v or more sulfuric acid
are usually employed at low current densities, 2.2 A/dm2 - 5.5 A/dm2 (20 - 50
A/ft2) for metal removal, or at high current densities, 10 - 30 A/dm2 (100 - 300
A/ft2) for smut removal or oxide alteration. Both current density ranges may be
employed to maximize adhesion of thick deposits.
In special cases, activation may be accomplished by cathodic treatment
in acid or alkaline (cyanide) solutions. Hydrogen is deposited at the surface to
reduce superficial oxide films. Solution contamination must be avoided or
minimized since such contamination especially heavy metal ions may be co-
deposited as smut.
Stabilizing. Very active materials alloys of aluminum, magnesium or
titanium tend to oxidize or adsorb gases readily, even during rinsing and
transfer. These continue to interfere with adhesion of deposits. Therefore, a
necessary step involving an immersion deposit of zinc or tin, electroless
coating, or modified porous oxides is required to make the surface receptive to
an adherent electrodeposit.
The electrodeposition of thin coatings from specially formulated solutions
called strikes are considered stabilizing steps since they provide new, homo-
geneous, virgin surfaces upon which subsequent deposits are plated. These
strike solutions and plating conditions are usually designed to be highly
inefficient electrochemically. The considerable hydrogen gas evolution assists
any final cleaning, reduction of oxides, and activation of the surface while the
thin deposit covers surface defects and remaining soils (smut).
The most widely used strike is the cyanide copper strike. The pH and
“free” cyanide content are varied depending on the alloy being plated. A
typical formulation range is:
Copper cyanide, CuCN 15 - 25 g/L (2 - 3.5 oz/gal)
Sodium cyanide, NaCN 22 - 40 g/L (3 - 5.3 oz/gal)
“Free cyanide”, NaCN 2.5 - 11 g/L (0.3 - 1.5 oz/gal)
Sodium carbonate, Na2CO3 15 - 60 g/L (2 - 8 oz/gal)
pH 10.5 - 13.0
Temperature RT or slightly elevated, 38 - 45oC
C.D. 0.55 - 1.1 A/dm2 (5 - 10 A/ft2)
1.1 - 2.2 A/dm2 (10 - 20 A/ft2)
538 Deposition Technologies for Films and Coatings

The lower pH’s and free cyanide are used for sensitive metals such as
aluminum or zinc alloys; the higher pH is used for steels. The cleaning or
activating ability is increased with increasing pH, free cyanide, C.D. and
temperature. The deposit thickness is approximately 0.25 - 0.50 ∝m (0.01
- 0.02 mils); thicker deposits—1.25 - 2.5 ∝m (0.05 - 0.1 mils)—are applied
at slightly higher temperatures.
The second most common strike is the Woods nickel strike or its
modifications. This strike is effective (and preferred) on high nickel or
chromium containing alloys. A typical formulation is:
Nickel Chloride, NiCl2· 6H2 O 240 g/L (32 oz/gal)
Hydrochloric Acid (Conc.): 125 ml/L (16 fl. oz/gal)
Temperature RT (20 - 30oC)
C.D. 5 - 20 A/dm2 (50 - 200 A/ft2)
Time 0.5 - 3 minutes
Silver or gold strikes are used prior to plating thicker deposits of these
metals. Either a gold strike or the strike of the particular precious metal is
used prior to plating the specific metal. These are generally formulated
similar to the plating solution except that they contain approximately one-
tenth the metal ion concentration. The strikes may be applied directly to the
substrate or, more commonly, on the copper or nickel strikes discussed. The
use of these strikes minimizes the possible contamination of precious metal
plating solutions.
Unusual strikes are sometimes employed in special procedures. For
example, a chromium strike appears to be most effective for plating on
molybdenum alloys or an acid copper or electroless nickel strike on titanium
alloys followed by a thermal diffusion treatment to obtain adhesion of
subsequent deposits.
Properly designed preparation cycles and the establishment of a stable
receptive surface are prime requisites for good quality deposits. However,
the condition and integrity (or lack of it) of the surface prior to plating also
affect the quality of the deposit; this is becoming more evident as quality and
functional requirements of electrodeposits are increased.
Some plating processes require post-plating treatments. To improve
the corrosion resistance of zinc or cadmium deposits or the tarnish resis-
tance of silver, chromate conversion coatings are applied by chemical or
electrochemical treatments; these gel-like films also improve adhesion of
paint films.
Since most preparation and plating processes generate hydrogen
which can be occluded and can migrate into the substrate, possibly causing
Deposition from Aqueous Solutions 539

hydrogen embrittlement, stressed articles or high-strength materials are


usually given a stress relief bake in air at 190o C (350 - 400oF) for 3 - 24 hours
within 3 - 4 hours after plating.
Procedures for the preparation of difficult-to-plate substrates have
been prepared as “Standard Recommended Practices” by ASTM. These are
listed in Appendix A. The “Standards” reference the literature upon which
they are based. Included in the Appendix is a discussion of preparation of
less common metals.

5.0 SELECTION OF DEPOSIT

5.1 Individual Metals

Only nineteen or so of all the known individual (single) metals are


presently of practical interest in aqueous electrodeposition. Of these, only
ten have been reduced to large scale commercial practice. These are
indicated in Table 10.3 with the most widely used ones underlined. Holt[68]
reviews the electrodeposition of “uncommon” elements from aqueous,
organic and fused salt media.
Alloy deposition, electroless deposition and deposition with dispersed
particles (inclusion plating) extend the practical use of aqueous coating
systems considerably. These are discussed separately.
In order to make a proper selection of a deposited coating, one must be
cognizant of the fact that these coatings can vary widely in structure and
physical and chemical properties, depending on the electrolyte composition
and operating conditions as discussed above. For example, the hardness
of as-plated chromium deposits can be varied from 350 to 1100 DHN, and
nickel from about 150 to 650 DHN. The corrosion protection afforded by a
coating depends upon its electrochemical relationship to the substrate, its
thickness, continuity (porosity), and the environment as well as its overall
quality. The important factors to be considered in the selection of a deposit
are the purpose of the deposit and the use (function) of the finished article.
Other factors which must be considered are the size, shape, and expected
useful life of the article and the costs and environment involved.
Table 10.4 comprises a list of various engineering functions of depos-
ited coatings and the deposits usually employed. Table 10.5 gives “repre-
sentative” hardness values for various deposits in relation to some common
materials and hardness scales. Spencer[69] discusses selection factors for
coatings, their properties and characteristics, and uses.
540 Deposition Technologies for Films and Coatings
Table 10.3. The Periodic Table
Deposition from Aqueous Solutions 541

Table 10.4. Selection of Deposits


________________________________________________________________________

Primary Function Most Widely


of Coating Used Coating Representative Application
_________________________________________________________________________

Corrosion Zn, Cd Sacrificial coatings, fasteners,


Resistance hardware fittings
Sn Food Containers
Ni, Cr Food processing equipment
(wear resistance required)

Decorative Cu/Ni/Cr Household appliances,


composite, automotive trim
Brass (Cu-Zn)
Ag, Au, Rh Jewelry

Dielectrics Anodized oxide Condensers


coatings of Capacitors
Al & Ti, Ta Coatings

Electroforms Ni, Cu, Fe, (Cr) Radar “plumbing,” screens,


Co, composites bellows, containers, molds

High temp. oxidation Cr, Rh, Pd, Pt, Air and space craft
resistance Au, Ni Electronic devices
Diffusion Barrier

Maskant Cu, Sn Bronze Selective carburizing, nitriding


Sn, Pb-Sn Etch Resists

Reflectors Ag, Rh, Cr Visible light reflectors


Au Infra-red reflectors

Salvage Cu, Ni, Cr, Fe Mismachined, worn parts

Soldering, Pb, Sn, Sn-Pb Containers, printed circuit


Bonding Cu, Ag, Au and other electronic
Sn-Ni, Cd, Ni assemblies and chassis

Wear Resistance Ni, Cr, E-Ni, Air and space craft,


Hard Anodizing hydraulics
Rh, Au, Au alloys Electronic contacts
_________________________________________________________________________
542 Deposition Technologies for Films and Coatings

Table 10.5. Comparison of "Normal Hardness" of Commonly Deposited


Coatings in Relation to Hardness Scales

(Modified and based on Metal Progress, p. 131, Sept. 1959)


Deposition from Aqueous Solutions 543

5.2 Alloy Deposition

Alloy deposition extends the availability and applicability of coatings


from aqueous solutions. It is an area of increasing research and develop-
ment, although most of the systems have not attained commercial applica-
tion. An extensive literature has developed. Brenner’s two-volume compre-
hensive, definitive monograph[70] details compositions, operating condi-
tions, structures and properties of the deposits, covering developments up
to 1960. A Russian monograph[71] details their extensive research in this
area. Brenner[72] updated the state of the art to 1964. Krohn and Bohn[73]
reviewed the literature to 1973 with a count of more than two hundred binary
alloys; Fig. 10.11 summarizes the binary alloy combinations reported to
June, 1972. Over one thousand abstracts on alloy deposition were reported
in Chemical Abstracts between 1964 and 1972. Sadana et al.[74] annually
review developments in alloy plating.

Figure 10.11. Binary alloys which have been electrodeposited form aqueous
solution: % indicates alloys reported up to 1960, $ indicates alloys electrodeposited
for the first time between 1961 and 1964, and & indicates alloys reported since
1964.[73]
544 Deposition Technologies for Films and Coatings

The most widely used plated alloys are:

Cu-Zn brasses ranging from red brass to white brass, primarily


decorative and for rubber bonding.

Cu-Sn bronzes, decorative, antiquing and as corrosion resistant


undercoats substituting for a copper strike.

Sn-Pb compositions ranging from 5% Sn to 65% Sn. Applications


include bearings, corrosion resistant coatings, solderable
coatings and etch-resists in electronic assemblies.

Au-Co, hardened gold alloy deposits used for electronic contacts


Au-Ni and wearing surfaces.

Sn-Ni for corrosion resistance and solderability.

Ni-Fe as substitute for nickel plating (decorative), soft magnetics


on computer heads (Permalloy).

Ni-P deposited either electrolytically or (more prevalently)


electrolessly for its hardness, wearability and corrosion
resistance and as non-magnetic undercoat on computer
hard disks.

Co-Ni for decorative plating, magnetic applications electroforming


(molds for plastics).

Co-P for hard magnetics, sometimes as ternary alloys containing


Ni, Fe, Zn, W, Mo, etc.

The electrodeposition of tungsten alloys[75]-[78] of Fe, Ni and especially


Co is commercially feasible but has remained largely experimental although
their properties should be of sufficient interest for engineering applications.
While the as-deposited hardness is lower than chromium or Ni-P, these
alloys can be precipitation hardened. One drawback is the high optimum
temperature (600oC) for the Co-W alloys, which can be detrimental to the
substrate. The deposits retain hot hardness similar to the Stellites.
Binary and ternary alloys of Fe, Ni and Cu have been produced almost
as stainless steel coatings[79]-[83] other studies[22][73][84] include reviews.
Machu[85] investigated the problems with anodes especially oxidation to
higher valence states and the use of insoluble anodes, alone and in
combination with soluble anodes. Other work with ternary alloys has been
Deposition from Aqueous Solutions 545

with gold alloys to increase hardness; Au-Ag-Sb alloys[86] reportedly showed


wear resistances 25 - 33 times greater than pure Au. Srivastava[87] reviewed
the electrodeposition of ternary alloys with special reference to solution
compositions and characteristics and applications.
Amorphous coatings, i.e., coatings exhibiting no x-ray diffraction pat-
terns, have been produced by electrodeposition and electroless deposition.
Aqueous deposition possibly is the best means for producing amorphous
metals and alloys since low operating temperatures are involved and rapid
solidification (as with metallurgically produced alloys) is not involved. Iron,
nickel and cobalt-based alloys containing sufficient phosphorus or boron are
generally amorphous in the as-plated condition. Thick amorphous electro-
forms of Ni-P have been produced.[88] The deposition of amorphous alloys
is not restricted to alloys containing these light non-metallic elements.
Amorphous single metal electrodeposits have also been produced, e.g.,
amorphous chromium deposits.[89] Amorphous deposits are generally hard,
and corrosion and wear resistant.
Methods other than co-deposition have been developed to produce
alloy coatings. These include diffusion of sequential deposits, dispersion of
particles or fibers in deposits (electro-composites), electrophoretic phenom-
ena, and mechanical plating.
Diffusion coatings. These processes involve the deposition of
coatings sequentially similar to the composite; Cu under Ni under Cr for
decorative finishes, followed by a thermal diffusion treatment. Such tech-
niques have been applied to improve the adhesion of deposits on difficult-to-
plate substrates (diffusion bonding). They have not, however, been exten-
sively applied to producing alloy coatings by deposition possibly due to
temperature requirements and the formation of intermediate diffusion zones
with undesirable properties (brittleness, etc.).
A proprietary alloy of Ni-Zn called “Corronizing”[90] was used commer-
cially as an improved corrosion resistant coating. (Subsequently, co-
deposited Ni-Zn alloys were developed.) The substitution of Cd for Zn by
Moeller and Snell[91] produced a corrosion preventive coating for jet engine
parts, permitting the use of low alloy steels operating at temperatures up to
535o C (1000oF). The coating consisted of 5 - 10.2∝m (0.2 - 0.4 mils) Ni plus
2.54 - 5 ∝m (0.1 - 0.2 mil) Cd diffused at 332oC (630 oF) (M.P. of Cd = 321o C
(611oF)). The satisfactory function of this diffused alloy coating is dependent
on the quality and characteristics of the Ni component.[92]
Sequentially deposited coatings of Co-W alloy and Cr diffused in air and
in a carburizing atmosphere are shown in Fig. 10.12 to illustrate the potential
of producing unique alloy coatings by controlled heat treatments.
546 Deposition Technologies for Films and Coatings

(a)

(b)

(c)

Figure 10.12. Diffused Co-W/Cr/Co-W composite coatings. (a) H.T. in air, 1680°F,
10 hrs (500x) (unetched), (b) H.T. in carburizing atmosphere, 1680°F, 10 hrs (500x)
(unetched), (c) H.T. in atmosphere, 1680°F, 10 hrs (500x) (etchant: hot Murakami).
Deposition from Aqueous Solutions 547

Dispersion Coatings. One of the common problems in electroplating


is roughness of the deposit, the primary cause of which is the presence and
suspension of discrete particles in the solution with subsequent entrapment
in the deposit. To overcome this problem, continuous or periodic filtration is
part of the operation for many types of plating solutions; so it is not difficult
to include foreign material into a deposit. The purposeful addition of a
second, dispersed phase of controlled particle size into a plating solution, is
referred to variously as: dispersion, inclusion, occlusion, composite or
electrophoretic plating, deposition or coating.
The requirements are simple:
1. The particles must be insoluble (or only slightly soluble) in the
solution.
2. The particles must be compatible with the solution, i.e., not
produce any detrimental effects.
3. The particles must be dispersed either “naturally” (as colloidal
size particles) or mechanically (stirring, agitation) in order to
contact physically the surface being coated.
4. The particle size is usually in the colloidal range (~0.005 - 0.2
∝m) or slightly larger, usually less than 0.5 - 1.0 ∝m although
there are exceptions for certain applications.
The possibilities are numerous. Satin nickel deposits[93] were devel-
oped to reduce glare on automotive trim, also providing improved corrosion
characteristics. Kilgore[94] described various applications including: (a)
non-galling Ni deposits containing 1000 mesh silicon carbide for pistons and
cylinder walls on internal combustion engines, (b) inclusion of Cr in Ni
deposits producing nichrome by subsequent heat-treatment, (c) 120 grit
diamond dust in nickel to produce permanent abrasive grinding wheels. The
hardness and wearability of Cd deposits from acid baths were improved by
inclusion of corundum or boron carbide particles.[95]
An important, desired result of dispersion plating is the improved
strength, hardness, creep and other properties of the deposit, including the
retention of strength after thermal treatments. Sautter[96] reported in-
creased yield strength from 8 kg/mm2 (11,375 psi) for pure Ni deposits to
35 kg/mm2 (50,000 psi) for dispersed alloys containing 3.5 - 6.0 volume
percent (v/o) Al2 O3 ; the particle size ranged from 0.01 - 0.04 ∝m to 0.3 ∝m
and the plating parameters other than agitation had little or no effect.
Electroformed lead and lead alloys were strengthened only by additions of
548 Deposition Technologies for Films and Coatings

TiO 2 (0.01 - 0.03 ∝m) although Al 2O3, BaSO4, Pb3 O4 and W additions were
also studied,[97] indicating the possibility of specificity with respect to the
dispersoid. Greco and Baldauf[98] found 2 - 15% of Al2O3 to be the effective
range for dispersion-hardening of Ni deposits from a sulfamate bath. The
increase in hardness appeared to be linear to the square root of the volume
fraction of the dispersoid with Al2 O3 showing a higher slope than TiO2 . The
deposits contained three times (v/o) more TiO2 than Al2O3 at the same
solution concentrations and plating conditions; the particle size averaged
0.074 ∝m Al 2O3 (0.013 - 0.339 ∝m range) and 0.2 ∝m TiO2 (0.037 - 0.313
∝m range).
Table 10.6 indicates the variations in mechanical properties of particle-
dispersed nickel alloys due to the dispersoid material and the plating solution
composition.
Electrophoresis is the term used to describe the migration, by virtue of
the electric charge on their surfaces, of colloidal or near-colloidal particles in
a suspending medium when a potential is applied. This migration is
analogous to ionic migration through a solution. The electrical double layer
of charges discussed above is involved. The process has been applied to
the deposition of a variety of materials including metal powders, oxides,
cermets and other particles to metal substrates. Usually the particles ranging
in size between 0.5 and 45 ∝m are suspended in a non-conducting (or poorly
conducting) medium and a high potential (50 - 1000 V) is applied to the
electrodes. High rates of deposition are obtained and coating thicknesses
can be varied by controlling voltage, electrode spacing, suspension concen-
tration and time. The coating is air dried and baked to remove the solvent
medium. The coating is nonadherent and must be processed further by
compression and/or sintering or by subsequent electrodeposition to bond it
to the substrate. Electrophoretic deposition has been applied to produce Ni,
Ni-Cr, Ni-Cr-Fe coatings to base metals as well as inclusion of such
dispersoids as molybdenum disulfide or silicon carbide.[99] Ortner[100]
applied electrophoretic deposition of TaC-Fe-Ni coatings onto graphite,
sintered at 2300oC (4170oF) for the protection of rocket nozzle inserts and
oxidation resistant coatings for refractory alloys.
A mechanical method of applying a coating involves peening soft
metals (Cd, Zn) and alloys onto a substrate with glass beads in an aqueous
medium in a tumbling operation. The equipment is similar to a cement mixer.
“Alloys” of Cd-Sn deposited in this manner exceeded two thousand hours in
salt fog corrosion tests.
Table 10.6. Mechanical Property Data for Nickel-Particle Composites[122]

Deposition from Aqueous Solutions


549
550 Deposition Technologies for Films and Coatings

Alloy deposits, however produced, offer certain advantages over single


metal deposits:
1. Increased corrosion resistance due to greater density and finer grain
structure.
2. Combination of properties of the individual constituents.
3. New properties, unlike the individual constituents.
4. “Tailor-made” properties by proper selection of the constituents.
The limitations include the greater control required, the difficulty of
reproducing the alloy composition, the greater attention to the anode
systems used and their effects on the solution constituents and complexes.

6.0 SELECTED SPECIAL PROCESSES

6.1 Electroless Deposition

Electroless plating processes differ from electroplating processes in


that no external current source is required. Metal coatings are produced by
chemical reduction with electrons supplied by a reducing agent (R.A.)
present in the solution:

catalytic
Eq. (24) M+n + ne- (supplied by R.A.) → Mo (+reaction products)
surface

The uniqueness of the process is that the reduction is catalyzed by


certain metals immersed in the solution and proceeds in a controlled manner
on the substrate’s surface. The deposit itself continues to catalyze the
reduction reaction so that the deposition process becomes self-sustaining or
autocatalytic. These features permit the deposition of relatively thick
deposits. Thus the process is differentiated from other types of chemical
reduction: (a) simple immersion or displacement reactions in which deposi-
tion ceases when equilibrium between the coating and the solution is
established (e.g. copper immersion on steel from copper sulfate solutions),
and (b) homogeneous reduction where deposition occurs over all surfaces
in contact with the solution (e.g. silvering-mirroring).
To prevent spontaneous reduction (decomposition), other chemicals
are present; these are generally organic complexing agents and buffering
agents. Other additives provide special functions as in electroplating
solutions: additional stabilizers, brighteners, stress relievers.
Deposition from Aqueous Solutions 551

The reducing agents most widely used are:


Sodium hypophosphite (for Ni, Co)
Sodium borohydride (for Ni, Au)
Dimethylamineborane (or other substituted amine boranes) for
Ni, Co, Au, Cu, Ag)
Hydrazine (for Ni, Au, Pd)
Formaldehyde (for Cu)
The process was reported by Brenner and Riddell[101] in 1946 for nickel
and cobalt coatings and has enjoyed very active interest since, resulting in
extension* to electroless plating of copper, gold, palladium, platinum, silver
and a variety of alloys involving one or more of these metals. Comprehensive
reviews[102]-[108][175] with extensive bibliographies cover the considerable
technology, solution composition and operating conditions, and literature
(including patent) which have accumulated. Representative solution formu-
lations are given in Appendix B.
Nickel deposits produced with hypophosphite or the boron-containing
reducing agents are alloys containing the element P or B. They are very fine
polycrystalline supersaturated solid solutions or amorphous metastable
alloys[109]-[111] with hardness ranging approximately 500 - 650 VPN and can
be precipitation hardened, being converted to crystalline nickel and nickel
phosphide (Ni3P) or boride (Ni3 B). Maximum hardness ranging from 900 -
1100 VPN is obtained at 400o C (750oF) for 1 hour (Fig. 10.13). The effects
of heat treatment at various times and temperature on the hardness of
electroless Ni-P have been extensively investigated.[109][112]-[114] Johnson
and Ogburn[115] supplement more fully previous work, showing the influence
of phosphorus contents and the specific heat treatments on the range of
hardness obtained (Fig. 10.14). Higgs[116] investigated the effects of heat
treatments on the hardness and structure of the deposits reporting the
presence of several NixPy compounds present other than the usually
reported Ni3P. Alloys containing more than 7 wt. % P do not exhibit
ferromagnetism in the as-plated condition. Schwartz and Mallory[117] found
differences in the increasing ferromagnetism of alloys from various solutions
as a result of heat treatments.
The phosphorus content of the deposit increases as the hypophosphite
concentration increases and the pH decreases in the solution. The boron

* These are commercially available. Other electroless processes for iron,


chromium, cadmium, and tin have been reported but either not confirmed or
commercially applied. Undoubtedly, new developments will continue to be reported.
552 Deposition Technologies for Films and Coatings

Figure 10.13. Hardness of electroless Ni alloys as a result of heat treatments


(1 hr).

Figure 10.14. Hardness of Ni-P alloy: $ as-plated, X after 8 hrs at 200°C, O


after ½ hrs at 400°C.[115]
Deposition from Aqueous Solutions 553

content in Ni-B systems is generally similar. The complexing agents in the


solution influence deposition rate[118] (along with pH) and may also have an
effect on the as-plated deposit; Mallory[119] related differences in salt fog
corrosion tests to this factor. It appears that the properties of the deposit may
vary considerably depending on the phosphorus content which, in turn, is
determined by the solution used and its operating pH. Graham et al.[110]
observed abrupt changes in structure, strength and ductility of deposits at a
phosphorus content of about 7 w/o with both strength and ductility increasing
with increasing phosphorus content. They also observed that the lamellar
banded structure was 10 times broader (5 ∝m ≡ 0.2 mils) in deposits from
alkaline solutions than in acid solution deposits (0.5 ∝m ≡ 0.02 mils).
Parker and Shah[120] determined that the stress in electroless Ni-P alloys
varies from tensile to compressive as the phosphorus content of the deposit
increases. They also observed variations in stress depending on the thermal
expansion coefficient of the substrate. However, increased thickness reduced
the stress on most substrates. Baldwin and Such[121] indicated that zero stress
can be obtained by adjustment of solution pH and that any desired value
between 11.25 kg/mm2 (16,000 psi) (tensile) and 5.6 kg/mm2 (8,000 psi)
(compressive) is achievable; maximum ductility was obtained with a 5.5 w/o P
alloy from a solution at pH 5.6 ± 0.2. The least wear of hardened electroless Ni-
P vs. quenched annealed steel was obtained with deposits containing 8 - 12 w/
o P and the maximum and minimum values of average friction coefficient were
0.43 and 0.57, respectively, compared to 0.63 - 0.64 for pure nickel.[114]
Thus, it is evident that the compositions, structures and properties of
electroless deposits can vary widely and are dependent on many factors.
Safranek[122] reviewed those for electroless nickel and cobalt, and Okinaka[123]
those for electroless gold. Saubestre [124] studied various reducing agents
for electroless copper, concluding that formaldehyde was the most
suitable. He also studied the effects of inhibitors or stabilizers to extend
the useful life of the solution. [125]
The costs of the complexing and reducing agents used in electroless
plating solutions make them non-competitive with electroplating processes.
The application of electroless plating is usually based on one or more of the
following advantages over electroplating:
1. Deposits are very uniform without excessive build-up on cor-
ners or projections or insufficient thickness in recessed areas.
Internal surfaces are also evenly coated. The uniformity is
limited only by the ability of the solution to contact the surface
and be replenished at the surface.
554 Deposition Technologies for Films and Coatings

2. Deposits are usually less porous and more corrosion resistant


than electroplated deposits (of equal thickness).
3. Almost any metallic or non-metallic, non-conducting surfaces,
including polymers (plastics), ceramics, glasses can be plated.
Those materials which are not catalytic (to the reaction) can be
made catalytic by suitable sensitizing and nucleation treatments
(see Sec. 6.4, Plating on Plastics).
4. Electrical contacts are not required.
5. The deposits have unique chemical, mechanical, physical and
magnetic properties.
The disadvantages of electroless plating compared to electroplating
include;
1. Solution instability
2 More expensive
3. Slower deposition rates
4. Frequent replacement of tanks or liners
5. Greater and more frequent control for reproducible deposits.
Properties and Trends of Electroless Nickel Deposits. Tensile
strength increases from 40 to 60 kg/mm2 for deposits containing 5 - 7% P to
as high as 85 kg/mm2 for deposits containing more than 9% P. Ductility also
increases with increasing P content but decreases with increasing hardness.
Ductility is reduced ~75% by heat treatment at 400oC (750oF). Severe strain
or impact results in cracking with no plastic deformation.
Heat treatment above 250oC (480oF) causes recrystallization and
precipitation of Ni3 P or Ni3B and other phases in a Ni matrix, resulting in
increased hardness. Figures 10.13 and 10.14 show the effect of heat
treatment on hardness of the deposits. Heating at 400oC (750o F) for 1 hour
produces maximum hardness for most compositions.
≤1500 angstroms) in
High internal stress is reported for thin deposits (≤
the range of 28 - 35 kg/mm2 , tensile. Stress values for thicker deposits vary
considerably, from -10.8 (compressive) to +15 kg/mm2 (tensile). High
tensively stressed deposits usually contain ≤7% P. Generally, stress values
vary inversely with the phosphorus content. Deposits containing ≥9% P are
usually compressively stressed. Heat treatment increases stress tensively,
compressively stressed deposits becoming tensively stressed even after
heating at 200oC (390oF) or less for several hours.
Wear test data vary greatly since many factors are involved, making
comparisons and trends difficult. Some of the variables include: type of
Deposition from Aqueous Solutions 555

solution and operating conditions, % P and thickness of deposit, heat


treatment temperature and time, and the kind of wear test used. Figures 10.15
and 10.16 indicate some trends in the wear resistance of electroless Ni
coatings. Abrasion resistance as measured by the Taber Abraser Test
indicates that heat treatment improves resistance. However, the hardest
deposits do not necessarily provide the greatest abrasion resistance.
Generally, NiB deposits are superior to NiP deposits.
The excellent wear resistance of electroless nickel coatings may be
due, in part, to the presence (and amount) of P which may improve the (dry)
lubricity of the coating and prevent seizure or galling except at high loads or
sharp impact conditions. However, the deposits do not break off as discrete
particles under heavy loads as do chromium deposits, the latter causing
excessive scoring. Although electroless nickel and chromium deposits
have similar hardness ranges, they perform well as a wearing combination.
The coefficient of (dry) friction for NiP varies from approximately 0.3
against grey iron, to 0.38 against steel, to 0.43 against chromium, with only
slight differences due to phosphorus content or heat treatment. NiB deposits
generally show higher values than NiP deposits.

Figure 10.15. Wear of electroless Ni in Taber Wear Test. Adapted from Ma and
Gawne.[126]
556 Deposition Technologies for Films and Coatings

Figure 10.16. Wear of electroless Ni (8.5% P, 40 ∝m)


Falex Test: EN Plated Pin
Unplated V-grooved Blocks.
Adapted from Ma and Gawne.[126]
Deposition from Aqueous Solutions 557

Composite Electroless Nickel Coatings. Electroless nickel coatings


are readily produced containing dispersed inert particles from highly stabi-
lized solutions (to minimize solution decomposition) in which the particles are
mechanically dispersed. Parts are usually rotated to obtain uniform particle
distribution. The particles are physically entrapped and not co-deposited.
Particle size range from 0.5 to 10∝m. Hard particles such as diamond, boron
carbide, silicon carbide, tungsten carbide, titanium carbide, aluminum oxide,
and chromium have been used to produce composite coatings with the
particles constituting up to 30 volume % of the coatings.
Applications include metal forming dies, molds for plastics, oil well
equipment, textile (yarn) spinning equipment, and friction disks. The as-
plated coatings are rough and dull but can be polished and lapped to provide
smooth, semi-bright finishes.
Heat treatment increases hardness and wear resistance as it does for
deposits without particles. However, such treatments should not exceed
400o C (750o F) for composites containing carbides since nickel carbide is
produced and hardness and wear resistance are greatly reduced.
Other applications involve the incorporation of soft or polymeric par-
ticles such as PTFE (polytetrafluoroethylene) into the electroless nickel
deposits. These provide excellent lubricating characteristics, wear resis-
tance and corrosion resistance. PTFE composites containing between 18
and 25 volume % are commercially produced for many wear, mold release,
and corrosion resistance applications.[127] Figure 10.17 shows the reduced
wear resistance of PTFE-composite coating over conventional electroless
nickel with extended testing.
Parker[128] has compiled literature data on hardness, wear resistance,
coefficient of friction, stress and other properties and characteristics of
electroless nickel coatings with and without dispersed particles.

6.2 Electroforming

Electroforming is defined[129] as the “production or reproduction of


articles by electrodeposition upon a mandrel or mold that is subsequently
separated from the deposit.” (Occasionally the mandrel may remain in whole
or in part as an integral functional part of the electroform.) The mandrels used
are classified as permanent or expendable. The choice, composition, design
considerations, preparation cycles, and methods of removal of mandrels are
probably the most vital aspects of electroforming.[129]-[132] Various types of
mandrels are given in Table 10.7.
558 Deposition Technologies for Films and Coatings

Figure 10.17. Taber wear test on as-plated PTFE-electroless nickel composite


coatings.[127]

Since the electrodeposits, called electroforms, are used as separate


structures, they are usually substantially thicker than plated coatings. The
fixturing or tooling of the mandrel and the anode positioning are quite critical.
These determine the current distribution and resulting thicknesses of the
deposit. A wide range of current densities produces changes in the structure,
concentration of impurities and properties of the deposit which, in view of the
function as an electroform, now are of paramount interest.
Braddock and Harris[133] reported increases in carbon content of nickel
deposits from 0.004 w/o to 0.008 w/o and sulfur contents from 0.0002 w/o to
0.0014 w/o when the C.D. was reduced from “normal” (537 A/m2 ≡ 50 A/
ft2 ) to very low C.D. (21.5 A/m 2 ≡ 2 A/ft 2 ). Dini et al.[134][135] discussed
the effects of variations in carbon and sulfur contents of nickel electroforms
from sulfamate solutions; these are shown in Figs. 10.18 and 10.19. Sulfur
in nickel deposits causes embrittlement and cracking, limiting high tempera-
ture applications (370oC ≡ 700o F max.) (Fig. 10.20).
Nickel, copper and iron are the most widely used electroforming
deposits. Knowledge of the solution compositions, operating conditions and
resulting structures and properties of deposits makes it possible to specify
a given solution and the desired results. These are tabulated by DiBari.[130]
Deposition from Aqueous Solutions 559

Table 10.7. Comparison of Mandrel Materials (from Spencer, Ref. 131)

Type Material Advantages Disadvantages

Permanent Carbon steel Availability, low cost Attacked by some plating solutions,
such as acid copper and hot ferrous
chloride.

Carbon steel, Improved hardness and/ Chromium coatings may be pitted by


chromium or silver or corrosion resistance. hot chloride type baths.
plated Coating may be stripped
and renewed.

Stainless steel Inert to most plating Costly. Soft surface of non-hardenable


solutions. types is easily scratched.

Inconel Natural oxide film


prevents adhesion of most
deposits.

Invar Low temperature Costly, poor machinability.


Kovar coefficient of expansion
facilitates removal from
electroform. Non-
adherent.

Brass, Ni Cr, Ag Good machinability, low Surface easily scratched.


plated cost.

Glass, Quartz Close tolerance, high Costly, fragile and requires a


finish. conductive coating.

Wood, plaster, Low cost. Moldable. Large tolerances. Requires a


plastic, etc. Flexible types can be conductive coating and/or sealing.
withdrawn from
undercuts.

Soluble Aluminum Good machinability. Good Costly. Surface easily scratched.


finish. Close tolerances
can be held in complex
non-withdrawable
shapes. Soluble in sodium
hydroxide.

Zinc and zinc base Can be die-cast Acid stripping solution more likely to
alloys attack electroform than caustic solution
used for dissolving aluminum.

Plastics Moldable. Low cost. Fairly Cannot be used in hot plating baths.
close tolerances. May swell in some baths. Requires
conductive coating.

Fusible Low melting alloys Can be cast at low cost. Difficult to remove from electroform
(Pb-Sn-Bi types) completely.

Waxes Can be cast or molded at Easily scratched. May deform by


low cost. creep. Requires a conductive coating.
560 Deposition Technologies for Films and Coatings

Electroforming with fiber re-inforced composites and methods used are


described by Withers and Abrams[136] and Wallace and Greco.[137] Repre-
sentative fibers or filaments included tungsten, boron, carbides and borides.
Greco et al.[138] investigated the bond strength characteristics of electrode-
posited nickel on boron and silicon carbide filaments.
Electroforming is very costly and is a very slow method for producing
parts. It finds application when:
1. Producing parts by mechanical or other means is unusually
difficult or costly.
2. Extremely close dimensions and tolerances must be held,
especially on internal dimensions or surfaces with irregular
contours.
3. Very fine reproduction of surface details is required.
4. Thin walls are required.
5. Unusual physical, chemical and/or mechanical properties are
required in the part.

6.3 Anodizing

Anodizing is an electrochemical process in which the part is made the


anodic (positive) electrode in a suitable electrolyte. Sufficiently high voltage
is deliberately applied to establish the desired polarization to deposit oxygen
at the surface (O2 overvoltage). The metal surfaces or ions react with the
oxygen to produce adherent, oxide coatings, distinguishing the process from
electrobrightening or electropolishing processes.
Industrial anodizing processes are confined mainly to aluminum and to
a much lesser extent to magnesium and titanium alloys. Anodized tantalum
is used in capacitors. Anodic coating applications include:
1. Protection corrosion, wear and abrasion resistance.
2. Decorative clear coatings on polished or brightened surfaces,
dyed (color) coatings.
3. Base for subsequent paint or organic coating.
4. Base for plating an aluminum.
5. Special based on some specific property or the coating, e.g.,
thermal barrier films, refractory films, electrolytic condensers,
capacitors (dielectric films).
Anodizing of aluminum has been investigated intensively. Wernick and
Pinner[139] definitively discuss the various processes and the nature and
properties of the oxide coatings produced.
Deposition from Aqueous Solutions 561

Figure 10.18. Influence of carbon on tensile strength: full curve, all data[134]

Figure 10.19. Influence of sulfur content on impact strength of electroformed


nickel.[135]
562 Deposition Technologies for Films and Coatings

Figure 10.20. Fracture surface of part with sulfur content varying from 88 to 210 ppm
(x 1000).[135]

The anodic films are classified according to the solvent action of the
electrolyte. The films produced in sulfuric or chromic acids are porous type
films. Phosphoric acid has even greater solvent action, resulting in
oxides with a greater degree of porosity; these coatings are used for
adhesive bonding and for plating on aluminum processes to provide
deposit adhesion by mechanical locking in the enlarged pores. On
the other hand, less aggressive mild electrolytes such as tartaric acid,
ammonium tartrate, boric acid, borate compounds, citric acid, etc., have little or
no ability to attack the anodic oxide. These films are essentially non-porous and
thin (approximately 0.5 ∝m = 20 ∝in) and are considered barrier type coatings.
Due to their unique electrical characteristics, the barrier type films are used for
such applications as electrical capacitors; they arealso applied as protective
Deposition from Aqueous Solutions 563

coatings (“overlays”) for vacuum deposited aluminum on precision mirrors


for optical equipment.
Specification MIL-A-8625 (see latest revision), used for both military
and non-military applications, describes the most widely used processes
and the expected requirements and tests for quality coatings. Three types
of anodized coatings are called out:
Type I from chromic acid solutions
Type II from sulfuric acid solutions
Type III from cold sulfuric acid processes (plus additives),
producing thicker deposits (12.7 - 127 µm) (0.5 - 5.0
mils). primarily for wear and abrasion resistance.
(Table 10.8 presents the most widely used pro-
cesses in the U. S. A.)
Types I and II are usually sealed with a 5% (w/v) sodium dichromate solution
(Class 1) or after absorption of a dye (Class 2) with a nickel (or cobalt) acetate
solution. Typical processing cycles are illustrated in Figure 10.21.
The advantages and limitations of these three types of anodizing
processes are analyzed in Appendix C.
ASTM Specification B 580-73 designates seven types of anodizing:
Minimum Thickness
Type Description µm mils
A. Hard Coat 50 2.0
B. Architectural, Class I 17.5 0.7
C. Architectural, Class II 10 0.4
D. Automotive - exterior 7.5 0.3
E. Interior - Moderate Abrasion 5.0 0.2
F. Interior - Limited Abrasion 2.5 0.1
G. Chromic Acid 1.2 0.05
The chemical composition of unsealed sulfuric acid anodized films is
approximately:
80% aluminum oxide
18% aluminum sulfate
2% water*
+ traces of alloying elements
The coatings can probably be considered as approximating 2Al2O3·H2O and
after sealing convert to Al2O3·H2 O with accompanying increased volume,
providing enhanced corrosion resistance. Hot sealing reduces the hardness
of the coating as much as 40%.

* The water content may vary between 1 - 6 %, probably entrapped.


564 Deposition Technologies for Films and Coatings

Rack

Clean

Etch Brightening
Mechanical
Alkaline Chemical
Cold Rinse
Acid Electrochemical

Type I Anodize Type II

CrO3 — 3 - 10 w/o H2SO4 — 10 - 20 w/o


0.15 - 0.5 A/dm2 1 - 2 A/dm2
(1.5 - 5 A/ft2) (10 - 20 A/ft2)
40 (-50) v 12 - 20 v
32 - 50oC 21 - 30oC (70 - 85oF)
(90 - 120 oF)
Cold Rinse

Special Sealing
Dye
Dichromate — 5 w/o
Cold Rinse Hot Rinse Seal or
Silicate
or
Dye Sealing Other
Ni or Co acetate (98 - 100 oC, 208 - 212 oF)
(4 - 12 g/l)

Dry
Hot Rinse Unrack Hot Rinse

Figure 10.21. Anodizing Aluminum – Sequence of Operations


Deposition from Aqueous Solutions 565

Table 10.8: Aluminum Hard Anodizing Processes[141]


________________________________________________________________________________________________________

Conditions Alumilite Martin Hardas Sanford


________________________________________________________________________________________________________

Solution 12 w/o 15 w/o Sulfuric, Sulfuric,


Composition Sulfuric Acid Sulfuric Acid, Oxalic Acids Organic
+ 1/w/o saturated with Acids
Oxalic Acid CO2

Temperature (oC) 9 - 11 -3.9 - 0 0 -17.8 to -9.5

C.D. (A/dm2) 4 2.7 - 3.2 10.8 - 32.4 1.3 - 1.6

Voltage* 10 - 60 10 - 75 DC or DC/AC 15 - 150


(or higher) in various
proportions

Film Growth 25 µm/hr 25.4 µm 25.4 µm 25.4 µm


Rate /40 min /5-10 min /10-20 min

Alloy 4% Cu 5% Cu ? ?
Limitations 7% Si
7 - 9% Cu+Si

* At a film thickness of approximately 50 µm, voltage requirement is


approximately 40 - 45 volts.
__________ __________

Typical Properties of Hard Anodize Coatings [140]

Hardness: usually ranges between 350 - 450 DPH (35 - 55 Rc).


Abrasion resistance (Taber): 30,000 - 40,000 cycles/µm.
Porosity: 5 - 15%
Heat resistance: to approximately 400 oC (750oF).
Break-through voltage: 7 - 10 v/µm.
____________________________________________________________________________________________________________

The oxide coating consists of two different structures: an inner (non-


porous) barrier or dense structure, and an outer, thicker, porous cell-like
hexagonal structure.[142] The barrier layer is approximately 250 angstroms
thick and constitutes about 1 to 2% of the total anodic film thickness. The
pore diameter may range from 100 angstroms to 300 angstroms, depending
on the electrolyte, operating temperature, and voltage. The porosity of the
coating is very high; a coating which exhibits 15% porosity contains approxi-
mately 62 x 109 pores/cm2 (400 x 10 9 pores/in2 ).
Spooner[143] emphasized the importance of sealing methods, operat-
ing conditions (temperature and time), water quality, and the detrimental
effects of contaminants in the water seal on the quality of the sealed coating,
566 Deposition Technologies for Films and Coatings

especially corrosion resistance. The suggested maximum contaminant


levels in the sealing solution are:
Sulfate (SO4)= 250 ppm
Chloride (Cl)- 100 ppm
=
Silicates (SiO3 ) 10 ppm
Phosphates (PO4)= 5 ppm
Fluorides (F) - 5 ppm
In the 1980’s, cold sealing (temp. 20 - 30oC) processes, claimed to be
equivalent to conventional hot sealing, were developed. These processes
are based on heavy metal salts (e.g., Ni), fluorides or silicates in water/
various alcohol mixtures. Apparently, the pores are sealed by “plugging” with
precipitated compounds; the term “impregnation” is considered more appro-
priate by some. Wernick[144] reviewed the development of cold sealing
processes; Short and Morita[145] discussed the mechanism(s) involved.
Since the oxide film is a growth film at the expense of the aluminum
substrate (and not simply an add-on-film as in electrodeposition) the dimen-
sional changes depend on the equilibrium set up between film growth and the
dissolving action of the electrolyte. For Type I and II films, it may be assumed
that the dimensional increase per surface is about one-third the actual
thickness of the film. For Type III, Hard Anodized coatings, the dimensional
increase per surface is about one-half the actual oxide thickness. Thus,
stripping and re-anodizing would require approximately twice the original film
thickness to meet the same dimensional requirements. This could present
serious problems in salvaging rejected parts.
The wear resistance of Hard Anodized coatings may vary significantly
with coating thickness and alloy composition. George and Powers[146]
proposed a more concentrated modified Alumilite (Alcoa’s Hard Anodizing
process) solution which appeared to provide improved wear characteristics
for difficult-to-coat alloys.
Some of the trends of the effects of operating conditions on the
properties of the coatings are summarized in Table 10.9. The following
observations are noted:
1. Recesses of parts receive lower current densities (at least
initially) resulting in softer coatings.
2. Conversely, projecting surfaces, especially sharp corners, re-
ceive higher current densities which produce harder coatings,
resulting in cracking.
3. Cracking can occur at either concave or convex corners due to
stresses.
Deposition from Aqueous Solutions 567

Table 10.9. Effect of Operating Conditions on Anodic Film


Characteristics (from Wernick and Pinner, Ref. 147)

__________________________________________________________________________________________________________________

Limiting
Film Corrosion Adhesion/
Condition Thickness Hardness* Resistance Porosity Dye Absorption
_________________________________________________________________________________________________________

Temperature
increased ' ' " ( (
Current
Density ( ( " ' '
increased

Anodizing
time ' ' ( ' (
increased

Acid
concentration ' ' " ( (
increased

Use of less
aggressive ( ( " ' '
electrolyte

Alloy
homogeneity ( ( ' ' '
increased

( = increases, ' = decreases, " = passes through a maximum

* Hardness of sealed coatings is approximately 60% of unsealed coatings. Sealing


time also affects hardness, inversely; increased sealing time results in decreased
hardness.

Notes: − Effects on hardness and dye absorption ability of coating are


usually in opposite directions.

− Voltage requirements increase for all above conditions.


568 Deposition Technologies for Films and Coatings

4. Coatings which grow laterally as the dielectric film spreads are


softer than coatings formed rapidly.
5. Properties of the coatings are influenced by the geometry of the
parts as well as the alloying constituents or the electrolyte and
its operating conditions.
Additions of certain organic acids* to sulfuric acid anodizing solutions
produce integral colored anodized coatings, ranging from a light bronze or
gold to black. These have been used in architectural applications.[148]
Another approach to coloring anodized coatings involves a 2-step
process.[149] After the anodizing step, the parts are immersed in a solution
containing nickel or tin salts and after one minute immersion, current is
applied at 10 to 18 volts. The desired colors are produced by varying either
the time (voltage constant) or the voltage (time constant). The colors
produced range from light bronze (in 10 - 15 sec) to black (in 15 min). The
advantages over the more widely used organic dyed coatings include better
light-fastness and better protection since the precipitated inorganic deposits
are at the base of the pores prior to subsequent hot sealing.
Pulsed current modifications have been applied to both conventional
and hard anodizing.[150] Superior coatings produced at slightly lower
voltages in shorter times are claimed for more alloys. Konno[151] reviewed
these processes for aluminum, magnesium, and zinc.
The anodizing of magnesium alloys has not found extensive use,
possibly because it is somewhat more difficult than anodizing aluminum.
Magnesium oxide (MgO) is more water-soluble and considerably softer
than aluminum oxide (Al2 O3 ). The anodizing processes are similar and
sealing is also required. The primary purpose is as a preparatory coating
for painting or for corrosion and abrasion resistance. The older processes
are referred to as Dow 12, Dow 14, and Manodyzing; these are AC or DC
low voltage processes. The “newer” processes are fluoride-containing
solutions and include Dow 17, CR 22 and HAE (Hardcoat). These are high
voltage (from 80 V up to 320 V) processes. CR22 and HAE processes
require alternating current. Solution formulations and operating conditions
can be found in the referenced Handbooks.[107][130][152]
Titanium and its alloys are anodized to provide:
1. Protection from galvanic corrosion when assembled or in
contact with dissimilar metals by reducing or minimizing potential
differences.
* Sulfosalicylic acid, Kaiser Aluminum & Chemical Company, U.S. Patent 3,031,387
(April 24, 1962) and Sulfophthallic acid, Aluminum Company of America, U.S.
Patent 3,277,639 (June 4, 1966).
Deposition from Aqueous Solutions 569

2. Anti-galling, anti-fretting properties to the surfaces of parts in


moving assemblies.
3. Part identification using a range of integral colors produced by
the particular anodizing process.
Both acid and alkali solutions have been used. Table 10.10 indicates
typical solution formulations and operating conditions. The colors produced
due to variations in current densities and voltages are also indicated.

Table 10.10. Representative Titanium Anodizing Formulations and


Operating Conditions (153)
____________________________________________________________________________________________________________

Composition H2SO4 H2SO4 - 100 NaOH


g/L 150 - 180 H3PO4 - 800 50

Temperature, oC 18 - 24 20 90 - 95

Current Density 0.2 - 0.4 3.0 - 5.0 5


(A/dm2)

Voltage 20 - 25 30 - 110 35 - 40

Color Range Blue to Blue to Dull Grey


Blue-Violet Opaque Grey

____________

Colors Produced on Pure Titanium Anodized in 15% H2SO4

Color C.D. (A/dm2) Volts

Yellow 0.15 5 - 12

Violet to 0.3 13 - 22
Blue-Violet

Dull Blue 0.75 23 - 30

____________________________________________________________________________________________________________
570 Deposition Technologies for Films and Coatings

6.4 Plating on Plastics

Commercial plating on plastics became feasible with the development


of electroless plating processes− −especially the low temperature electroless
nickel and copper processes. Large scale, high production automatic
decorative (Cu/Ni/Cr) plating on plastics is increasing on automotive trim,
houseware and other articles. The technology for manufacturing printed
circuit (PC) boards is another development of electroless plating. This
discussion is limited to these developments.
The plastics most widely plated today for decorative applications are (in
decreasing order and increasing difficulty): Acrylonitrile-butadiene-styrene
(ABS), polyphenylene-butadiene-stryene (Noryl), polysulfones, polypropy-
lenes, nylons and polytetrafluoroethylene. It should be noted that most of
these plastics are “filled”, i.e., they contain mineral fillers, additives, modifi-
ers, or are co-polymers or mixtures of co-polymers. ABS is a mixture of
acrylonitrile-styrene and butadiene-styrene; polysulfones generally contain
ABS; nylons are mineral-filled. In the etching step, one or more of the
components is selectively etched, providing a non-uniformly roughened
surface for improved mechanical bonding of the deposits (with possible
chemical bonding).
The plating cycle[154]-[156] for decorative coatings (may) include:
1. Surface deglazing− −mechanically or chemically
2. Etch
3. Neutralize
4. Activation (catalyze)
5. “Accelerate” (remove residual tin hydroxide)
6. Electroless Deposit (Cu or Ni)
7. Racking (if above steps done in bulk)
8. Clean (if required)
9. Strike (if required)
10. Plate: Bright acid copper
Bright nickel or dual nickel
Chromium, microcracked preferred
(Note: Rinses are critical between various steps.)
The etch step is a critical one. Usually chromic acid, either supersatu-
rated*, or mixed with sulfuric acid or with sulfuric-phosphoric acids[156] is
employed. An alternative etching technique involves the use of plasmas.[157]

* L. Kadison, U.S. Patent 3,668,130 (June 6, 1972), (assigned Crown City Plating
Company).
Deposition from Aqueous Solutions 571

The adhesion of the deposits is also related to the activation of the surface.
The most widely used system is the stannous chloride (SnCl2)/palladium
chloride (PdCl2) 1- or 2-step treatment based on the redox reaction:

Eq. 25 Sn+2 + Pd+2 → Sn+4 + Pd0

The 2-step activation involves first adsorption of SnCl2 on the etched surface,
followed by the redox reaction in a solution of PdCl2. The 1-step or mixed
catalyst system includes both components and is considered either a complexed
chloride of Sn and Pd or a colloidal mixture. There is considerable controversy
regarding the nature of the system.[158]
Perrins[156] determined that the adhesion of electroless nickel and elec-
troless copper (on polypropylene co-polymers) was dependent on the amount
of palladium deposited. Low palladium gave high copper adhesion and low
nickel adhesion. High palladium initially gave low adhesion to both which
improved, peaking, with 3 - 5 week aging. Accelerated aging at 70oC for 1.5
hours gave a 70% improvement over control values. (Improved adhesion by
heating is also found with other plastics.) An oxidation mechanism at the
deposit/polymer interface is suggested as being responsible for increased
adhesion.
Selective plating of plastics[159] can be accomplished by applying an
organic stop-off which remains on the surface as a finish coat. Deposition is
prevented on the stop-off film by use of a chromating treatment after etching.

6.5 Plating Printed Circuit Boards

The printed circuit board (PCB), also called printed wiring board, has made
rapid advances since its development in the late 1930’s. It is a pre-determined
electrical conducting design or path, on a non-conductive base, whose primary
function is to carry an electronic impulse or signal. The non-conductive base
or board can be made of a wide variety of materials including wood, masonite,
or resins such as epoxy, epoxy-glass, phenolics (flame-retardant or paper-
reinforced), polybutadienes, polyimides, and ceramics. Presently, the most
popular materials seem to be phenolics, epoxies (and glass), and polyimides.
The types of PC boards fabricated today include:
1. Print-and-Etch*

* Print-and-Etch involves no plating. A (photo) resist is applied exposing unwanted


copper (on a copper-clad board) which is etched away. Holes are drilled and eyelets
inserted for connecting circuitry.
572 Deposition Technologies for Films and Coatings

2. Plate-and-Etch
3. Plated-Through-Hole (PTH)
a. Panel Plate
b. Pattern Plate
4. Multi-Layered (MLB)*
5. Additive Circuits
6. Integrated Circuits
7. Flexible Circuits
The pre-plating preparation steps involve alkaline cleaning, acid etching as do
other plating cycles. Additional steps such as abrasive cleaning or honing to remove
smeared polymer in the drilled holes and “etch-back” (of polymer) to expose the
intermediate layers of copper in MLB’s (Fig. 10.22) are required. Also required for
through-hole plating is the SnCl2/PdCl2 activation treatment discussed above. After
activation, electroless copper is deposited over the exposed outer circuits and
through the hole. This is followed by electrodeposited copper.
Rothschild and Schwartz[160] and Smith[161] describe fabrication and plating
operations. A trouble-shooting chart[162] and manual[163] identify possible sources
of trouble and their rectification or suggested cures.
Rothschild and Kilgore[164] discuss the problems of plate distribution(throwing
power, T.P.) in MLB’s and relate T.P. to the ratio of surface to minimum hole thickness
(S/H) and the ratio of total board thickness (hole length) to hole diameter (B/D). They
also discuss fabrication and plating steps, the choice of deposits (Au, Sn-Pb, Sn-Ni),
solderability and diffusion and/or migration problems.
Copper plating is used for through-hole plating. Acid sulfate and acid fluoborate
plating solutions possessing high throwing power have been developed. These are
low-metal ion, high acid concentration formulations (to promote desirable polariza-
tion at high current density surfaces) with grain refiners to eliminate columnar
structures which may develop cleavage planes at corners, resulting in cracking. The
pyrophosphate copper solution is the other type of solution employed. (Cyanide
copper formulations damage the board due to the high alkalinity and cyanide
content.) Which solution is the preferred plating solution is a moot question and
invokes considerable controversy among the “practitioners of the art.” However, the
“high throw” bright acid copper sulfate solutions are the most widely used; they are
easier to control and are more economical.

* This is similar to Plated-Through-Hole except two or more PCB's are bonded


together using an epoxy/glass pre-preg. Interconnections are made by drilling
holes after laminating layers. The individual layers are referred to as details or
inner layers.
Deposition from Aqueous Solutions 573

Figure 10.22. Through-Hole solderplate on multilayer printed circuit board (x 50)


(Courtesy of B. F. Rothschild.)
574 Deposition Technologies for Films and Coatings

A high-throw Sn-Pb, solder plate, has also been developed for through-
hole plating.[165]
Other electrodeposited coatings used on PCB’s include: Sn-Pb, Sn-Ni,
Sn, Ni, Au with various functions as etch resists and to provide solderability,
corrosion resistance, wear resistance, or low contact resistance.

7.0 STRUCTURES AND PROPERTIES OF DEPOSITS

The structure and properties of a deposit are related to the deposition


parameters and deposit thickness (Fig. 10.9). Changes in these parameters
may produce significant differences in a deposit, making generalizations
difficult, if not misleading. Some investigators have omitted indicating important
plating parameters or deposit thickness or testing conditions when reporting
property measurements, making these data at least suspect. Further, extrapo-
lation from a narrow set of conditions and data could also be misleading.
Figures 10.18, 10.19, and 10.23 emphasize the influence of impurities and
thickness on properties of nickel from sulfamate solutions. They also illustrate
the importance of taking measurements in a thickness range related to the
intended application of the deposit. There appears to be a certain degree of
specificity, yet trends have been established that correlate structure with
deposition parameters and properties. Weil[166] reviewed how nucleation and
growth, including epitaxy, twinning, and dislocations determine deposit struc-
ture and properties. A few examples and data for copper, nickel and chromium
deposits are used in an attempt to illustrate these points.
Since approximately 1947, the American Electroplaters’ and Surface
Finishers’ Society (AESF) has initiated and supported research programs at
various institutions on structure and properties of electrodeposits. These
studies and other published data (about 1500 references) have been “compiled
and systematized” into a single source book by Safranek.[122] Dini provides a
comprehensive materials science approach relating deposition parameters to
structure, texture, properties of deposits, and the interrelationship between
deposits and substrates. Test methods and data to evaluate deposit properties
and performance are presented.[176] Most of the data presented here are based
on these sources.
The structures of electrodeposits are classified as:
Columnar
Fibrous
Fine-grained (usually equiaxed)
Banded (or striated or lamellar)
Deposition from Aqueous Solutions 575

Figure 10.23. Influence of thickness on mechanical properties of deposits. [167]


576 Deposition Technologies for Films and Coatings

Columnar structures are characteristic of deposits from solutions


(especially acid solutions) containing no additives, high metal ion concentra-
tion solutions at low deposition rates. They usually exhibit lower tensile
strength, percent elongation and hardness than other structures; they are
generally more ductile. Such deposits are usually of highest purity (high
density) and low electrical resistivity.
Fibrous structures represent a grain refinement of columnar structure.
Stress relieving additives (such as saccharin or coumarin) promote such
refinement as do high deposition rates. These may be considered interme-
diate in properties between columnar and fine-grained structures.
Fine-grained deposits are usually obtained from complex-ion solutions
(such as cyanide) or with certain addition agents. These deposits are less
pure, less dense and exhibit higher electrical resistivities due to presence of
foreign material.
Banded structures are characteristic of bright deposits (as a result
of brightening addition agents—usually S-containing organic compounds
which result in small amounts of S and C in the deposit) and some alloy
deposits. These deposits generally possess higher tensile strength, hard-
ness, and internal stress and decreased ductility than other structures. The
use of plating current modifications (PR, IC, pulse) favors the conversion of
structure from a solution to a banded structure.
Electro- and electroless deposits generally conform to the Hall-Petch
relationship.

Eq. 26 H (or YS) = σo + kd-1/2

where H = hardness of the deposit


YS = yield strength of the deposit
d = grain size
σo , k = constants
That is, factors which decrease grain size increase hardness, yield and
tensile strength of the deposit. In aqueous deposition, grain size of the
deposit decreases as:
) Current Density increases
) Cathode potential increases
) Solution agitation increases
) Solution temperature decreases
) Metal ion concentration decreases
) Addition agents are added
) Complexing agents are present
Deposition from Aqueous Solutions 577

Also, the brightness and smoothness of the deposit increase as grain size
decreases.
Grain size can vary widely from 100 to 50,000 angstroms; the grain size
of fine-grained or banded deposits is usually between 100 and 1000 angstroms.
Read[168] observed that frequently the grain size of electrodeposits is much
larger than indicated by etched specimens (the metallographic procedures
usually used) and that x-ray techniques are more reliable, especially for
measuring larger grain sizes. As indicated previously, certain deposits,
especially alloys, show no grain structure, i.e., are amorphous.
Some metals (notably Cu, Ni, Co, and Au) can be deposited in all four types
of grain structures depending on the solution composition and plating condi-
tions. This is shown in Figure 10.24 for copper deposits. Typical properties of
these structures are given in Table 10.11.
Zentner, Brenner, and Jennings[169] (AESF Research Project No. 9)
studied the structure-property relationships of nickel electrodeposits to plating
solution composition and operating variables. The effect of current density, pH,
temperature, and chloride content on deposit structure are shown in Figs. 10.25
- 10.28. The trends appear to be:
1. Grain structure changed from fine-grain to coarse-grain as tem-
perature increased.
2. Significant structural changes occurred at both low and high
current densities. Typical columnar structure is obtained between
2 and 25 A/dm2 (20 - 250 A/ft2) in Watts-type solutions. The
structural changes at low C.D. may be explained by the increased
sulfur and carbon contents of the deposit as shown in Table 10.13.
Thus, low C.D. produced a banded structure similar to bright nickel
deposits.
3. There is essentially no structural change in Watts-type deposits in
the pH range 1 - 5. At pH’s above 5 there is a distinct change from
columnar to fibrous or fine-grained which is probably due to
inclusion of basic material (Ni(OH)2?).
4. Deposits from Watts solutions produced the coarsest, columnar
deposits. Increasing the chloride content of the solution results in
finer-grained deposits. All-sulfate (no chloride) solution showed a
somewhat finer columnar structure than a Watts deposit, with
some evidence of a banded structure.
A good correlation was found to exist between structure and properties as
shown in Fig. 10.29. Typical values of the mechanical properties of nickel deposited
from various engineering electroplating solutions are given in Table 10.12.
578 Deposition Technologies for Films and Coatings

Figure 10.24. Structure of copper deposits (x 500) (etchant: ferric chloride).


Structures are typical for: a) acid sulfate (no A.A.); b) acid sulfate with A.A. (gelatin
+ phenolsulfonic acid; c) acid sulfate with brighteners or pyrophosphate solution;
d) cyanide solution with PR. [22]
Deposition from Aqueous Solutions 579

Figure 10.24. (Cont'd)


580 Deposition Technologies for Films and Coatings

Figure 10.25. Effect of temperature of the plating solution on the structure of nickel
deposited at 5 A/dm2 (46 A/ft2). Cross section x 250. Etchant: glacial acetic and nitric
acid.[169]
Deposition from Aqueous Solutions 581

Figure 10.25. (Cont'd)


582 Deposition Technologies for Films and Coatings

Figure 10.26. Effect of current density on the structure of nickel deposited from the
SIIICI solution at 55oC (131oF), and a pH of 3.0. Cross section x 250. Etchant: glacial
acetic and nitric acid.[169]
Deposition from Aqueous Solutions 583

Figure 10.26. (Cont'd)


584 Deposition Technologies for Films and Coatings

Figure 10.27. Effect of the pH of the plating bath on the structure of nickel deposited
from the SIIICI solution at 5 A/dm2 (46 A/ft2)and 55oC (131oF). Cross section x 250.
Etchant: glacial acetic and nitric acid.[169]
Deposition from Aqueous Solutions 585

Figure 10.28. Effect of increasing chloride content of the solution on the structure
of nickel deposited at 55oC (131oF), 5 A/dm 2 (46 A/ft2)and a pH of 3.0. Cross section
x 250. Etchant: glacial acetic and nitric acid.[169]
586
Deposition Technologies for Films and Coatings
Table 10.11. Comparison of Structure and Properties of Copper Deposited at 4 A/dm 2 in Several Different Copper
Solutions.[122] (From The Properties of Electrodeposited Metals & Alloys by W.H. Safranek, published by AESFS, 1986.
Reprinted with permission.)
Table 10.12. Nickel Solutions for Heavy Plating[22]

Deposition from Aqueous Solutions


587
588 Deposition Technologies for Films and Coatings

Table 10.13. Results of Elemental Analysis of Nickel Electrodeposits[133]

Figure 10.29. Range and trend of physical properties of nickel deposited from 5
different types of solutions, each point is the average of the properties of 5 or more
deposits obtained under various conditions of plating.[169]
1 equals a, b, c, d, e, f, OB, and OBT solutions. Bright nickel.
2 equals SICIII, C, Ac, C (-4N) solutions. Chloride nickel.
3 equals SICI solution.
4 equals S and oS solutions.
5 equals SIIICI, oSIIICI, S IIICI (-1N), NH4, Na and F solutions. Watts nickel.
Deposition from Aqueous Solutions 589

Table 10.14. Recommended Basis Metal Hardness and Chromium-Plate


Thickness for Various Applications[22]

Table 10.15. Coefficient of Friction for Various Metal Combinations[22]


590 Deposition Technologies for Films and Coatings

Properties of chromium deposited under a wide variety of plating


conditions and solution compositions were extensively covered by Brenner,
Burkhead and Jennings.[170] The deposits especially the bright deposits
are very fine-grained, as small as 10 angstroms on the basis of x-ray data.
They concluded that the oxide content of the deposit had far greater influence
on the properties than crystal orientation or structure. Increased plating
temperature from 10oC to 100 oC caused reduction of oxygen content from
~1 w/o to~0.1 w/o. The hydrogen content of the deposit also decreases with
increasing plating temperatures.
The hardness of chromium is probably its most important engineering
property. The oxygen content to the deposit is one of the most important
factors affecting its hardness. Above 0.12 w/o O2, the hardness ranges
between 850 - 1000 KHN (Knoop Hardness Number) and when below 0.12
w/o O2 , the hardness ranges from 625 to 325 KHN. However, it was noted
that hardness values may fluctuate as much as 200 points KHN for the same
oxygen content. It also appears that bright deposits are hardest. The
hardness of chromium deposits, therefore, is probably the result of oxide
inclusion, small grain size and internal stress.
The hardness of the substrate along with that of the deposit is an
important factor in the application for improved wear resistance of various
tools (Table 10.14). In other wear applications the coefficient of friction is a
factor; Table 10.15 gives values for various combinations.
Different etching techniques reveal interesting structural characteris-
tics in chromium deposits.[171] In fact, no single etchant reveals all possible
features and it is advisable to use several techniques. Structures which have
been observed include: fibrous texture, banded or striations associated with
the crack pattern (and not found in crack-free deposits), bands delineating
changes in plating variables (C.D. and temperature) during deposition.
The internal stress, negative coefficient of thermal expansion (initial
shrinkage) and the effect on fatigue strength of the substrate are properties
(besides hardness) of interest in engineering applications. These are
adequately covered in references already cited.[22][122][170] The reported
stress values for chromium deposits cover a very broad range, from highly
tensile to compressive in microcracked deposits (>1000 cracks/linear inch).
It is influenced by the solution composition and concentration, C.D., tempera-
ture, deposit thickness and probably other factors. The high tensile stress
and resulting cracking lower the fatigue* limits of substrates (primarily steel,
but possibly also aluminum and titanium).

* The higher the stress (in tension) of the deposit, the greater the reduction in fatigue
strength.
Deposition from Aqueous Solutions 591

No ductility was found for chromium deposits from aqueous solutions.


In general, the physical properties of electrodeposits approach those of
metallurgical wrought metals as the purity increases. Observations regard-
ing the physical properties are:
1. The density is related to pores, voids and impurities in the
deposit. Corrosion and high temperature characteristics can be
significantly affected by low density.
2. The coefficient of thermal expansion is also affected by impuri-
ties in the deposit. Thermal properties are not too well estab-
lished for electrodeposits. Most deposits expand with thermal
cycling, notable exceptions being chromium and cobalt-tung-
sten alloys. Deposits which expand appreciably develop voids
on thermal cycling and could not be considered for high tem-
perature service since they would exhibit decreased corrosion
and oxidation resistance.
3. Electrical resistivity is quite sensitive to the presence of small
concentrations of impurities. Most deposits, therefore, exhibit
higher values than wrought counterparts. Impurities such as
oxides, sulfides, hydrates or inclusions tend to concentrate at
grain boundaries especially after a thermal treatment or an-
nealing.
With respect to mechanical properties, the relationship of hardness to
strength is not always similar to wrought metals where a constant relation-
ship exists. Although the generalization that the strength of a deposit
increases with hardness and ductility varies inversely with strength and
hardness holds in many cases, the exceptions are too numerous to make it
reliable. Other observations regarding mechanical properties of deposits are
described below.
Hardness. Hardness (microhardness) of the deposit is the most widely
measured property (probably due to the ease of measurement). It may also
be the most abused. The literature is replete with inconsistencies and
contradictions. This may be due, in part, to techniques of specimen
preparation, methods of measurement, differences in deposit thickness,
plating solution differences, quality of deposit, inadequacy in reporting data,
neglect to indicate load applied*, type and condition (hardness) of substrate,
and other factors.

* Hardness values should be reported with designated loads, e.g., VHN100, or KHN25,
where 100 and 25 (as subscripts) represent the load in grams. Loads less than 25
grams are subject to serious errors and are undesirable due to poor reproducibility.
592 Deposition Technologies for Films and Coatings

To obtain reasonably reliable microhardness measurements:


1. The deposit thickness should be at least ten times the depth
of the indent. For the same load, the depth of a Knoop indent
is approximately 1/7 that of a Vickers indent.
2. The distance of the indent from the substrate interface should
be at least 1/2 the diagonal of the indent (the short diagonal for
the Knoop indent) to minimize the “anvil” effect.
3. When taking multiple measurements on the same specimen,
a transverse track should be followed with the distance
between indents as in 2.
Vickers microhardness measurements are less sensitive to errors arising
from elastic properties than are Knoop measurements and result in less
serious errors as loads are increased.
It appears that too much value is sometimes placed on hardness
measurements. The assumed relationship between hardness and strength
was discussed above. The same may be said to some degree for the
correlation of hardness to wear resistance. The excellent wear resistant
characteristics of chromium deposits are related to the low coefficient of
friction (Table 10.15) as much as to hardness. The wear resistant charac-
teristics of electroless nickel alloys is related to the presence of phosphorus
(or boron) as well as to the hardness.
Despite these comments, hardness measurements are useful in evalu-
ating deposits and predicting their usefulness. They are especially useful in
evaluating alloy deposits since changes in hardness reflect (possibly)
changes in structure or composition of the alloy deposit.
It is not unexpected that the hardness values of deposits (of the same
metal) vary greatly (Fig. 10.30). Noteworthy are the great ranges reported
for chromium and iron deposits and the ability of some alloy deposits to
undergo precipitation hardening.
Tensile strength. In many instances, the tensile strengths of deposits
exceed those of annealed metallurgical counterparts (Table 10.16). The
primary reason is the finer-grain structure of electrodeposits. Coarser
grained or columnar structures may exhibit lower strengths.
Ductility. The ductility of electrodeposits may equal metallurgical
counterparts but is usually lower in the as-plated condition.
Stress. The mechanism of internal (residual) stress in electrodeposits
is not completely understood, but undoubtedly a distorted atomic lattice is
involved. If the deposited atoms are closer together than normal lattice
spacing, the tendency is for the atoms to “push” further apart, pulling on the
substrate and resulting in tensile stresses. Conversely, if the depositing
Deposition from Aqueous Solutions 593

atoms are farther apart than they should be in a normal lattice spacing, they
tend to pull closer together, exerting a compressive stress on the substrate.
Stress measurements are subject to variations in testing procedures
and conditions and are generally not reproducible. A particular stress
measuring instrument or technique is useful in controlling a plating solution
and its operating conditions as well as in predicting the quality of the deposit
within parameters experimentally established and observed. Weil[172]
reviewed the various methods used to measure internal stress of electrode-
posits and discussed the reasons for possible variances between measured
values and those actually present in plated parts. He also made a compre-
hensive analysis of the various types of stresses encountered in electrode-
posits.[173] The various mechanical methods and calculations (formulas)
used to measure macrostress were examined critically (microstresses can
be measured only from broadening of x-ray diffraction lines). It contained an
extensive review of the literature dealing with stress, including the various
theories proposed on the origin of stresses in electrodeposits.
Stress Corrosion. The tensile strength and ductility and internal
stress of the deposit are interrelated in determining the degree of resistance
to stress corrosion cracking when deformation may be involved or antici-
pated.
Magnetic Properties. Magnetic properties of deposits are usually
restricted to ferromagnetism and characterized by B-H hysteresis loops,
where H is the applied field and B the induced magnetic flux density.
Magnetic materials are classified as soft or hard, depending on the value of
the coercive force, Hc, which is the magnitude of H when B = O, i.e. the force
required to cause random orientation to the domains.
If Hc is small, the magnetic material is considered “soft”. These are
generally materials which are mechanically soft, i.e., they have a low yield
strength. Permalloy (80 Ni, 20 Fe) is such an alloy. If Hc is large, usually>200
oe, the material is considered a hard magnetic material, useful in fast
switching computer memory components. Alloys of Co with P and other
constituents are usually of this type.
The saturation flux density (BS) is a physical property determined by the
chemical composition of the material. The remanent flux density or retentiv-
ity (BR) and Hc are structure-sensitive properties. The composition, micro-
structure (grain size and orientation and defects), stress, thickness and
impurities of the deposit affect these properties. Romankiw and Thomp-
son[174] reviewed the magnetic properties and applications of plated mag-
netic films as well as methods of measurements.
594 Deposition Technologies for Films and Coatings

(a)

(b)

Figure 10.30. Microhardness ranges.[122]


Table 10.16. Strength and Ductility Data for Electrodeposited Metals[122]

Deposition from Aqueous Solutions


595
596 Deposition Technologies for Films and Coatings

Epitaxial Growth. If the lattices of the substrate and deposit are


similar, the substrate structure can be extended into the deposit. This is
called epitaxial growth. High rates of deposition, the presence of addition
agents and impurities tend to break down epitaxy. If the lattices differ, then
the initial epitaxial growth shifts toward the structure of the deposit. The
thickness of the epitaxial transition zone may vary from 0 to>5 µm before the
deposition variables control growth. Also, certain crystal faces grow more
rapidly than others, resulting in grain orientation. These factors may be
significant for thin film applications such as semiconductor or magnetic
applications.

8.0 SUMMARY

Aqueous deposition is a complex process; the structure and properties


of the resulting deposits depend on many factors (see Fig. 10.9). It is the
oldest deposition technology and is receiving renewed and increasing
interest. Research and new applications are providing increased under-
standing of electrode processes and solution chemistry with the develop-
ment of new alloy and multilayered coatings and films. Electro- and
electroless deposition are much more suitable than other deposition tech-
nologies for depositing films on complex geometric surfaces and into
through-holes and blind recesses (vias).
It has a wide and varied range of applications (see Table 10.4).
Continued development of the newer techniques will undoubtedly result in
further engineering and electronic applications of strip line, very high speed
plating, improved selective and maskless plating. These include current
modifications; laser, ultrasonic, and jet enhanced deposition; new cell
designs; computer-controlled processes, solution analyses, and chemical
additions.
Improved and new processes and techniques to control, treat, mini-
mize, and recycle plating solutions, wastes, and effluents are being studied
and developed. These may result in near-zero discharge from plating
processes and installations.
Deposition from Aqueous Solutions 597

APPENDIX A - Preparation of Substrates for Electroplating

ASTM Recommended Practices*

Number Title

B 177-68 (73) Rec. Practice for Chromium Plating on Steel for Engineer-
ing Use

B 183-72 Rec. Practice for Preparation of Low-Carbon Steel for


Electroplating

B 242-54 (71) Rec. Practice for Preparation of High-Carbon Steel for


Electroplating

B 253-73 Rec. Practice for Preparation of and Electroplating on


Aluminum Alloys by the Zincate Process

B254-70 Rec. Practice for Preparation of and Electroplating on


Stainless Steel

B 281-58 (72) Rec. Practice for Preparation of Copper and Copper-Base


Alloys for Electroplating

B 322-68 (73) Rec. Practice for Cleaning Metals Prior to Electroplating

B 343-67 (72) Rec. Practice for Preparation of Nickel for Electroplating


with Nickel

B 431-69 Rec. Practice for Processing of Mandrels for Electroplating

B 450-67 (72) Rec. Practice for Engineering Design of Electroformed


Articles

B 503-69 Rec. Practice for Use of Copper and Nickel Electroplating


Solutions for Electroforming

B 480-68 Rec. Practice for Preparation of Magnesium and Magne-


sium Alloys for Electroplating

B 481-68 (73) Rec. Practice for Preparation of Titanium and Titanium


Alloys for Electroplating

* Book of ASTM Standards, Vol. 2.05, Sec. 2, revised annually. Also approved by
the American National Standards Institute.
598 Deposition Technologies for Films and Coatings

B 482-68 (73) Rec. Practice for Preparation of Tungsten and Tungsten


Alloys for Electroplating

B 488-71 Spec. for Electrodeposited Coatings of Gold for Engineer-


ing Uses

B 558-72 Rec. Practice for Preparation of Nickel Alloys for Plating

B 580-73 Spec. for Anodic Oxide Coatings on Aluminum

Preparation for electroplating of less common substrates including


those used in nuclear, electronic or high temperature alloys of Fe, Co, Ni or
Cr usually requires activation treatments* in order to obtain satisfactory
adhesion. Other techniques involve diffusion bonding with thermal treat-
ments.
Beach and Faust** and Friedman*** review procedures for light metals
and for high temperature applications including plating on refractory metals−−
U, Mo, W, Th, Zr, Nb and Si.
For plating Cr on previously plated Cr, the following procedure has been
satisfactory:
1. If Cr is oiled (due to grinding), degrease and polish lightly. Then
clean in alkaline cleaner by immersion or scrubbing, or clean
cathodically.
2. Provide a light etch anodically in alkaline, sulfuric or chromic
acid solutions.
3. Immerse in Cr plating solution and allow parts to reach solution
temperature.
4. Plate at low C.D. (77.5 mA/cm2, ~0.5 A/in2 ) to deposit only
hydrogen to activate the surface, for 0.5 - 3 minutes approxi-
mately.
5. Slowly increase C.D. to (0.5 - 1.0 A/cm2, ~3 - 6 A/in2 ) for 15 - 30
seconds to guarantee coverage, then reduce to normal plating
C.D. (0.15 - 0.5 A/cm2, ~1 - 3 A/in2).

* See C. Levy, Proc. AES, 43, 219 (1956) for activation for Cr plating and W. W.
Sellers and C. B. Sanborn, Ibid., 44, 36 (1957) for Ni and Ni alloys prior to Ni plating
for detailed formulations.
** Modern Electroplating, 3rd ed., Ch. 27, 618, (F. Lowenheim, ed.), John Wiley &
Sons (1974)
*** Plating, 54 (No. 9), 1035 (Sept., 1967)
Deposition from Aqueous Solutions 599

APPENDIX B - Representative Electroless Plating Solution


Formulation

1. Nickel-Phosphorus
(See reference below) (a) (a) (a) (b)

Nickel sulfate 35 g/L 35 g/L 30 g/L 25 g/L


Sodium hypophosphite 10 10 10 25
Sodium hydroxyacetate 10
Sodium acetate 10
Sodium citrate 100
Sodium pyrophosphate 50
Ammonium chloride 50

pH 4.5 - 5.5 4.5 - 5.5 9.0 - 9.5 10 - 10.5


Temp. oC 90 - 95 90 - 95 90 - 95 25 - 75
w/o P in deposit 7-9 7-9 5-7 4-6

2. Nickel-Boron (c)

Nickel chloride 30 g/L


Dimethylamine borane 3.5
Malonic acid 34

pH 5.5
Temp. oC 77

3. Cobalt-Phosphorus (d)

Cobalt sulfate 24 g/L


Sodium hypophosphite 20
Socium citrate 70
Ammonium sulfate 40
Sodium laurylsulfate 0.1

pH 8.5
Temp. oC 92
600 Deposition Technologies for Films and Coatings

4. Copper (e)

Copper sulfate 29 g/L


Sodium
potassium tartrate 142
Versene T 17
Sodium hydroxide 42
Sodium carbonate 25
Formaldehyde (37%) 167 ml/L

Temp. oC 25

5. Palladium (f)

Palladium chloride 5.4 g/L


(as ammino complex)
EDTA Na2 33.6
Ammonium hydroxide 350
Hydrazine 0.3

Temp. oC 80

6. Gold (g) (g) (g)

Potassium cyanoaurate 5.8 g/L 0.86 g/L 5.8 g/L


Potassium cyanide 13 6.5 1.3
Potassium hydroxide 11.2 11.2 45
Potassium borohydride 21.6 10.8
Dimethylamine borane 23.6

Temp. oC 75 75 85

7. Silver (h)
Sodium silver cyanide 1.83 g/L
Sodium cyanide 1.0
Sodium hydroxide 0.75
Dimethylamine borane 2.0
(thiourea 0.25)

Temp. o C 55 - 65
Deposition from Aqueous Solutions 601

8. Platinum (i)
Sodium platinate 10 g/L
(Na2 Pt(OH)6)
Ethylamine 10
Hydrazine (as sulfate) as required for reduction
Sodium hydroxide as required for pH 10

Temp. oC 30

REFERENCES (for Appendix B)

(a) Brenner, A. and Riddell, G., Surf. Technol., 10:81 (1980)


(b) Schwartz, M., Proc. AES, 176 (1960)
(c) Mallory, G. O., Plating, 58:319 (1971)
(d) Ransom, L. D. and Zentner, V., J. Electrochem. Soc., 111:1423
(1964)
(e) Saubestre, E. B., Proc. AES, 46:264 (1959)
(f) Rhoda, R. N., Tans. Inst, Met Finish, 36:82 (1959)
(g) Okinaka, Y., Plating, 57:914 (1970)
(h) Pearlstein, F. and Wightman, R. F., Plating, 58:1014 (1971)
(i) Rhoda and Vines, U.S. Patent 3,486,928 (1969)

Note: (1) Some of the above formulations are protected by U.S. Patents.
Their listing here does not imply any right to infringe.
(2) See Ref. 175 for additional solution formulations.
602 Deposition Technologies for Films and Coatings

APPENDIX C - Comparison of Aluminum Anodizing Processes


(Types I, II and III)

Advantages of Type I coatings

1. Corrosion resistance of coatings are as high (if not higher) than Type
II coatings.

2. Provide excellent bond for organic coatings.

3. Chromic acid is a corrosion inhibitor, therefore it is not essential to


assume (or provide for) complete removal from crevices, joints or
recesses due to spot welding, riveting, bolting or blind holes.

4. It has practically no effect on the fatigue strength of the part.

5. Although thinner, less porous, and somewhat opaque due to pick up of


chromate ion and alloying constituents, the coating is capable of
absorbing dark dyes for Class 2 requirements.

6. It is preferred as a maskant for selective Hard Anodize since it is less


porous than Type II films, especially for assemblies with joints or
recesses.

Limitations of Type I coatings

1. A smaller increase in abrasion resistance is obtained as compared to


Type II coatings due to lower thickness and structure differences.

2. Limited to alloys containing less than 5% copper or 7% silicon.

3. Higher voltage is required with extended time as compared to Type II


coatings.

4. Under conditions used for wrought alloys, casting alloys tend to use
excessive current and “burning” may occur. In such cases, conditions
might require changes to 30 - 35 volts at 90oF with compensating
increase in time to obtain adequate coating thickness.

5. Alloys in the annealed condition do not anodize satisfactorily, Heat


treatable alloys should be tempered by solution heat treatment and
approved aging.
Deposition from Aqueous Solutions 603

6. Wrought and cast alloys with high alloy content (such as 7075) tend to
develop thinner coatings and may behave erratically or poorer in salt
spray tests.

Advantages of Type II coatings

1. Less expensive (compared to Type I coatings) with respect to chemi-


cals involved (and waste treatment thereof), heating and power
costs, length of time to obtain required coating.

2. More alloys can be treated

3. Coatings are harder than Type I coatings.

4. Coatings may be slightly more corrosion resistantafter sealing than


Type I coatings (due to thicker and more porous coating).

5. Clear coating permits dyeing with greater variety of colors.

Limitations of Type II coatings

1. Cannot be used where possibility of solution entrapment exists,


especially joints, laps or recesses since any sulfuric acid residue may
be corrosive.

2. Reduces the fatigue characteristics of the alloy.

3. Difficult to control where small dimensional changes are desired or


required since coatings grow faster and are thicker for corrosion
resistant requirements as compared to Type I coatings. (Thus, Type
I coatings should be considered on close tolerance parts such as
threads.)

Characteristics of Hard Anodize Coatings

1. Corrosion resistance is excellent, several thousand hours in salt spray


tests have been reported (after proper sealing).

2. Abrasion and wear resistance excellent.

3. Chemical resistance is poor as compared to calcined aluminum oxides;


will not resist alkalies or acids as well.
604 Deposition Technologies for Films and Coatings

4. Coefficient of thermal expansion is different from that of the aluminum


alloys and spalling may result at temperatures above 200 - 300oC.

5. Film crazing - As part temperature increases from formation tempera-


ture (-4 - 0°C = 25 - 32oF) to room temperature or the higher sealing
temperatures (93 - 99°C = 200 - 210oF) or post honing temperatures,
the coating may craze or fracture since it is tensively stressed; this
phenomenon becomes aggravated as film thickness increases. Some-
times this crazing seems to disappear after aging.

6. “Chalking”−This refers to a white film which sometimes appears on the


surface after drying. It is not considered detrimental and is usually not
noticed unless (or until) surface is wiped. The mechanism is not
understood; it may possibly be a bleed-out phenomenon.

Effect of Alloying Elements on the Hard Coating.

1. Thicker coatings are obtained with the purer or higher conducting alloys
containing magnesium or zinc:
Purer alloys EC, 1100, 3003
Al-Mg alloys 5005, 5050, 5052, 5252
Al-Mg-Si alloys 6061, 6063
Al-Zn alloys 7075

2. Copper-containing alloys produce intermetallic compounds (after HT)


which increase the ohmic resistance resulting in thinner coatings. Type
III Hard Anodize is restricted to those alloys containing less than 5% Cu.

3. High silicon-containing alloys also produce intermetallic compounds


and do not anodize readily. These involve most castings which depend
on reduction of the alloy’s melting point by the eutectics formed with the
silicon (even less than 7% Si). The Si or silicides do not anodize, being
“inert” and acting as inclusions, depending on “bridging” for continuity
of coating.

4. Since copper and silicon constituents may result in poorer coatings, a


total of 7 - 9% of the combination of these two elements is usually
considered as a maximum in an alloy to be hard anodized.

5. The color of the Hard Anodize Coating reflects the alloying constituents.
Deposition from Aqueous Solutions 605

REFERENCES

1. Dini, J. W., Plat. and Surf. Fin., 72(7):48 (July 1985); 1st Int’l SAMPE
Metals Conf., 1:91 (August 1987)
2. Lindsay, J. H. and LaSala, J., Plat. and Surf. Fin., 72(2):54 (1985)
3. Lee, R. A., Proc. 29th Ann. Conf., IPC, Boston (1986)
4. Poskanzer, A. M., Plat. and Surf. Fin., 74(3):20 (1987)
5. Best, T. G. et al., Int’l Conf. on Met. Ctgs, AVS (April 1985)
6. Wagner, C., J. Electrochem. Soc., 101(4):181 (1954); Frumkin, A. N.
and FLorianovich, G. M., Doklady Akad, Nauk SSSR, 86:907 (1951)
7. Schaefer, R. A. and Pochapsky, H., Proc. American Electroplaters’
Soc., 38:155 (1951)
8. Rothschild, B. F., Plat. and Surf. Fin., 66(5):70 (May 1979)
9. Foulke, D. G. and Johnson, D. C., Proc. American Electroplaters’ Soc.,
50:107 (1963)
10. Hull, R. O., Proc. American Electroplaters’ Soc., 27 (1939)
11. Blum, W., Trans. Electrochem. Soc., 44:313 (1923)
12. Ibl, N.,Electrodics:Transport, (E. Yeager et al., ed.), 4:6, Plenum Press
(1983)
13. Shawki, S., Hanna, F. and Hamid, Z. A., Metal Finishing, 85(12):59
(December 1987)
14. Electrode Processes, Discussions of The Faraday Society, No. 1:1947,
Butterworths (1961)
15. Schaefer, R. A. and King, W., Plating, 39:487,627,769 (1952) (Ameri-
can Electroplaters’ Soc., Res. Proj. No. 8)
16. Conway, B. E. and Bockris, J. O’M., Plating, 46(4):371 (April 1959)
17. Damjanovic, A., Plating, 52(10):1017 (October 1965)
18. Vetter, K. J., Electrochemical Kinetics, p. 282 ff., Academic Press
(1967)
19. Fischer, H., Plating, 56(11):1229 (November 1969)
20. Fischer, H., Electrodep. and Surf. Treatment, 1:239 (January 1973)
21. Nageswar, S., Electrodep. and Surf. Treatment, 3:417 (Sept/Nov
1975)
22. Modern Electroplating, 3rd edition, (F. A. Lowenheim, ed.), p. 17ff,
(Sponsored by the Electrochemical Society) John Wiley & Sons (1973)
606 Deposition Technologies for Films and Coatings

23. Landau, U., Electrochemistry in Industry, (U. Landau, E. Yeager, D.


Dortan, eds.), p. 215, Plenum Press, NY (1982); Also, LBL-2702, PhD
thesis, University of Calif., Berkeley (January 1976)
24. Read, H. J., Plating, 49(6):602 (1962)
25. Kardos, O., Plating, 61(1):61 (1974); (2):129 (1974); (3):229 (1974);
(4):316 (1974)
26. Theory and Practice of Bright Electroplating, (Yu Matulis et al., eds.),
Proceedings of All-Union Conference (December 1962); Akademiya of
Sciences of the Lithuanian SSR. Translated from Russian by the Israel
Scientific Translations, Jerusalem (1965) TT 65-50000, U.S. Dept of
Commerce.
27. Vagramyan, A. T., and Solov’eva, Z. A., Technology of Electrodepo-
sition, pp. 151-175, Robert Draper, Ltd (1961)
28. Raub, E. and Müller, K., Fundamentals of Metal Deposition, pp. 105 -
135, Elsevier Publ. Co. (1967)
29. Bato, K., Electrodep. and Surf. Treatment, 3(2):77 (March 1975)
30. Rich, S. R., Plating, 42(11):1407 (November 1955)
31. Roll, A., Metal Finishing, 55(9):55 (September 1957)
32. Hickman, R. G., Plating, 52(5):407 (May 1965)
33. Kapustin, A. P. and Trofimov, A. N. Electrocrystallization of Metals in
an Ultrasonic Field., Translated from the Russian by the Israel Scien-
tific Translation, (1970) TT-70-50036, U.S. Dept of Commerce
34. Walker, C. T. and Walker, R., Electrodep. and Surf. Treatment,
1(6):457 (July 1973)
35. Forbes, C. A. and Ricks, H. E., Plating, 49(2):279 (1962)
36. Walker, R. and Holt, N. S., Plat. and Surf. Fin., 67(5):92 (May 1980)
37. Ewing, D. T. and Associates, Plat. and Surf. Fin., 36:1137 (1949);
37:1157 (1950); 39:1033 (1952); 39:1342 (1952), Sponsored by the
American Electroplaters’ Society, Research Project No. 5
38. Zentner, V., Proc. American Electroplaters’ Soc., 47:166 (1960)
39. Jernstedt, G. W., Proc. American Electroplaters’ Soc., 36:63 (1949);
ibid., 37:151 (1950)
40. Rehrig, D. L., paper presented at American Electroplaters' Society 65th
Annual Technical Conference, Washington, D.C. (June 1978)
41. Wan, C. C., Cheh, H. Y. and Linford, H. B., Plating, 61(6):559 (June
1974)
Deposition from Aqueous Solutions 607

42. Puippe, J. C., Ibl, N., Angerer, H. and Schenk, H. J., Oberfläche-
Surface, 20(4):77 (1979)
43. Proc. Pulse Plating Symposia., American Electroplaters and Surf. Fin.
Soc., (a) Boston, MA (April 19 - 20, 1979) (b) Rosemont, IL (October
6 - 7, 1981)
44. Theory and Practice of Pulse Plating, (J. C. Puippe, F. Leamon, eds.),
published by American Electroplaters and Surf. Fin. Soc., Orlando, FL
(1986)
45. Cheh, H. Y., J. Electrochem. Soc., 118:551 (1971)
46. Ibl, N., Surf. Technol., 10:81 (1980)
47. Osero, N., Theory and Practice of Pulse Plating, (J. C. Puippe, F.
Leamon, eds.), Ch. 13, published by American Electroplaters and Surf.
Fin. Soc., Orlando, FL (1986)
48. Avila, A. J. and Brown, M. J., Plating, 57(11):1105 (1970)
49. Cheh, H. Y., Linford, H. B. and Wan, C. C.,Plat. and Surf. Fin., 64(5):66
(1977); Chey, H. Y., Andricacos, P. C. and Linford, H. B., ibid. 64(7):42
(1977); Chey, H. Y., Andricacos, P. C. and Linford, H. B., ibid. 64(9):44
(1977)
50. Mattson, E. and Bockris, J. O’M.,Trans. Faraday Soc., 55:1586 (1959)
51. Reid, F. H., Metalloberfläche, 30(10):453 (1976)
52. Raub, C. J. and Knödler, A., Gold Bulletin, 10(2):38 (April 1977)
53. Knödler, A., Theory and Practice of Pulse Plating, (J. C. Puippe, F.
Leamon, eds.), Ch. 9, published by American Electroplaters and Surf.
Fin. Soc., Orlando, FL (1986)
54. Hosokawa, K., Angerer, H., Puippe, J. C. and Ibl, N., Plat. and Surf.
Fin., 67(10):52 (October 1980)
55. Puippe, J. C. and Ibl, N., Plat. and Surf. Fin., 67(6):68 (June 1980)
56. Dossenbach, O., Theory and Practice of Pulse Plating, (J. C. Puippe,
F. Leamon, eds.), Ch. 6, published by American Electroplaters and
Surf. Fin. Soc., Orlando, FL (1986)
57. Avila, A. J., Theory and Practice of Pulse Plating, (J. C. Puippe, F.
Leamon, eds.), Ch. 11, published by American Electroplaters and Surf.
Fin. Soc., Orlando, FL (1986)
58. Ibl, N., Surf. Technol., 10:81 (1980)
59. Puippe, J. C. and Ibl, N., J. Appl. Electrochem., 10:775 (1980)
608 Deposition Technologies for Films and Coatings

60. von Gutfeld, R. J., Tynan, E. E., Melcher, R. L. and Blum, S. E., Appl.
Phys. Lett., 35:651 (1979)
61. Puippe, J. C., Acosta, R. E. and von Gutfeld, R. J., J. Electrochem.
Soc., 128(12):2539 (1981)
62. von Gutfeld, R. J. and Romankiw, L. T., Gold Bull., 15(4):120 (October
1982)
63. Bocking, C., Trans. Inst. of Metal Finish., 66:50 (1988)
64. von Gutfeld, R. J., Gelchinski, M. H. and Romankiw, L. T.,J. Electrochem.
Soc., 130(9):1840 (1983)
65. Gelchinski, M. H., Romankiw, L. T., Vigliotti, D. R. and von Gutfeld, R.
J., J. Electrochem. Soc., 132(11):2575 (1985)
66. Kuiken, H. K., Mikkers, F. E. P. and Wierenga, P. E., J. Electrochem.
Soc., 130(3):554 (1983)
67. Zahavi, S., Tamir, S. and Halliwell, M. J., Plat. and Surf. Fin., 73(2):56
(February 1986)
68. Holt, M. L., Modern Electroplating, 3rd edition, (F. A. Lowenheim, ed.),
pp. 461-485, John Wiley & Sons (1973)
69. Spencer, L. F., Metal Finishing, 69(10):69 (October 1971)
70. Brenner, A., Electrodeposition of Alloys, Principles and Practice,
Academic Press (1963)
71. Electrodeposition of Alloys (V. A. Averkin, ed.), (1961), Translated from
Russian by the Israel Program for Scientific Translations (1964) OTS
64-11015, U.S. Dept of Commerce
72. Brenner, A., Plating, 52(12):1249 (1965)
73. Krohn, A. and Bohn, C. W., Electrodep. and Surf. Treatment, 1(3):199
(January 1973); Plating, 58(3):237 (March 1971)
74. Sadana, Y. N., Metal Finishing, 82,83,84 (1984 - 1986)
75. Brenner, A., Burkhead, P. S. and Seigmiller, E., J. Res. Nat’l. Bureau
of Standards, 39:351 (1947)
76. Clark, W. E., and Holt, M. L., J. Electrochem. Soc., 94:244 (1948)
77. Hoar, T. P. and Brickley, I. A., Trans. Inst. of Metal Finish., 32:186
(1955)
78. Frantsevich, T. F. and Zayats, A. I.,Zhur. Priklad Khim., 31:234 (1958);
English translation, p. 224, Ukrain. Khim Zhur. 24:585 (1958)
79. Machu, W. and El Ghandour, Werkstoffe u. Korrosion, 11(7):420 and
11(8):481 (1960)
80. Machu, W. and Fathi, M., U.S. Patent 3,093,556 (June 11, 1963)
Deposition from Aqueous Solutions 609

81. Domnikov, L., Metal Finishing, 62(3):61 (March 1964)


82. Hayashi, T. and Ishihama, A.,Plat. and Surf. Fin., 66(9):36 (September
1979)
83. Lashmore, D. S., Weisshaus, I. and Pratt, K., Plat. and Surf. Fin.,
73(3):48 (March 1986)
84. Chisholm, C. V. and Carnegie, R. J. G., Plating, 59(1):28 (1972)
85. Machu, W., Metalloberfläche, 30(10):460 (1976)
86. Domnikov, L., Metal Finishing, 68(12):54 (1970)
87. Srivastava, S. C., Surf. Technol., 10:237 (1980)
88. Mayer, A., Standhammer, K. and Johnson, K., Plat. and Surf. Fin.,
72(11):76 (November 1985)
89. Hashino, S., Laitinen, H. A. and Heflund, G. B., J. Electrochem. Soc.,
133(4):681 (April 1986)
90. U.S. Patent 2,315,740, also Black, G., Metal Finishing, 44:207 (1946)
91. Moeller, R. W. and Snell, W. A., Proc. American Electroplaters’ Soc.,
42:189 (1955)
92. Moeller, R. W. and Snell, W. A., Proc. American Electroplaters’ Soc.,
43:230 (1956)
93. Tomaszewski, T. W., Clauss, R. J. and Brown, H., Proc. American
Electroplaters’ Soc., 50:169 (1963)
94. Kilgore, C. R., Products Finish., 34 (May 1963)
95. Sayfullin, R. S. and Safina, R. A., Zashchita Metal (USSR), 3(2):215
(1967); See also, ASM Rev. of Met. Lit., 24(7):99 (July 1967)
96. Sautter, F. K., J. Electrochem. Soc., 110:557 (1963)
97. Weisner, H. J., Frey, W. P., Vanderwoort, R. R. and Raymond, E. L.,
Plating, 57(4):358, 362 (April 1970)
98. Greco, V. P. and Baldauf, W., Plating, 55(3):250 (March 1968)
99. Shyne, J. J., Barr, H. N., Fletcher, W. D. and Scheible, H. G., Plating,
42(10):1255 (October 1955)
100. Ortner, M. Plating, 51(9):885 (September 1964)
101. Brenner, A. and Riddell, G., J. Res. Nat’l. Bureau of Standards, 39,
(November 1947), Res. Paper R.P. 1835,Proc. American Electroplaters’
Soc., 33:23 (1946) and 34:156 (1947)
102. Brenner, A., Metal Finishing, 52(11):68 (November 1954); 52(12):61
(December 1954)
610 Deposition Technologies for Films and Coatings

103. “Symposium on Electroless Nickel Plating,” ASTM Special Technical


Publication No. 265, American Soc. for Testing and Materials, Phila.,
PA (1959)
104. Gorbunova, K. M. and Nikiforova, A. A., Physiochemical Principles of
Nickel Plating, translated from Russian by Israel Program for Transla-
tion, OTS 63-11003, U.S. Dept of Commerce, (1960)
105 Saubestre, E. B., Metal Finishing, 60(6):67; (7):49; (8):45; (9):59
(1962)
106. Gawrilov, G., Metalloberfläche, 25(4):118 (1971); 25(8):277 (1971);
26(4):139 (1972)
107. Gutzeit, G., Saubestre, E. B. and Turner, D. R., Electroplating Engi-
neering Handbook, 3rd edition, (A. K. Graham, ed.), pp. 486 - 502,
Reinhold Publ. Co. (1971)
108. Pearlstein, F., Modern Electroplating, 3rd edition, (F. A. Lowenheim,
ed.), Ch. 31, John Wiley & Sons (1974)
109. Goldenstein, A. W., Rostocker, W., Schossberger, F. and Gutzeit, G.,
J. Electrochem. Soc., 112:104 (1957)
110. Graham, A. H., Lindsay, R. W. and Read, H. J., J. Electrochem. Soc.,
112:401 (1965)
111. (a) Morton, J. P. and Schlessinger, M., J. Electrochem. Soc., 115:16
(1968); (b) Chow, S. L., Hedgecock, N. E., Schlessinger, M. and
Resek, J., ibid., 119:1614 (1970)
112. Ziehlke, K. T., Dritt, W. S. and Mahoney, C. H., Metal Progress, 77:84
(1960)
113. Lee, W. G., Plating, 47:288 (1960)
114. Randin, J. P. and Hintermann, H. E., Plating, 54:523 (1967)
115. Johnson, C. E. and Ogburn, F., Surf. Technol., 4(2):161 (March 1976)
116. Higgs, C. E., Surf. Technol., 2(3):315 (1973/74)
117. Schwartz, M. and Mallory, G. O., J. Electrochem. Soc., 123 (5):606
(May 1967)
118. deMinjer, C. H. and Brenner, A., Plating, 44(12):1297 (1957)
119. Mallory, G. O., Plating, 61(11):1005 (1974)
120. Parker, K. and Shah, H., Plating, 58(3):230 (March 1971)
121. Baldwin, C. and Such, T. E., Trans. Inst. of Metal Finish., 46:73 (1968)
122. Safranek, W. H., The Properties of Electrodeposited Metals and Alloys,
A Handbook, 2nd edition, published by The American Electroplaters’
and Surface Finishers’ Soc. (1986)
Deposition from Aqueous Solutions 611

123. Okinaka, Y.,Gold Plating Technology, (F. H. Reid and W. Goldie, eds.),
Ch. 11, Electrochemical Publications, Ltd. (1974)
124. Saubestre, E. B., Proc. American Electroplaters’ Soc., 46:264 (1959)
125. Saubestre, E. B., Plating, 59(6):563 (June 1972)
126. Ma and Gawne,Trans. Inst. of Metal Finish., 65, (part 2) (August 1985)
127. Hadley J. S. and Harland, L. E.,Metal Finishing, 85(12):51 (December
1987)
128. Parker, K., The Properties of Electrodeposited Metals and Alloys, A
Handbook, 2nd edition, 23:497, and 24:531, published by The Ameri-
can Electroplaters’ and Surface Finishers’ Soc. (1968)
129. “Recommended Practice for Processing of Mandrels for Electroform-
ing,” ASTM Standard B431-65, Also see, Plating, 51(11):1075 (No-
vember 1964)
130. DiBari, G. A., 64th Metal Finish. Guidebook and Directory, p. 435,
Metals & Plastics Publ., Inc. (1978)
131. Spencer, L. F., Metal Finishing, 57(5):48 (May 1959)
132. Spiro, P.,Electroforming, 2nd edition, International Publ. Services, NY
(1971)
133. Braddock, D. M. and Harris, S. J., Electrodep. and Surf. Treatment,
2(2):123 (1973/74)
134. Dini, J. W. and Johnson, H. R., Surf. Technol., 4(3):217 (May 1976)
135. Dini, J. W., Johnson, H. R. and Saxton, H. J., Electrodep. and Surf.
Treatment, 2(2):165 (1973/74)
136. Withers, J. C. and Abrams, E. F., Plating, 55(6):605 (June 1968)
137. Wallace, W. A. and Greco, V. P., Plating, 57(4):342 (April 1970)
138. Greco, V. P., Wallace, W. A., and Cesaro, J. N. L., Plating, 56(3):262
(March 1969)
139. Wernick, S. and Pinner, R., The Surface Treatment and Finishing of
Aluminum, 3rd edition, 1 vol. (1964); 4th edition, 2 vols. (1972) Robert
Draper, Ltd.
140. Wernick, S., Metal Finishing, 53(6):92 (1955)
141. Sweet, A. W., Plating, 44(11):1191 (November 1957)
142. Keller, F., Hunter, M. S. and Robinson, D. L., J. Electrochem. Soc.,
100(9):411 (1953)
143. Spooner, R. C., Paper No. AN-10, Aluminum Finishing Seminar,
Detroit, Michigan, sponsored by Aluminum Assoc. (1968)
144. Wernick, S., Plat. and Surf. Fin., 75(6):51 (1988)
612 Deposition Technologies for Films and Coatings

145. Short, E. P. and Morita, A., Plat. and Surf. Fin., 75(6):102 (1988)
146. George, D. J. and Powers, J. H., Plating, 56(11):1240 (1969)
147. Wernick, S. and Pinner, R., Metal Finishing, 53(11):(1955)
148. Coulston, E. L., Paper No. AN-6, Aluminum Finishing Seminar, Detroit,
Michigan, sponsored by Aluminum Association (1968)
149. Tin and Its Uses, 133, Tin Research Institute (1982)
150. Woods, J. L., U.S. Patent 3,857,766 (December 31, 1974); Newman,
F. S., Hartman, J. T., and Dedona, F. A., U.S. Patent 3,983,014
(September 28, 1976); Knodo, M. and Shizouka, T. (Japan) U.S.
Patent 3,996,125 (December 7, 1976)
151. Konno, H., Theory and Practice of Pulse Plating, (J. C. Puippe, F.
Leamon, eds.), 12:209, published by American Electroplaters and
Surf. Fin. Soc., Orlando, FL (1986)
152. Metals Handbook, 9th edition, 5:632, ASM (1982)
153. Geduld, H., Metal Finishing, 65(4):62 (April 1967)
154. Saubestre, E. B., Durney, L. J., and Washburn, E. B., Metal Finishing,
62(11):52 (1964)
155. Saubestre, E. B., Trans. Inst. of Metal Finish., 47:228 (1969)
156. Perrins, L. E., Trans. Inst. of Metal Finish., 50:38 (1972)
157. Courduvelis, C. L., “Applications of Plasmas in the Electroplating of
Plastics,” paper presented at 65th Annual Technical Conf., American
Electroplaters’ Soc. (1978), pre-print, American Electroplaters’ Soc.,
Orlando, FL
158. Shipley, C. R., U.S. Patent 3,011,920; Matijevic, E.,Plating, 63(11):1051
(1974); Cohen, R. L.. and West, K. W., J. Electrochem. Soc.,120(4):502
(1973); Plating, 63(5):52 (May 1974), (colloid hypothesis); Zeblinsky,
R. J., U.S. Patent 3,672,938; Rantell, A. and Holtzman, A., Trans. Inst.
of Metal Finish., 51,62 (1973) and Plating, 63(11):1052, 1054 (1974)
(complex hypothesis)
159. Martin, J. J., Plating, 58(9):888 (1971)
160. Rothschild, B. F. and Schwartz, M., “Plating and Finishing of Printed
Circuit Boards,” American Electroplaters’ Soc., Illustrated Lecture
#41, American Electroplaters’ Soc.
161. Smith, C. M., Plating, 56(4) (April, 1969)
162. Rothschild, B. F., Farmer, M. E. and Brewer, T. W.,Plating, 49(12):1269
(December 1962)
163. Jawitz, M. W., Insulation/Circuits, p. 5 (April 1976)
Deposition from Aqueous Solutions 613

164 Rothschild, B. F. and Kilgore, L. C., “Electroplating: Cornerstone of


Multilayer Board Fabrication,” presented at Western Regional Techni-
cal Session, American Electroplaters’ Soc., March, 1966, (Available as
pre-print from Autonetics Div., Rockwell International, Paper X6-362/
3111.)
165. Rothschild, B. F. and Sanders, D., Plating, 56(12):1363 (December
1969)
166. Weil, R., Plat. and Surf. Fin., 69(12):46 (1982)
167. Johnson, H. R., Dini, J. W., and Stoltz, R. E., “On the Mechanical
Properties of Sulfamate Nickel Electrodeposits.” Presented at 65th
Annual Technical Conference, American Electroplaters’ Soc., (Pre-
print) (June, 1978)
168. Read, H. J., Plating, 49(6):602 (1962)
169. Zentner, V., Brenner, A. and Jennings, C. W., Plating, 39:865 (1952)
170. Brenner, A., Burkhead, P., and Jennings, C., J. Res. Nat’l. Bureau of
Standards, 40:31, R.P. 1854 (January 1948)
171. Jones, M. H., Kenez, M. G., and Saiddington, J., Plating, 52(1):39
(1965)
172. Weil, R., Properties of Electrodeposits, Their Measurements and
Significance, (R. Sard, H. Leidheiser, Jr., and F. Ogburn, eds.), 19:319,
The Electrochemical Soc. (1975)
173. Weil, R., Plating, 57(12):1231 (December 1970); 58(1):50 (January
1971); 58(2):137 (February 1971)
174. Romankiw, L. T., and Thomposn, D. A.,Properties of Electrodeposits,
Their Measurements and Significance, (R. Sard, H. Leidheiser, Jr., and
F. Ogburn, eds.), 23:389, The Electrochemical Soc. (1975)
175. "Electroless Plating-Fundamentals and Applications", (O. Mallory and
J. B., Hajdu, eds.), American Electroplaters and Surface Finishers
Soc., (1990)
176. Dini, J. W., Electrodeposition, The Materials Science of Coatings and
Substrates, Noyes Publications, Park Ridge, NJ (1993)

Supplementary References–Journals

Electrochim. Acta
Electronic Packaging and Production*, Milton S. Kiner, Publ., 222 W. Adams,
Chicago, IL 60606
614 Deposition Technologies for Films and Coatings

Gold Bulletin*, World Gold Council, 1, rue de la Rôtisserie, Ch. 1204,


Geneva, Switzerland
Printed Circuit Fabrication*, Gary W. Smith, Publ., 174 Hembase Rd.,
Alpharetta, GA 30210
Product Finish.*, Gardner Publ. Co., 600 Main St., Cincinnati, OH 45202
Trans. Faraday Soc.
* Trade journals (complimentary on controlled circulation).

Supplementary References–Books

AESF, “Symposium on Electroforming,” American Electroplaters’ and


Surf. Fin. Soc., Orlando, FL (1967)
AESF, “Symposia on Plating in the Electronics Industry,” (Proceedings),
1st - (1966), 2nd - (1969), 3rd - (1971), 4th - (1974), 5th - (1975), 6th
- (1977), 7th - (1979), 8th - (1981)
AESF, “Illustrated Lecture Series,” (Slides and text, 41 subjects available.)
ASM,Metals Handbook 9th edition, Vol. 5; “Heat Treating, Cleaning and
Finishing,” American Society for Metals, Metals Park, OH
ASTM, “Anodizing Aluminum,” STP 388, American Society for Testing and
Materials, Philadelphia, PA (1965)
ASTM, “Electroforming-Applications, Uses and Properties of Electroformed
Metals,” (1962)
ASTM, “Hydrogen Embrittlement Testing,” STP 543 (1974)
Bard, A. J. and Faulkner, L. R., Electrochemical Methods, John Wiley &
Sons (1980)
Bennington, H. and Draper, R. “Tables and Operating Data for Electroplaters,”
Robert Draper Ltd., Teddington, Eng
Bernstein, I. M. and Thompson, A. W., eds., Hydrogen in Metals, Amer. Soc.
for Metals (1974)
Blum, W. and Hogaboom, G. B.,Principles of Electroplating and Electroform-
ing, 3rd edition McGraw-Hill Publ. Co., NY (1949)
Bogenschutz, A. F., Surface Technology and Electroplating in the Electron-
ics Industry, Porticullis Press, Ltd., London, Eng. (1974)
Bockris, J. O’M. and Reddy, A. K. N., Modern Electrochemistry, (2 vols)
Plenum Press, NY (1970)
Deposition from Aqueous Solutions 615

Brugger, R., Nickel Plating, International Publ. Services, Porticullis, NY


(1970)
Burns, R. M. and Bradley, W. W., Protective Coatings for Metals, 3rd edition,
(ACS Monograph Series), Reinhold Publ. Corp., NY (1967)
Cobalt Monograph, (prepared by staff, Batelle Memorial Inst.) edited by
Centre D’Information du Cobalt, Brussels, Belgium (1960)
Coombs, C. F. Jr., ed., Printed Circuits Handbook, McGraw-Hill Book Co.
(1967)
Dubpernell, G., Electrodeposition of Chromium, Pergamon Press, NY
(1977)
Fischer, J. and Weiner, D. E., Precious Metal Plating, Robert Draper, Ltd.,
Teddington, Eng. (1964)
Gileadi, E., Kirowa-Eisner, E. and Penciner, J., Interfacial Electrochemistry,
Addison-Wesley Publ. (1975)
Goldie, W., Metallic Coating of Plastics, (2 vols), Electrochemical Publ., Ltd.,
Middlesex, Eng. (1968)
Graham, A. K., ed., Electroplating Engineering Handbook, 3rd edition,
Van Nostrand, Reinhold Co., NY (1971); Durney, L., ed., 4th edition
(1975)
Greenwood, J. D., Hard Chromium Plating, 2nd edition, International Publ.
Services, Porticullis, NY (1971)
Greenwood, J. D., Heavy Deposition, Robert Draper, Ltd., Teddington, Eng.
(1970)
Hall, H. ed., Metal Finishing Guidebook-Directory, Metals and Plastics Publ.,
NJ (issued annually)
Hampel, C. A., ed., Encyclopedia of Electrochemistry, Reinhold Publ. Corp.,
NY (1964)
Jarrett, G. D. R., Draper, C. R., Muller, G., and Baudrand, D. W., Plating on
Plastics, 2nd edition, International Publ. Services, Porticullis, NY
(1971)
Kutzelnegg, A., Testing Metallic Coatings, Robert Draper, Ltd., Teddington,
Eng. (1963)
Lowenheim, F. A., Electroplating, (Sponsored by American Electroplaters’
Soc.) McGraw-Hill Book Co., NY (1978)
Murphy, J. A., ed.,Surface Preparation and Finishes for Metals, McGraw-Hill
Book Co., NY (1971)
Narcus, H., Metallizing of Plastics, Reinhold Publ. Co., NY (1960)
616 Deposition Technologies for Films and Coatings

Read, H. J., ed., Hydrogen Embrittlement in Metal Finishing, (Sponsored by


American Electroplaters’ Soc.) Reinhold Publ. Co. (1961)
Reid, F. H. and Goldie, W., Gold Plating Technology, Electrochemical Publ.,
Ltd. (1974)
Raub, E. and Muller, K., Fundamentals of Metal Deposition, Elsevier Publ.
Co., NY (1967)
Riedel, W.,Electroless Nickel Plating, ASM International (1991) (Trans from
German, Kuhn, A. T.)
Sard, R., Leidheiser, H. Jr. and Ogburn, F., eds., Properties of Electrodepos-
its, Their Measurements and Significance, The Electrochemical Soc.,
Princeton, NJ (1975)
Ulhig, H. H., Corrosion and Corrosion Control, 2nd edition, John Wiley &
Sons, NY (1971)
Uhlig, H. H., ed., Corrosion Handbook, (Sponsored by Electrochemical
Society) John Wiley & Sons, NY (1948)
Van Horn, K. R., ed., Aluminum, 3 vols., American Society for Metals, Metals
Park, OH (1967)
West, J. M.,Electrodeposition and Corrosion Processes, Van Nostrand Co.,
NY (1965)
11

Advanced Thermal Spray Deposition


Techniques

Robert C. Tucker, Jr.

1.0 INTRODUCTION

Advanced thermal spray coatings, including plasma, detonation gun*


and high velocity oxy-fuel (HVOF) coatings have been used in industry for over
thirty-five years. They are line-of-sight processes in which powder is heated
to near or above its melting point and accelerated (by either a detonation wave,
or plasma or high velocity combustion gas stream). The powder is directed
at a substrate (surface to be coated) and, on impact, forms a coating
consisting of many layers of overlapping thin lamellar particles or splats.
Almost any material that can be melted without decomposing can be used to
form the coating. The substrate, for most applications, is not heated above
150°C, so its metallurgical properties (strength, etc.) remain unchanged.
Typical coating thicknesses range from 0.05 to 0.5 mm (0.002 to 0.020
inches), but in a few applications may exceed 5 mm (0.2 inches).
The description of the processes and coatings that follows is divided
into three sections: equipment and processes, coating structure, and
properties.

* The detonation gun process was developed by Union Carbide Corporation[1] and detonation
gun coatings are currently available in the United States, Japan, Singapore, and Western
Europe through Praxair Surface Technologies, Inc. (formerly Union Carbide Corp.). Plasma
spray and HVOF coatings (also developed by Union Carbide[2]) are available from a number
of coatings service organizations and the equipment is available from several sources for
in-house use.

617
618 Deposition Technologies for Films and Coatings

2.0 EQUIPMENT AND PROCESSES

In this section, plasma torches, detonation guns, HVOF torches,


auxiliary equipment, and equipment-related coating limitations are discussed.
A description of the physics of plasma, detonation, or combustion generation
would be too lengthy to be included here and is unnecessary to an understand-
ing of the utilization of the processes.

2.1 Plasma Spray Process

The essential elements of a plasma torch are shown in Fig. 11.1. The
anode is usually copper and the cathode tungsten. A gas, usually argon or
nitrogen or a mixture of these with hydrogen or helium, flows around the
cathode and through the anode which serves as a constricting nozzle. A direct
current arc, usually initiated with a high frequency discharge, is maintained
between the electrodes. The current and voltage vary with the anode/cathode
design, gas flow, and gas composition. The power varies from about 5 to 120
kilowatts depending on the type of torch and the operating parameters. In one
variant of a coating torch, a partially transferred arc is used; i.e., part of the arc
goes to the anode and part to the substrate being coated. This causes
substantial heating of the substrate and is used only in special situations.
Fully transferred arc surfacing torches will not be discussed here, since their
use constitutes a form of welding rather than coating.
The gas plasma generated by the arc consists of free electrons, ionized
atoms, and some neutral atoms and undissociated diatomic molecules if
nitrogen or hydrogen are used. The specific anode/cathode configuration, gas
density, mass flow rate, and electrical power determine the plasma tempera-
ture and velocity. Plasma gas velocities with most conventional torches are
subsonic, but supersonic velocities can be generated by using converging-
diverging nozzles with critical exit angles. The temperature of the core of the
plasma may exceed 30,000°C (50,000°F). A schematic of a typical distribu-
tion of temperature in the plasma is shown in Fig. 11.2. The enthalpy of the
plasma and efficiency of heat transfer to the powder particles can be increased
substantially with the inclusion of diatomic gases, such as hydrogen or
nitrogen, Fig. 11.3.
Advanced Thermal Spray Deposition Techniques 619

Figure 11.1. Schematic of a plasma spray torch.

Figure 11.2. Distribution of temperature in a plasma flame.


620 Deposition Technologies for Films and Coatings

Figure 11.3. Enthalpy of gases commonly used in plasma spraying.

The velocity that powder achieves in a plasma stream depends on the


integrated effect of mass flow rate of the plasma and the distance the powder
is carried in the stream. Similarly, the temperature the powder achieves is a
function of the integrated effect of the plasma temperature, plasma composi-
tion and the transit time in the plasma stream. (Both powder velocity and
temperature are functions of other factors as well, such as particle size,
powder composition, heat capacity, density, emissivity, etc., as discussed in
subsequent sections.) It follows, therefore, that the point of entry of the powder
into the plasma stream is very important. The ideal location would be in a
uniform pattern upstream of the anode throat since this would probably allow
the best distribution of the powder in the plasma stream, expose the powder
to the highest plasma temperature, and provide the longest path or time in
transit before the plasma temperature and velocity decrease. Most torch
manufacturers, however, have been unable to prevent powder adherence to the
entry or throat of the nozzle and excessive superheating using this approach.
Advanced Thermal Spray Deposition Techniques 621

As a result, powder entry is usually in the diverging portion of the nozzle or just
beyond the exit as shown in Fig. 11.1. Attempts[3][4] have also been made to
adjust the point and angle of entry of the powder into the plasma stream for the
melting point of the powder. The goal in some cases was to heat the powder
close to, but not over, the melting point. In one high velocity torch design,[5]
in which shock diamonds are generated, the powder is introduced a short
distance beyond the exit in a region of rarefaction in the plasma stream.
In another plasma torch design,[6][7] a cylindrical extension is placed on
the nozzle encompassing the entry for the powder. Additional inert gas is also
introduced. The result is a cooler, but more uniform and higher velocity gas
effluent with higher powder velocities.
The most important parameters relative to the powder particles at
impact on the substrate are their temperature, velocity, and extent of reaction
with the gaseous environment. The velocity of the powder, as previously
mentioned, is a function of the mass flow rate of the plasma, the density, mass
and shape of the powder, and the distance the powder travels in the plasma.
With most of the conventional commercial torches available up to the mid 70’s,
velocities varied from about 400 to 1000 ft/s. Higher velocity torches have since
become available[3]-[5][8] with powder velocities claimed [9] to be in excess of
1800 ft/s (measured by a rotating mirror), but velocities measured with a more
sophisticated technique (Doppler laser) for similar torches were reported to be
1200 ft/s.[10]
It has often been stated that any material that can be melted without
decomposition can be used as a plasma coating. There appear, however, to
be two schools of thought on whether or not the powder should be molten on
impact. Certainly the combination of particle plasticity or fluidity and velocity
must be high enough to allow the particle to flow into a thin, lenticular shape
that molds itself to the topology of the substrate or previously-deposited
material. The extent to which this is achieved determines the density and
strength of the coating. With a relatively low-velocity torch, reasonably high
densities can only be achieved if the particles are substantially molten. As
noted previously, the intent of at least some high velocity torch designs is to
achieve highly plastic, but not molten, particles. Excess fluidity (superheat-
ing) can lead to undue shattering and bounce of the particles, resulting in a poor
microstructure and low deposition efficiency.
Care should be exercised in developing the coating parameters to not
heat the powder to an excessive temperature for other reasons as well. The
most obvious hazard is vaporization of all or part of the powder. This is most
likely when,(a) the difference between the melting and boiling point of a single-
622 Deposition Technologies for Films and Coatings

phase powder is too small,(b) one or more of the components in a multiphase


powder has a substantially lower boiling point than the others,(c) one or more
of the components in a mixture of powder has a substantially lower boiling point
than the others, or (d) the powder size distribution is too wide with a single
component or not adjusted for heating rates with a mixture. In addition to
vaporization through boiling, there may be some loss of a component in an
alloy or compound that has a particularly high vapor pressure. This is generally
not a significant problem because transit times are so short.
The temperature in a plasma is high enough to melt (or decompose) any
material, given enough time. Comparison of the relative heating rates of
powders is not as simple as comparing their melting points, however. Heat
transfer in the plasma jet is primarily the result of the recombination of the ions
and re-association of atoms in diatomic gases on the powder particle surfaces
and absorption of radiation.[11] The ultimate temperature of the powder
particles, therefore, is a function of the catalytic activity of their surface, their
emissivity (particularly in the ultraviolet range), their heat capacity (including
any heats of phase transformations and heat of melting), their thermal
conductivity, and their surface to volume ratio (shape). Many metals, having
high absorption in the ultraviolet range, high surface activity, and high thermal
conductivity, tend to heat much more rapidly than most oxides. Specific
tables of heating rates are not available, but tables of the pertinent physical
properties can be used as guidelines in selecting appropriate coating
parameters.
The extent of reaction of the powder with its gaseous environment during
transit depends both on the composition of the plasma gas and the amount
of intermixing of the plasma gas with the ambient gas between the nozzle and
the substrate. It is generally assumed that argon and helium are inert and no
degradation of the powder occurs in the torch when they are used as the only
plasma gases. Obviously for this to be true, the gas source must be free of
oxygen and other contaminants, and the torch and other equipment must be
gas-tight. Substantial adsorption of argon and, presumably, helium on the
coating surfaces, both external and internal, however, can occur as evidenced
by the evolution of relatively large quantities of these gases during vacuum
heat-treatment.
Whether or not hydrogen or nitrogen, when used in the plasma gas, are
effectively inert relative to the powder depends on the composition of the
powder. The transit time and temperature of the powder in the plasma
determine the extent of reaction and/or solution of the gas in the powder in
Advanced Thermal Spray Deposition Techniques 623

those cases where the gas is not thermodynamically inert. The use of
hydrogen to reduce the amount of oxidation during spraying may be somewhat
effective, but the effect may be due as much to shielding (by reacting with
oxygen from the air inspirated into the plasma stream) as to actual reduction
of oxide formed on metallic powder. On the other hand, oxide powders or oxide
films on metallic powders may be decomposed in the plasma spray; e.g.,
zirconia coatings sprayed with an argon plasma are slightly oxygen deficient,
and the amount of oxygen in copper can be lowered simply by thermal
decomposition of its oxide.
Usually of greater concern than reaction with the plasma gas is the
extent of reaction of the powder with oxygen or nitrogen from the air inspirated
into the plasma stream after it exits the nozzle. This effect is strongly a
function of the type of torch used, as illustrated in Fig. 11.4. None of these
coatings were shielded from the atmosphere, yet the differences in extent of
oxidation is dramatic. Nitration of some materials may also occur, but has not
been extensively studied.
If coatings with even less oxide than that shown in Fig. 11.4 are desired,
several means of shielding the plasma stream are available. One of the best,
and certainly the most adaptable to production, is a patented inert gas shroud
that surrounds the effluent with argon.[12] A comparison of the results obtained
with this shield compared to those obtained with the same torch that produced
the relatively clean microstructure of Fig. 11.4 is shown in Table 11.1. Note that
the oxygen contents of molybdenum, copper, and nickel are all lower in the
coating than in the starting powder when using the inert gas shroud, while that
of titanium, a very reactive metal, is only slightly higher. Alternative methods
of excluding air include spraying in a low partial pressure of inert gas in a
vacuum chamber[13][14] or in an enclosure filled with argon. An extreme
example used for coating large parts is an entire room or cubicle filled with
argon in which the operators wear life support suits.[15]
Both argon-shrouded and low pressure, inert-gas-chamber spray coat-
ing methods are used in the commercial production of the very reactive
“MCrAlY” coatings on gas turbine components (described more fully in Sec.
4.8). Some of the relative advantages and disadvantages of the two methods
are listed in Table 11.2 and the process steps used with both are shown in
Table 11.3.
624 Deposition Technologies for Films and Coatings

Figure 11.4. Microstructures of aluminum bronze coatings made with three types
of standard plasma spray torches illustrating varying degrees of oxidation during
deposition. As-polished.
Advanced Thermal Spray Deposition Techniques 625

Table 11.1. Oxygen Content of Plasma Deposited Coatings

Oxygen Content (%)


Coating Starting Conventional Coaxial Gas
Material Powder Coating Shielded Coating

Copper 0.126 0.302 0.092


Nickel 0.172 0.456 0.151
Tungsten 0.027 0.274 0.030
Titanium 0.655 2.0 0.730
Molybdenum 0.419 0.710 0.160

Table 11.2. Advantages and Disadvantages of Inert Gas Shroud and Low
Pressure Inert Gas Plasma Deposition

Plasma Spray with Inert Gas Shroud


Advantages:
Clean Deposition
Low Capital Cost
Low Operating Cost
High Production Rate
Disadvantages:
Difficult to Preheat Parts

Plasma Spray in Low Pressure Inert Gas


Advantages:
Clean Deposition
Longer Stand-Off or Higher Velocity
Preheat Parts to Reduce Stress
Sputter Cleaning
Disadvantages:
High Capital Cost
High Operating Cost
Low Production Rate
626 Deposition Technologies for Films and Coatings

Table 11.3(a). Typical Coating Sequence for Plasma Spray with


Inert Gas Shroud

1. Clean and grit blast part


2. Load in fixture
3. Coat
4. Unload
5. Heat-treat and peen part

Table 11.3(b). Typical Coating Sequence for Plasma Spray in


Reduced Pressure Inert Gas

1. Clean and grit blast part


2. Load in vacuum chamber
3. Pump down and back-fill chamber to reduced pressure
4. Preheat part
5. Sputter clean part (optional)
6. Coat
7. Cool part
8. Back-fill to atmospheric pressure
9. Unload
10. Heat-treat and peen part

2.2 Detonation Gun Deposition Process

The detonation gun, shown schematically in Fig. 11.5, consists of a


water-cooled barrel several feet (about one meter) long with an inside diameter
of about one inch (25 mm), and associated gas and powder metering
equipment. In operation, a mixture of oxygen and acetylene is fed into the
barrel along with a charge of powder. The gas is then ignited and the
detonation wave accelerates the powder to about 2400 ft/s (760 m/s) while
heating it close to, or above, its melting point. The maximum free burning
temperature of oxygen/acetylene mixtures occurs with 45% acetylene and
is about 3140°C, but under detonation conditions probably exceeds 4200°C,
Advanced Thermal Spray Deposition Techniques 627

so most materials can be melted. The distance that the powder is entrained
in the high velocity gun is longer than in a plasma device which accounts, in
part, for the much higher particle velocity. After the powder has exited the
barrel, a pulse of nitrogen purges the barrel. The cycle is repeated about four
to eight times a second.

Figure 11.5. Schematic of a detonation gun.

Each pulse of powder results in the deposition of a circle of coating about


25 mm in diameter and a few microns thick. This circle of coating is, of course,
composed of many overlapping thin lenticular particles or splats correspond-
ing to the individual powder particles. The total coating is, in turn, produced
by many overlapping circles of coating. This pattern of overlapping is closely
controlled to produce a smooth coating and minimize substrate heating and
residual stress.
Because of the gases used in the detonation gun, the powder may be
exposed to either an oxidizing or carburizing environment, although an
essentially inert mixture can be achieved with precise control. Carburizing
conditions, in particular, can be used to advantage,[16] as illustrated in Sec.
4.2 on microstructures.
Recently, a significant advance in detonation gun technology has been
made with the introduction of the Super D-Gun™. This device uses a mixture
of fuel gases rather than just acetylene. As a result, the volume of gaseous
detonation products is substantially increased with concommitant increases
in gas pressure and gas velocity. The higher gas velocity, in turn, results in
628 Deposition Technologies for Films and Coatings

higher powder particle velocities, to about 1000 m/s or more. Thus the kinetic
energy of the particles are about double those of the standard detonation gun
particle energies. This yields coatings with higher densities, better bonding,
and improved mechanical and other properties.

2.3 High Velocity Oxy-Fuel Deposition

High velocity oxy-fuel (HVOF) deposited coatings are produced by


heating and accelerating powder in a high velocity gas stream generated by
the combustion of a fuel gas and oxygen. The powder is heated to near or
above its melting point and projected against the substrate to be coated
forming a dense, lamellar coating. The tungsten carbide-cobalt group of
materials are probably the most widely used HVOF coatings, but other
cermets, metals, and some oxides can be used. For most applications,
coatings range from 0.002" to 0.020" (0.05 mm to 0.5 mm) in thickness, but
substantially thicker coatings of some materials can be used if necessary.
Although a variety of high velocity combustion spray devices have been
developed, most have in common a combustion chamber with ports leading
to a nozzle, shown schematically in Fig. 11.6. Continuous combustion of
oxygen and fuel gas occurs in the chamber and the resulting hot, high pressure
gas is allowed to expand and accelerate in the nozzle. The fuel gas is usually
propane or propylene; however, acetylene can be used in some devices. In at
least one device, liquid fuels such as kerosene can be used to allow a higher
effective mass flow of fuel to the combustion chamber than is possible with
most gases. Powder is introduced axially into the nozzle, allowing relatively
efficient heating and acceleration of the powder particles. The powder is heated
and accelerated by the products of combustion, usually to temperatures above
its melting point and to velocities that may exceed 1800 ft/s (550 m/s).
Since the powder particles are being heated and accelerated in a stream
of combustion products, the surrounding atmosphere may be either oxidizing
or carburizing. In addition, air may be inspirated into the gas stream as it exits
the nozzle leading to oxidation of the powder. The degree to which these gas-
powder reactions occur depends, of course, on the specific device, the
operating parameters, and the material being deposited. It is probably more
significant with metallic materials and carbides than with oxides. High velocity
combustion spray, like all other thermal spray processes, is a line-of-sight
process. Thus, it should be expected that the properties of the coatings will
vary with the angle of deposition. In addition, stand-off may be in important
parameter—a distance which is too short allows too little time for heating and
acceleration of the powder particles or overheating of the substrate, while a
Advanced Thermal Spray Deposition Techniques 629

stand-off which is too long may allow the powder particle velocities to diminish
and their temperature to drop too far. The actual powder temperature and
velocity distributions are strongly a function of the design of the high velocity
combustion spray device as well as the operating parameters, morphology,
and composition.

Figure 11.6. High velocity oxy-fuel coating process.

2.4 Thermal Control

Control of the temperature of the substrate during deposition is


essential. Usually it is desirable to slightly warm the surface of the substrate
before coating and then maintain the temperature no higher than about 150°C
(300°F) while coating. This control is achieved by limiting the deposition rate
(mass per unit area per unit time) and using auxiliary cooling such as CO2 or
air.
On rare occasions it might be advantageous to apply the coating at an
elevated substrate temperature to reduce residual stress in the coating. This
allows the coating and the substrate to cool together thus minimizing the
mismatch in temperature and concommitant stress. Using this technique
may change the quench rate of the powder and hence the structure and
properties of the coating.
630 Deposition Technologies for Films and Coatings

2.5 Auxiliary Equipment

In addition to the plasma torch, detonation gun, or HVOF device itself,


gas controls, power supplies, and powder feeders are required. Most of these
are supplied with the basic unit. A detailed discussion of their characteristics
is not appropriate here, but there are several general criteria that all such
equipment should meet. Excellent gas control can be achieved with either
rotameters or critical flow orifice columns, but, in either case, attention should
be paid to both upstream and downstream pressures to insure that the control
device is capable of accurately measuring flow. Mass flow meters may, of
course, also be used. All guages, meters, rotameters and orifices should be
calibrated periodically. Electrical power supplies should be reasonably ripple-
free and, again, all meters should be periodically calibrated.
For optimum plasma or HVOF spraying, powder must be distributed
uniformly in the plasma stream at a constant rate. There are a variety of powder
dispensers designed to do this including those based on an auger, aspirated
flow, or fluidized bed.[17]-[19] Continuous measurement of powder feed-rate
with closed-loop adjustment provides the best control. Conversely, a pulsed
flow of powder is required for a detonation gun. Again, however, uniform
distribution of the powder in the barrel is important, as is the constancy of the
amount of powder in each pulse.
The highest quality thermal spray coatings can only be achieved with
automated or semi-automated torch or gun and part handling. Hand-held
torches lead to varying stand-off, poor thermal control, and nonuniform
thickness—all of which result in varying coating properties across the part.
The most commonly used method of part and torch motion control utilizes a
modified lathe concept with the torch mounted on what would be the tool post
and the parts to be coated either rotated as a cylinder or mounted on an
annulus plate. Predetermined torch-to-part surface speeds and overlap can
then be maintained by varying the rotation and torch speeds. A variety of cam
actions can be used to maintain a uniform deposition rate from the center to
the outside of an annulus plate. Another method of controlling relative motion,
particularly suitable for the detonation gun because lower surface speeds can
be used, is that of traversing and indexing in a raster pattern. Using this
technique, very large flat surfaces can be coated.
More extensive automation has been developed for all types of advanced
thermal spray deposition including part transfer handling and robotic torch and/
or part manipulation. Computer control of the torch motion and/or part motion
Advanced Thermal Spray Deposition Techniques 631

tremendously increases the productivity of the equipment. Computers may


also be used to monitor and control the complete process, interlocking part
and torch or detonation gun motion, powder and gas flow, and power level.

2.6 Equipment-Related Coating Limitations

All types of thermal spray deposition are line-of-sight processes, and


the structure of the coatings is a function of the angle of deposition, i.e., the
angle between the axis of the plasma, HVOF, or detonation gun effluent and
the surface of the substrate being coated. Normally coatings with the highest
density and bond strength are achieved at a 90° angle of deposition. The
extent of changes in plasma coating structure is a function of the type of
plasma torch and the operating parameters. With some low velocity torches,
angles less than 75° may cause significant degradation of properties,[18] with
some higher velocity torches, angles as low as 60° can be tolerated. This
limitation may cause some problems in coating complex parts, particularly
those with narrow grooves or sharp angles, and may require several set-ups
to adequately coat the different faces or surfaces of a part. The detonation gun,
with its higher particle velocity, can usually tolerate a wider deviation from 90°
(down to about 45° in many cases). The sensitivity of HVOF coatings to angle
of deposition is probably intermediate between plasma and detonation gun.
Another limitation, of course, is the size of the torch or gun and the
required stand-off (distance from the nozzle or front face of the torch to the
workpiece) when an inside diameter must be coated. One of the smaller
torches can apply a metallic coating to about a 30 mm (1.2 in), or a ceramic
coating to about a 50 mm (2 in), inside diameter cylinder at 90°. Another torch
with an effluent at 45° to the torch axis can apply a coating to the inside of a
blind cylinder about 50 mm (2 in) in diameter. An HVOF device is quite bulky
and requires a long stand-off. It can therefore be used to coat the inside
surfaces of only very large cylinders.
The detonation gun, of course, cannot fit into a cylinder or other cavity.
It can be used, however, to coat the inside surface of a cylinder to a depth about
equal to the diameter, i.e., to an angle of deposition of about 45°. While there
is some change in microstructure as the angle decreases, the inherently high
density and bond strength of detonation gun coatings, as previously men-
tioned, still allow very good coatings to be deposited at the lower angles.
632 Deposition Technologies for Films and Coatings

3.0 TOTAL COATING PROCESS

The total coating process includes specification and procurement of


powder, substrate preparation, masking, and finishing, in addition to the
coating operation itself. Each of these is discussed in the following
subsections.

3.1 Powder

Most of the powder used for advanced thermal spray deposition falls
between 5 and 60 microns in size. To achieve uniform heating and acceleration
of a single component powder, it is advisable to have the size distribution as
narrow as possible. The additional cost of sizing is, at least partially, recovered
in higher deposition efficiency and better coating quality. The specific powder
size range to be used is a function of the torch or detonation gun design and
the heating characteristics of the powder discussed earlier. Generally
speaking, fine powders are accelerated and heated more rapidly, but they
also tend to lose momentum more rapidly when spraying at longer distances
(greater stand-offs). They generally result in denser, but more highly stressed
coatings. Finer powders also tend to create more torch operating problems
and have higher oxide contamination levels.
Good quality control of powder is essential, not only during manufac-
ture, but during storage and handling. Powder specifications and quality
control should include, as a minimum, chemical analysis (including interstitials
for metallic powders), shape characterization, size distribution, and flowability.
A wide variety of equipment is available for analyses, and selection of a
specific technique or type of test will vary with the type of powder. It is obvious
that the powder should be kept clean and dry; too little attention paid to this
will result in dispensing problems, torch clogging, and lumps in the coating.

3.2 Substrate Preparation

It seems quite obvious that any part to be coated (substrate) must be


clean, yet this step in the total coating process is frequently given too little
attention. Not only must all oxide scale or other solid foreign matter be
removed, but all oils, machining lubricants, etc. must be eliminated. It is
therefore usually good practice to degrease a part after any descaling,
machining, or grinding is done. Grit blasting, discussed below, should not be
relied upon to remove heavy scale, since it may simply embed it in the
surface, leading subsequently to a weakly bonded area or a site forcorrosion.
Advanced Thermal Spray Deposition Techniques 633

Most plasma coatings require a roughened substrate surface. Although


machining, chemical etching, and other techniques are sometimes used, the
most frequently used method is grit blasting. The type of grit and grit blasting
pressure used should be determined by the composition and heat-treat
condition of the substrate. For many relatively soft substrates, chilled steel
grit is satisfactory. It does not shatter and does not embed excessively in the
surface. For harder substrates, alumina or silicon carbide grit has better
cutting action. For some applications, special grit may be used to achieve
unusually low levels of grit inclusions.[20] Regardless of the method used, the
surface roughness should normally exceed 4 micrometers (150 microinches)
Ra . In addition, the surface topology should be sharply peaked, not smoothly
undulating. Excessive grit blasting can be detrimental due to work hardening,
blunting of the peaks, and increased grit entrapment.
For detonation gun coatings, and perhaps some high velocity plasma
or HVOF coatings, grit blasting may not be necessary if the substrate is not
excessively hard. The unusually high particle velocity in itself results in some
surface roughening, particularly with some carbide-based coatings. This is
generally true for titanium substrates, for example.
Grit blasting, of course, increases the surface area significantly, so
whether bonding, discussed in Sec. 4.3, is due to a mechanical interlocking,
to interdiffusion, surface reaction, or a combination of these, it is advantageous
in increasing bond strength. In any case, the coating should be applied as
soon after grit blasting as possible to ensure a clean surface.

3.3 Masking

A wide variety of masking techniques are used to limit the deposition to


the required area on the part. In most cases masking is less expensive than
subsequent removal by grinding. Many types of tape and oxide-loaded paints
or stop-off lacquers are satisfactory for low velocity, long stand-off plasma
torches. For high velocity, short stand-off torches, more substantial masking
is required, e.g., glass-fiber reinforced high-temperature tape, adhesive-
backed steel or aluminum foil, or sheet metal masking. For detonation gun
coatings, metal masking is used most frequently. Efficiently designed
masking can significantly reduce the total cost of a coating and deserves
careful consideration.

3.4 Coating

The coating process parameters that must be selected to apply a


coating of a given powder composition and size distribution include the types
634 Deposition Technologies for Films and Coatings

of gases to be used and their flow rates, the torch or gun design (e.g., anode
design), the power level to be used, and for some plasma torches, the point
of powder entry. All of these vary with the specific torch or gun model used.
The torch or gun manufacturer should be able to provide specific instructions,
or at least detailed guidelines.
It is always advisable to coat a quality control specimen to verify the
coating deposition rate and coating microstructure before coating any parts.
Metallographic examination of this specimen should include, as a minimum,
general phase content, the amount of oxidation occurring during deposition,
apparent porosity, and microhardness. It is also advisable to check the grit
inclusion level and/or amount of substrate surface contamination, but this is
only meaningful if the quality control specimen is made of the same material,
is in the same heat-treat condition, and has undergone the same surface
preparation as the parts to be coated. Metallographic examination is only
meaningful if well-standardized mounting and polishing techniques have been
developed as well as appropriate visual and numerical standards based on
significant statistical analyses.
It should be noted that the microstructure, hardness, etc. of the coating
on a special quality control specimen may not (in fact, usually will not) be the
same as on the part because of differences in angle of deposition, relative part/
torch surface velocity, cooling, mass of the part, etc. This should not be of
concern relative to quality, since the objective of examining the quality control
specimen is to ensure that the torch or detonation gun is producing the right
coating (process control). With the process in control, a coating with
consistent properties will be applied to the part (assuming all the deposition
parameters then remain constant while coating the parts). Whether or not the
properties of coating produced meet the objectives of using it is a separate
issue.
One of the major advantages of plasma and detonation gun coatings is
that they may be applied to substrates without significantly heating them
above room temperature. As a result, a part can be fabricated and fully heat-
treated without changing the substrate microstructure or strength. This also
avoids any possibility of distortion or volumetric change during any post-
coating heat-treatment that is common to many other coating methods. It is,
however, advisable to warm the surface slightly, usually with a pass of the torch
without powder flowing, to remove most adsorbed gases from the surface
before applying the coating. The surface temperature usually does not exceed
125 to 150°C during this warming pass. During coating deposition, a
substantial amount of heat is transmitted to the part through the plasma gas
Advanced Thermal Spray Deposition Techniques 635

and the molten powder. To ensure uniform coating thickness and minimize
residual stress within the coating, it is necessary to carefully control the areal
rate of deposition. This can only be accomplished satisfactorily by using
automated part and torch handling equipment with the selection of appropriate
surface speed, overlap pattern and deposition rate. Cooling air or CO2 jets may
be used as well. Under normal circumstances, the part temperature does not
exceed about 150°C during coating.

3.5 Finishing

For many applications, plasma and detonation gun coatings can be


used as-coated. In fact, in at least one application, a detonation gun tungsten
carbide-cobalt coating is grit blasted to further roughen the surface for better
gripping action. Probably in the majority of applications, however, the coatings
are finished before being placed in service. Finishing techniques vary from
brush finishing to produce a nodular surface, to machining, grinding, and
lapping to produce surfaces with surface roughnesses down to less than 0.05
micrometers (2 x 10-6 inches) Ra. Machining can be used on some metallic
coatings, but most coatings are ground with silicon carbide or diamond
(diamond is usually preferred for detonation gun coatings). The best surface
finish that can be obtained is a function not only of the finishing technique, but
of the coating composition, the deposition parameters, and the part geometry.
Recommendations for the machining, grinding, and lapping techniques
for specific coatings can be obtained from coatings service organizations or
coating equipment manufacturers. Great care should be exercised in finishing
operations to avoid damaging the coating through heat checking, pull-out, or
edge chipping. A typical check list[21] for diamond grinding follows:
1. Check the diamond wheel specifications.
2. Make sure the grinding equipment is in good mechanical
condition.
3. Balance and true the diamond wheel on its own mount.
4. Check the peripheral wheel speed.
5. Use a flood coolant.
6. Before grinding each part, clean the wheel with minimum use
of a silicon carbide stick.
7. Maintain proper infeeds and crossfeeds.
8. Never spark out—stop grinding after last pass.
636 Deposition Technologies for Films and Coatings

9. Maintain a free-cutting wheel by frequent cleaning with a


silicon carbide stick.
10. Clean parts after grinding.
11. Visually compare the part at 50x with a known quality control
sample.
Similarly, a typical checklist[15] for diamond lapping follows:
1. Use a hard, usually serrated, lap such as GA Meehanite or
equivalent.
2. Use recommended diamond abrasives.
3. Embed the diamond firmly into the lap.
4. Use a thin lubricant such as mineral spirits.
5. Maintain appropriate lapping pressures.
6. Maintain low lapping speeds.
7. Recharge the lap only when necessary.
8. Clean the parts after grinding and between changes to
different grade diamond laps.
9. Visually compare the part at 50x with a known quality control.

4.0 COATING STRUCTURE AND PROPERTIES

In this section, the macro- and microstructure of advanced thermal


spray coatings are discussed as well as several important characteristics in
coating design, bond strength, residual stress, and density. In the balance of
the section the mechanical, wear, thermal, and electrical properties of the
coatings are discussed including a few illustrations taken from service
experience.

4.1 Surface Macrostructure and Microstructure

The surface roughness of most advanced thermal spray coatings is


greater than 100 x 10-6 inches Ra . Most of the metallic and cermet coatings
are a dull grey, but some, sprayed with an argon shroud, may be a fairly bright
metallic, light grey. The oxide coatings vary from black to white with the color
frequently differing from the powder or a conventional ceramic part of the same
composition. This is usually due to some dissociation and/or oxygen
deficiency of the coating. Very slight deficiencies, in some cases, can
produce substantial color changes. Exposure to air at high temperatures often
Advanced Thermal Spray Deposition Techniques 637

returns the oxide to stoichiometry and its normal color without any other
noticeable changes in the coating other than, perhaps, its electronic charac-
teristics.
The surface topography of as-deposited coatings is quite complex. Not
only do molten or highly plastic drops flow and conform to the rough surface
(grit-blasted substrate or previously-deposited coating), but some particles
rupture with subdroplets “skittering” along the surface for some distance
before sticking. An excessive amount of this behavior leads to higher
porosity, poor intracoating fracture strength, higher roughness, and lower
deposition efficiency. An occasional microcrack can be observed in some
coatings, particularly cermet and oxide coatings, due to residual stresses
developed within an individual particle during freezing. While usually
undesirable, they may not be significantly detrimental to performance
(e.g., wear resistance) if they are limited in number and do not propagate
from one particle to another. For some thermal barrier applications, they
are intentionally induced during deposition to increase thermal shock
resistance (in part, by lowering the in-plane elastic modulus).

4.2 Microstructure

Both plasma and detonation gun coatings consist of many layers of thin
lamellar particles, the result of the impact of molten or semimolten powder
particles as illustrated in Fig. 11.7. The major microstructural difference
between the two types of coatings is that detonation gun coatings have a
higher density. The impacting particles may split with some small droplets
branching out or separating from the central particle. Thus, the average splat
volume may be smaller than the average starting powder size, and the total
surface area much larger in the coating. Typically, a splat may be a few
microns thick and 10 to 50 microns in diameter.
The cooling rate of the impacting particles has been estimated[22] to be
10 to 106 C/s for oxides and 106 to 108 C/s for metals. It is evident, however,
4

that rates may vary significantly with the substrate material and thickness of
the coating. As a result of the rapid cooling, some coatings have been found
to have no crystallographic structure by x-ray[23] or neutron diffraction, [24] or
low temperature heat capacity measurements.[25] Others may have a thin
amorphous layer next to the substrate followed by crystalline layers.[22]
Many coatings form columnar grains within the splat in one or two layers
perpendicular to the surface of the substrate, Fig. 11.8.
638 Deposition Technologies for Films and Coatings

Figure 11.7(a). Cross-section micrographs of detonation gun WC-9Co (top),


detonation gun WC-15Co (center), and plasma WC-12Co (bottom). As-polished,
DIC.
Advanced Thermal Spray Deposition Techniques 639

Figure 11.7(b). Cross-section of a detonation gun alumina-titania coating. As-


polished.

Figure 11.7(c). Cross-section of a detonation gun tungsten-cobalt coating. As-


polished, DIC.
640 Deposition Technologies for Films and Coatings

Figure 11.8. Scanning electron micrographs of a fractured plasma-deposited


tungsten coating (top) and a cross-section of the same coating polished and
etched showing the columnar grain structure within the lamellar particles.
Advanced Thermal Spray Deposition Techniques 641

In most cases where crystalline structure can be determined by x-


ray diffraction, the peaks are quite broad, indicative of high local residual
stresses due to the rapid quenching. Also as a result of the rapid
quenching, non-equilibrium phases may be present; e.g., alumina coat-
ings[27] usually consist of a high volume fraction of gamma and other phases
in addition to the equilibrium alpha. In particular, when the particles are highly
superheated and impact on a substrate with high thermal conductivity, delta
and theta may be formed in addition to gamma, with alpha suppressed.
Similar effects may occur in detonation gun coatings, as illustrated in Fig. 11.9
for a Laves phase coating.
In addition to phase shifts due to the rapid quench, some changes in
composition may occur due to selective evaporation of one component in an
alloy, to decomposition to a gas, or to reaction with the atmosphere as
previously mentioned. If the loss of a component with a high vapor pressure
can be predicted, it can obviously be compensated for in the powder
manufacture. It must be kept in mind, however, that such a loss will be more
rapid from a fine powder than a coarse powder, and it becomes even more
imperative to use a narrow powder-particle-size distribution to ensure a
homogeneous coating composition. The slight decomposition or loss of
oxygen in oxide coatings has already been noted relative to color changes.
Zirconia coatings are an example of this effect.
The reaction of the powder particles with their local environment in
transit, particularly the extent of their oxidation, is very important to the
properties of the coatings. The loss of carbon from tungsten carbide plasma
coatings through oxidation of WC to form gaseous CO, W2 C and free tungsten
has been reported.[26]-[28] Metallic or cermet coatings may also react with air
inspirated into the plasma stream, as previously noted, forming oxide scales
on the particles, or dissolving the gases in the molten droplet. The effects on
the properties of the coating can be extensive as shown in Sec. 4.6. The extent
of these reactions varies greatly with the type of plasma torch used as shown
earlier in Fig. 11.4. None of these torches used an inert gas shroud or low
pressure chamber and none were made in an inert gas chamber, yet the extent
of oxidation is extremely different. Similar effects can be obtained with
detonation gun and HVOF coatings, both by reaction with the combustion/
detonation gas mixture and with air after the powder leaves the barrel. An
example of reaction with the gas mixture is the carburization of a Laves phase
alloy for added wear resistance, Fig. 11.9.
642 Deposition Technologies for Films and Coatings

Figure 11.9. Cross-section of a Laves phase d-gun coating (LDT-400), as-coated


(top) and after 4 hrs at 1080°C in vacuum (bottom) illustrating the metastability of
the as-coated structure. The arrow identifies a carbide formed by reaction with the
detonation gases during deposition. Etched, DIC.
Advanced Thermal Spray Deposition Techniques 643

4.3 Bond Strength

Bond strength is, quite naturally, an important property of a coating. It


is most frequently measured in a tensile test (ASTM-C633) in which the
coating is applied to the face of a one inch diameter round bar, and a mating
bar is attached to it, usually with an epoxy. The limit of the test is the strength
of the epoxy, currently about 10,000 to 12,000 psi (69 - 82 MPa). Most plasma
coatings have bond strengths below this, but almost all detonation gun
coatings and some plasma and HVOF coatings have strengths that exceed
it, with the test serving only as a “proof” test. The ASTM test procedure
specifies a coating thickness of at least 0.020 inches (0.51 mm). This was
established to prevent penetration of the epoxy through porous coatings, such
as oxy-acetylene flame spray coatings, and is usually reduced for use with the
denser advanced thermal spray coatings to more closely measure their
strength at a thickness more typically used in service, e.g., 0.010 inches (0.25
mm).
A variety of other tensile bond strength and shear strength tests have
been used, but most introduce undue stress risers. In recent modified Ollard
tests of some detonation gun coating, the tensile strengths exceeded 25,000
psi and some Super D-gun coatings exhibited strengths exceeding 45,000
psi. An epoxied lap shear test is still used for some quality control purposes.
It is unfortunate that more satisfactory shear tests have not been developed,
since the coatings are more often loaded in shear than in tension.
The mechanism of bonding of plasma-deposited coatings in many
respects is still in dispute.[29]-[31] Mechanical interlocking has been consid-
ered the most important mechanism by most investigators.[30] Grit blasting,
as previously discussed, provides a good surface topography for interlocking,
and it has been shown in several studies[32]-[34] that bond strength increases
with increasing surface roughness in both shear and tensile tests, although it
may diminish again above 250 - 300 x 10-6 inches RMS. It is also interesting
to note that grit blasting significantly increases the total surface area available
for “chemical” bonding. Only a few published reports have taken into account
the detrimental effects of surface embrittlement, peak blunting, and grit
inclusions[26][35][36] when excessive grit blasting is used.
Other mechanisms have been suggested as contributing to the bond
strength including Van der Waals forces, interdiffusion or alloying, epitaxy,
oxide cementation or spinel formation, and surface reaction. There is some
microstructural evidence that when the refractory metals, tungsten or molyb-
denum (with high melting points and heat capacities), are sprayed on steel or
nickel or chromium on aluminum, there is some interdiffusion; i.e., a
644 Deposition Technologies for Films and Coatings

metallurgical bond is formed.[37] Similar results are reported for the so-called
exothermically reacting nickel aluminide coatings.[38] Interdiffusion and/or a
more nebulous “surface reaction” may depend in part on added surface energy
in the substrate due to grit blasting, as evidenced by recrystallization of the
surface.[39]
The bond strength of ceramic coatings is generally attributed to
interlocking, but some degree of spinel formation or similar reaction has been
reported for Al2O3 on steel[23] and Al2 O3/TiO2 on aluminum.[33]
Oxide cementation was cited as important in bonding metals or cermets
to metals[4l][42] in earlier work, but is not considered desirable in modern
practice. In general, oxides on the surface of the substrate or oxidation
occurring during spraying[43] decreases bond strength.
Most of the factors that affect the bond strength of “conventional” plasma
deposited coatings also apply to detonation gun coatings and some HVOF
and “high velocity” plasma coatings, but the situation may be somewhat more
complex. Because of the unusually high velocity of the particles, some,
particularly carbide or oxide, particles are actually driven into the surface of
most metallic substrates. As previously noted, some substrates require no
grit blasting to achieve adequate bonding, since the coating itself roughens the
interface. This embedding/roughening process creates atomically clean
interfaces between the coating and substrate over most of the coating area,
which facilitates chemical bonding and can be likened to the explosive bonding
of sheets of metal. This undoubtedly plays a role in forming the unusually high
bond strengths of such coatings.
A more theoretical approach to the impact of thermal spray particles
on a substrate was undertaken by Houben.[44] His thermodynamic and
mechanical considerations provide, perhaps, some insight into the conver-
sion of the kinetic energy, predicting that coarse grains at high velocity may
explode on impact, the temporary inversion of liquid into an amorphous solid.
He also provides a method to calculate the shock, nonequilibrium, and final
temperature of the material. Only a qualitative discussion of the lateral
spreading or flow of the material is given along with illustration of the flow of
both wetting and nonwetting material. Wetting almost always leads to
cracking of the adhering material, while nonwetting leads to a weak interface.

4.4 Residual Stress

Residual stress has already been discussed to some extent in Secs.


4.1 and 4.2, but a few additional remarks may be in order. It occurs as a result
of cooling individual powder particles or splats from above their melting point
Advanced Thermal Spray Deposition Techniques 645

to the temperature of the part and is usually tensile. The magnitude of the
residual stress is a function of torch parameters, deposition rate, the relative
torch to part surface speed, the thermal properties of both the coating and the
substrate, and the amount of auxiliary cooling used. The use of finer powders
frequently leads to higher residual stresses, but this can generally be
controlled by adjusting the coating parameters. If the part temperature is
allowed to rise above room temperature, there will be a secondary change in
the state of stress of the coating as both the part and the coating cool to room
temperature. Residual stress frequently increases linearly with coating
thickness above some minimal initial thickness.[45]-[47] The rate of increase,
however, is a function of the parameters of deposition already listed and the
coating material.
While the residual stress in most thermal spray coatings is tensile, the
stress in some detonation gun and perhaps a few HVOF coatings is
moderately compressive. This is thought to be due to the relatively high kinetic
energies carried by the impacting powder particles, particularly some of the
cermets. With the extraordinary velocities and kinetic energies of the powder
particles in Super D-Gun deposition, very high compressive stresses can be
developed if it is desirable.
Residual stress may have a significant effect on bond strength, as
already noted, and must be considered when the coating is placed in service,
since it may detract from its inherent mechanical strength. For example,
coatings are frequently in tension as a result of the residual stress, and this
stress must be subtracted from the allowable fracture stress calculated from
mechanical property tests of free-standing specimens. Residual stress is,
however, reproducible and can be controlled with adequate knowledge of the
stress and adequate control of the coating parameters.

4.5 Density

As with most properties of coatings, density is a function of the angle


of deposition and substrate geometry. At high angles of deposition, the
density of detonation gun coatings is greater than 95% of theoretical, usually
greater than 98%. This high density is due, as with other properties, to the
unusually high kinetic energy of the particles on impact. Plasma coatings
have densities varying from less than 80 to 95% of theoretical with some of the
“high velocity” plasma and HVOF coatings being reported with densities
greater than 95%. The density of a plasma sprayed coating is, of course, a
function of the deposition parameters. In addition, it is a function of the powder
646 Deposition Technologies for Films and Coatings

size, as illustrated in Table 11.4[44] for tungsten carbide-cobalt, with finer


powders producing denser coatings. The same effect has been noted in many
other systems, e.g., Ni-Cr-Al,[49][50] and chromium carbide-nickel alumi-
num.[23] It has also been shown that oxidation during deposition can decrease
coating density as shown by comparing the densities (and tensile strength)
of stainless steel and aluminum coatings sprayed in argon with those sprayed
in air, Table 11.5.[51] The combined effects of powder size and oxidation during
deposition are shown for tungsten in Table 11.6.[51] Similar results were found
for nickel.[33]

Table 11.4. Effect of Powder Size on the Structure of Plasma Deposited


Tungsten Carbide

Powder Size
Coarse Medium Fine
Coating Property (10 - 105) (10 - 74) (10 - 44)

Apparent Density (g/cc) 10.5 13.0 14.2


Bulk Density (g/cc) 8.7 11.1 13.0
% Theoretical 60 77 89
Apparent Hardness (Kn5OO) 538 684 741

Table 11.5. Properties of Plasma-Deposited Coatings Sprayed in Argon and


Air

Density Tensile Strength


Coating Atmosphere (%) (psi)

Stainless Steel Argon 91 33,900


Air 84 19,200
Aluminum Argon 86 5,600
Air 76 4,000
Advanced Thermal Spray Deposition Techniques 647

Table 11.6. Properties of Plasma-Deposited Tungsten

Deposition Density Modulus of Average Grain


Powder Size Atmosphere (%) Rupture (psi) Diameter (µ)
200+325 Mesh Argon 90 31,900 3.5
Argon* 70* 21,700 3.5
Air 86 17,000 3.0
400 Mesh + 10 Argon 91 51,000 2.2
Air 85 29,000 1.5
*Intentionally produced with low density.

The porosity in plasma and detonation gun coatings is partially


interconnected and, hence, may have a strong influence on the corrosion rates
of the coatings in some environments. Some detonation gun coatings have
been shown to have sufficiently small pores as to be unimportant in oxidation
in air at high temperatures.[52] Electrochemical corrosion studies have shown
that several Super D-Gun™ coatings are impervious to aqueous media when
more than about 100 microns thick. It should be noted that porosity levels are
frequently inferred from the observation of metallographic specimens, but that
there can be (and usually is) a significant difference between these measure-
ments and the true porosity. Therefore, such measurements should always
be identified as metallographic apparent porosity. True porosity can be more
accurately measured through density measurements, albeit there is some-
times a problem in determining the theoretical density because of a lack of
knowledge of the relative amounts of various phases present, or porosimetry
measurements (gas or mercury). Metallographic apparent porosity can,
nonetheless, be useful for quality control, if reproducible metallographic
techniques are employed.

4.6 Mechanical Properties

The mechanical properties of advanced thermal spray coatings are


sensitive to the angle of deposition, other deposition parameters used, the
substrate, cooling, etc. Therefore, any general tabulation of properties based
only on coating composition would be meaningless. Moreover, most of the
data has been generated on specimens coated under ideal conditions of angle
and standoff while in many service applications both of these variables may be
648 Deposition Technologies for Films and Coatings

less than ideal on part or all of the coated area. Nonetheless, a good deal of
data has been compiled on a wide range of coatings to serve as very useful
guidelines to equipment designers and other users. For purposes of
illustration in subsequent discussion and to provide a general feeling of
comparison with other types of materials, the mechanical properties of a few
specific coatings are listed in Table 11.7. The moduli of elasticity and rupture
and strain-to-failure were measured on free-standing rings of coatings 1 inch
in diameter, 0.5 inch wide and 0.010 inch thick.
The mechanical (as well as other) properties of advanced thermal spray
coatings are anisotropic because of their splat structure and directional
solidification. This anisotropy is probably more pronounced for cermets and
metallic coatings with somewhat oxidized splat boundaries than it is for either
pure ceramic or pure metallic coatings. An example of this anisotropy is given
in Table 11.8.[53] Although most coatings are used with loading normal to the
surface, measurement of mechanical properties normal to the surface is
particularly difficult because of the limited thickness of most coatings and is
seldom done. Properties parallel to the surface are also important, however,
particularly if the substrate expands or contracts thermally or under mechani-
cal loading.
The most frequently quoted mechanical property is hardness. The
hardness of the higher-velocity coatings is generally higher than that of
conventional plasma coatings of the same composition as shown in Table
11.3. This is primarily due to their higher density and greater cohesive
strength. For a plasma coating with a given composition, the hardness
usually increases with an increase in density. Thus, for example, hardness
generally increases with the use of a finer powder, as already shown in Table
11.4. Hardness may be reduced for a given material if the coating is applied
in an inert atmosphere as compared to spraying in air, as has been noted for
WC-Co,[43] for Mo,[54] and for Ti, Nb, and Zr.[55] Although it may increase the
hardness of the coating, excessive oxidation will weaken its internal cohesive
strength and may be detrimental to the coating’s performance.
Hardness is used not only as a guideline for wear resistance, but for the
strength of the coating. In both cases it may be quite misleading. The
measurements of hardness are usually made on metallographic cross-
sections of the surface, even though loading is usually perpendicular to the
surface, and the hardness in the two directions may be different due to the
anisotropic microstructure of the coatings. Hardness measurements made
on test specimens may differ from those on actual parts due to differences
in angle of deposition and stand-off and, in some cases, residual stress.
Table 11.7. Properties of D-Gun and Plasma Coatings

Advanced Thermal Spray Deposition Techniques


649
650 Deposition Technologies for Films and Coatings

Table 11.8. Mechanical Properties of Plasma Cu-2Be* in Compression

Parallel Perpendicular
to Surface to Surface

Elastic Modulus 13 x 106 psi 10 x 106 psi


0.2% Yield Strength 82 x 103 psi 73 x 103 psi
Ultimate Strength** 97 x 103 psi 164 x 103 psi
Strain to Fracture** 3.3% 26%

* Union Carbide, UCAR LCU-3


** Function of specimen geometry

The following is an example of a situation in which hardness, used as


a guide to wear resistance, was the initial criteria for coating selection and too
little weight given to impact resistance or toughness:
Most midspan shrouds on gas turbine engine com-
pressor blades have a detonation gun tungsten carbide-cobalt
coating. In the initial development of this application, the most
wear-resistant grade of tungsten carbide-cobalt with a hard-
ness of 1300 HV300 and nine percent cobalt was tried. This
coating was found to fail, however, not from typical wear, but
because of surface fatigue which resulted in spallation of the
coating. Success was achieved when a more impact-resistant
grade of tungsten carbide with a hardness of 1075 HV300 and
14% cobalt was tried. The greater “toughness” of this coating,
combined with a wear-resistance that is still excellent, solved
the problem.
The modulus of rupture, elastic modulus, and strain-to-fracture in
bending of plasma and detonation gun coatings has been measured more
often than conventional uniaxial tensile and compressive properties. The
former measurements can be made on free-standing rings of coatings as thin
as 0.010 inches. On the other hand, it is often difficult to produce coatings
thick enough for conventional specimens. This difficulty arises from the
thickness limitations of some coatings due to residual stress and the inherent
brittleness of the coatings. Even most metallic coatings have a strain-to-failure
of less than one percent. Some typical values from ring tests in Table 11.7
show that the detonation gun coatings have a higher modulus of rupture than
comparable plasma coatings; compare, for example, the tungsten carbide-
Advanced Thermal Spray Deposition Techniques 651

cobalt coatings. Also note that, as expected, increasing the cobalt content
increases the strain-to-fracture (either measured directly or calculated from
the ratio of modulus of rupture to the elastic modulus).
An example of the use of this kind of test data is as follows:
A plasma chromium oxide coating was specified on
the interior surface of an aluminum hydraulic cylinder in an
aircraft landing gear, because of its earlier success on another
landing gear and cyclic pressure bench testing on prototype
cylinders without pistons. Even though visual examination of
the bench tested cylinders revealed no irregularities, the
coating failed when the complete assembly was placed in
service. The cylinder expansion under pressure clearly ex-
ceeded the strain-to-failure of the coating and it cracked. The
additional stress of the piston caused spalling. Re-examina-
tion of the bench-tested cylinder revealed microscopic cracks.
The designer had not adequately taken into account the
difference in elastic moduli between the coating and the
substrate and the limited strain-to-failure of the coating. Evalu-
ation of data from ring tests indicated both aluminum bronze
and nickel coatings had adequate strain-to-failure. Subse-
quent tests verified this as well as the fact that they had
sufficient wear resistance.
It is obvious, of course, that all the coating process variables and the
resulting microstructures strongly affect the mechanical properties of the
coating. For example, tungsten coatings made with fine powder have a higher
modulus of rupture than those made with coarse powder when both are
protected from oxidation by spraying in an inert atmosphere,[51] as shown in
Table 11.6. Referring to Tables 11.5 and 11.6, it is apparent also that oxidation
during deposition can seriously weaken a coating. In a study of the effect of
oxidation on aluminum bronze, it was found that even minor oxidation during
deposition was detrimental to compressional strength, both parallel and
perpendicular to the surface, Table 11.9.[53] Additions of discrete oxide
particles, on the other hand, not only strengthened the coatings, but added
wear resistance (discussed in Sec. 4.7).
Before leaving the subject of mechanical properties, it might be well to
mention that the properties of the substrate cannot be ignored in considering
a coating application. One of the first considerations is that the substrate must
be able to support the coating without yielding beyond the coating’s strain-to-
failure as shown in the following:
652
Deposition Technologies for Films and Coatings
Table 11.9. Mechanical Properties of Plasma-Deposited Aluminum Bronze (Cu-IOAl)

Compressional Properties
Alumina Vol.% Hardnessa Perpendicular to Surface Parallel to Surface
Type of Deposition Addition Al2 O3 HV 300 E (10 6 psi)b YS (106 psi)b E(10 6 psi) b YS (103 psi)b

Standard No 2.36 246 7.1 58 8.4 62


Oxidizing No 3.20 200 2.9 47 7.0 42
Standard Yes 7.26 170 — — — —
Standard Yes 12.4 202 8.5 73 9.7 89
Standard Yes 21.0 246 — — — —
Standard Yes 40.5 186 — — — —
Oxidizing Yes 10.8 142 7.6 57 10.9 70
Wrought (AMS 4640) — — 252 — — — —

a Hardness perpendicular to surface.


b E is the elastic modulus, YS is the 0.2% yield strength.
Advanced Thermal Spray Deposition Techniques 653

A detonation gun tungsten carbide-cobalt coating has


extended the life, by a factor of ten, of roller guides used in
steel mill pickle lines. In the first trials of this coating, however,
the coating occasionally cracked and spalled because the
substrate yielded under the heavy impact of the steel sheet.
This deformation exceeded the strain-to-failure of the coating.
When a change was made to ensure that all substrates had
a hardness greater than 55HRC no failures were experienced.
In some applications, the coating affects the fatigue life of the
substrate. Some coatings, particularly detonation gun coatings, are so well
bonded that a crack generated in the coating may propagate into the
substrate under sufficient cyclic stress. The results of a number of studies,
especially by airframe and gas turbine engine manufacturers, suggest that
as long as the strain-to-failure of the coating is not exceeded, the coating has
no measurable effect on the fatigue strength of the substrate. More work
needs to be done, however, before the effects of a specific coating on a
given substrate can be predicted without experimental verification. In those
cases where stresses are very high and the component is particularly
susceptible to fatigue, care should be taken to prevent both direct coating
and overspray. For example:
The midspan shrouds or stiffeners used on many titanium
compressor blades must be coated with a detonation gun
tungsten carbide-cobalt coating, as previously mentioned.
The root area of the midspans is extremely sensitive to fatigue
and all coating and overspray must be excluded. This is
successfully achieved by either very careful masking or
directing the coating away from the radii during deposition.

4.7 Wear and Friction

The major use of advanced thermal spray coatings today is for wear
resistance, particularly for adhesive and abrasive wear resistance. Their use
in erosive situations is growing steadily as well, particularly for detonation gun
coatings. No attempt will be made here to tabulate the wear resistance of
coatings or, conversely, to recommend specific coatings for the various types
of wear. To do so, it would be necessary to assume that all coatings of a
given composition are the same (while, in fact, they are a function of the
specific coating device and operating parameters used), and to assume that
all wear situations can be fit into a relatively few, well defined categories
(which is definitely not the case). The situation is far from hopeless, however,
and experienced coatings service engineers or equipment manufacturers
654 Deposition Technologies for Films and Coatings

can, after analysis of a specific situation, usually make reasonably accurate


recommendations of one or two coatings that will solve the problem. Some
of the considerations that are involved are listed in Table 11.10.

Table 11.10. Considerations in Coating Selection for Wear Resistance

I. Wear System
A. Adhesive or Abrasive
1. Type of relative motion—unidirectional, oscillating, impact
2. Surface speed—velocity and frequency, if cyclic
3. Load or impact energy
4. Abrasive particles or wear debris—trapped or removed, size, shape,
and composition
5. Conformability requirements
6. Embeddability requirements
B. Erosive
1. Gas, liquid, or solid particle erosive material
2. Media—gas or liquid
3. Gas or particle velocity and angle of impingement
4. Particle size, shape, mass, and composition
II. Environment
A. Temperature—maximum, minimum, and rate of change
B. Media—gas or liquid
C. Contaminants
D. Corrosive characteristics—chemical, galvanic
E. Lubricant
III. Mating Material
A. Composition
B. Heat-treatment condition
C. Hardness
D. Surface roughness and topology
IV. Substrate Material
A. Composition
B. Heat-treatment condition
C. Dimensional changes after coating
1. During assembly due to press fit, shrink fit, etc.
2. In service due to thermal expansion/contraction or mechanical loading
V. Coating Requirements
A. Cost limitations
B. Required life, time or maximum wear
C. Compositional limitations
D. Thickness limitations
E. Coefficient of friction requirement
F. Surface finish
G. Geometric constraints
H. Overspray limitations
Advanced Thermal Spray Deposition Techniques 655

Although no specific recommendations will be made here, a few


general comments may be in order. Hardness is a useful first approximation
to abrasive and adhesive wear resistance as long as materials of the same
type and general composition are compared. For example, a detonation gun
WC-9Co coating is harder and more wear resistant than detonation gun WC-
15Co which, in turn is more wear resistant than a plasma WC-13Co coating,
Table 11.11. (An example, compressor midspans, has already been cited
as an application where hardness and wear resistance had to be tempered
with toughness for success, however.) Hardness can be misleading, how-
ever, when comparing coatings with wrought materials of the same compo-
sition. For example:
Plasma-deposited aluminum bronze or beryllium copper
coatings are softer than their wrought counterparts. In an
adhesive wear test under boundary lubrication conditions
simulating many bearing applications, the plasma coatings
were far more wear resistant, as shown in Fig. 11.10.[56]

Table 11.11. Wear Tests

LFW-1
450 lb/load in
Dry Rubbing Hydraulic Fluid
Wear Rate vs. Steel for
(10-6 in/1000 5409 rev.
Material ft. of sliding) (10-6 cm3 )

Detonation Gun Tungsten 35 10


Carbide-Cobalt
Plasma Tungsten 80 23
Carbide-Cobalt
52100 Steel (Wrought) 2,000 —
Hard Chrome Electroplate 3,600 44
656 Deposition Technologies for Films and Coatings

Figure 11.10. Alpha block-on-ring wear test of aluminum bronze, wrought (Al-Cu)
and plasma-sprayed (PD Al-Cu), and beryllium copper, wrought (Be-Cu) and
plasma-sprayed (PD Be-Cu) vs. SAE 4640 steel (Rc 60) in hydraulic fluid at 65 ft/
min for 1950 ft.

There are also situations in the comparison of coatings where hard-


ness can be misleading, particularly in adhesive wear with coatings of
somewhat different compositions. For example:
As shown in Table 11.9, the addition of an oxide
dispersion to an aluminum bronze coating slightly reduces its
hardness, yet in an adhesive wear test its wear resistance is
increased significantly, as shown in Fig. 11.11.[57] Similar
Advanced Thermal Spray Deposition Techniques 657

results were obtained with carbide additions. It should be


noted that this increase in wear resistance should not affect
the conformability and embeddability of the basic aluminum
bronze. It is also important to note again that an intentional
oxide dispersion deposited under conditions that do not
significantly oxidize the metal matrix is far superior to a
coating heavily oxidized during deposition, both in wear
resistance and mechanical properties.

Figure 11.11. Alpha block-on-ring wear test of aluminum bronze with Al2O3 addition
(block) vs. SAE 4640 steel (Rc 60) in hydraulic fluid at 65 ft/min for 1950 ft under a
180 lb load. (#) Wrought alloy; (∆) standard plasma; (◊) oxidizing plasma; ($, *)
alumina additions to plasma; (U,X) plasma pure alumina.
658 Deposition Technologies for Films and Coatings

Physical and chemical compatibility of the mating surfaces are, of


course, important in selecting a coating. Laboratory testing can be an
excellent guide in this aspect of selection as long as the other considerations
(temperature, load, lubricant, etc.) are similar to those in service. A few
examples of satisfactory and unsatisfactory combinations are shown in Table
11.12.[45][53]

Table 11.12. Mating Materials Selection for Dry Rubbing Wear

Coefficient
Materials Rating Wear** of Friction

D-Gun WC-CO* vs.:


GA Meehanite Excellent 33 0.08 at Room Temp.
0.11 at 400°F
440 Stainless Good 35 0.34 at Room Temp.
0.25 at 1000°F
Inconel X Poor 562 0.53 at Room Temp.
0.42 at 1000°F
D-Gun WC-CO Good 39 0.46 at Room Temp.
0.33 at 100°F
D-Gun Al2O3 vs.:
Haynes 25 Excellent 16 0.25 at Room Temp.
0.17 at 1400°F
Hastelloy C Good 35 0.32 at Room Temp.
0.10 at 1400 F
D-Gun Al2 O3 Poor 245 0.24 at Room Temp.
0.27 at 1400°F

* Rotating member
** Total system wear, 10-6 in/1000 ft.

In some applications, both surfaces can be coated. Combinations of


very hard coatings such as chromium oxide provide excellent self-mating
characteristics when no conformability or embeddability of either surface is
required. When these are required, a combination such as plasma-deposited
aluminum bronze with an oxide dispersion versus several types of hard
detonation gun coatings offer both mechanical compliance and greatly
increased wear resistance.
Advanced Thermal Spray Deposition Techniques 659

The erosion resistance of conventional plasma deposited coatings is


not very high, probably because of their porosity and relatively low cohesive
strength. Detonation gun and some HVOF and high velocity plasma
coatings, on the other hand, have shown exceptional erosion resistance in
some applications. For example:
Some compressor blades of gas turbine engines may
suffer from severe particle erosion. Tests and service expe-
rience have shown that detonation gun coatings of (tungsten,
titanium) carbide-nickel and tungsten carbide-cobalt coat-
ings significantly increase the lives of the blades. The coat-
ings are only applied to the outer portion of the blades where
the erosion problem is most severe to reduce their cost and
avoid any potential fatigue effects on the blades.
Coatings can be used to adjust the frictional characteristics of a
system whether or not wear is a problem. Thus, for example, coatings might
be used to reduce power losses through frictional heating. Reduction in
frictional heating can also extend the life of mating organic materials. For
example:
A manufacturer of bearing and sealing systems for the
power trains of large ships was experiencing difficulties with
the forward seal for the tailshaft. This seal is located well
inside the ship and is not cooled by the outside water. The
seal is formed by mating a rotating shaft liner with stationary
rubber seals. The liner, made of a special alloy, was suffi-
ciently wear resistant without a coating; however, the heat
generated by friction caused a rapid deterioration of the
rubber seals. The problem was solved by (a) incorporation of
a cooling device for the oil in the system and (b) reducing the
friction at the seal by the adoption of a specially finished
plasma-deposited chrome oxide coating on the rotating shaft
liner. The special finish minimizes contact with the mating
rubber material while still maintaining the necessary seal.
The rubber seal now operates at a lower temperature, and its life
is significantly extended. Needless to say, there is no sacri-
fice in the wear life of the liner with the addition of the coating.
Occasionally it is necessary to prevent self-welding between essen-
tially static components and ensure that the static coefficient of friction will be
low enough to prevent equipment start-up failure. For example:
The sodium-cooled breeder reactor requires that both of
these criteria be met by the load pads on the fuel ducts.
660 Deposition Technologies for Films and Coatings

Uncoated stainless steel, stripped of its oxide film by the


sodium, is self-welding. Extensive testing[14] has shown that
a solution to the problem is a detonation gun coating of
chromium carbide-nichrome.
It is evident from the preceeding that the surface finish of a coated
surface is extremely important. The smoothest finish that can be obtained
on a given coating is a function of its composition and the method of
deposition. However, it should be borne in mind that the lowest coefficients
of friction are not always obtained with the smoothest surface. A nodular
brush finish, for example, provides the best frictional behavior in liquid sodium.
In other applications, specific, intermediate-range coefficients of friction
are used. For example:
Textile machinery components, such as snick plates,
tension gates, and draw rolls are in contact with fast-moving
fibers being processed and are, of course, subject to high
rates of wear. Hard plasma and detonation gun coatings are
used to resist this wear. Equally important, however, the
coating finish must provide rather precise intermediate fric-
tional properties to hold the fiber in constant tension. The
tension control is essential in order to prevent slack or
breakage of the fiber.
High friction forces are required in many types of drive mechanisms.
Coatings can often meet this requirement and provide longer life than most
other solutions. For example:
Many large rolls in sheet steel production rely on high
surface friction to move the steel sheet through the line without
slippage. Others require this gripping action in order to tightly
wrap the steel sheet into non-telescoping coils. Experience
has shown that a detonation gun tungsten carbide-cobalt
coating, used as-coated or slightly roughened by controlled
grit blasting, resists wear, grooving, and gouging 6 to 40 times
longer than the previously used hardened steels or chrome
plate. An additional benefit of the coating is its resistance to
the transfer of material from the steel sheet to the roll, which
is a rather common problem with other materials.

4.8 Corrosion Properties

Obviously the use of a coating in a corrosive environment requires that


the coating itself resist the corrodant, but it should be kept in mind that the
Advanced Thermal Spray Deposition Techniques 661

corrosion resistance of a wrought, cast or sintered composition may change


when deposited by thermal spray. For example, alpha alumina is very
corrosion resistant, but plasma sprayed alumina is a mixture of phases, all
of which are not corrosion resistant.
Virtually all advanced thermal spray coatings have varying degrees of
interconnected porosity that allow attack of the substrate in corrosive
environments. In most cases, at temperatures up to about 350°F, this may
be at least partially overcome by the proper selection and application of a
sealant. For example:
Bronze shaft sleeves running in centrifugal pumps han-
dling saturated brine in a chlorine processing plant were being
rapidly worn beyond tolerance. They ran against asbestos-
filled Teflon™ with no lubrication at 250°F. The solution was
a machineable metallic plasma undercoat to restore the
sleeves to size followed by plasma-deposited chromium
oxide coating that was sealed with epoxy to inhibit substrate
and undercoat corrosion. The coating was ground to a 4 to 6
x 10-6 inches RMS surface. The coated sleeves not only
salvage worn parts, but outlast the original sleeves several
times and reduce downtime.
Galvanic corrosion can occur in some environments, most commonly
salt water, when an improper selection of coating composition is made. For
example:
An aluminum bronze coating on an aluminum substrate
creates a galvanic cell in the presence of an electrolyte. In
aircraft landing gear cylinders with this coating/substrate
combination, galvanic corrosion of the substrate was ob-
served when the hydraulic fluid became contaminated with
salt water. The problem was solved by sealing the coating.
The problem might also have been avoided by selecting a
modified aluminum coating with an electrostatic potential
virtually identical to the substrate.
Recently, several Super D-Gun coatings have been shown to be
effectively impervious to aqueous media when they are more than a few
microns thick. These coatings include both corrosion resistant metal alloys
which can be used singly or as an undercoat to form a corrosion barrier and
tungsten carbide-based coatings which can be used without an undercoat or
sealant in applications requiring both wear and corrosion resistance.
For corrosion resistance at elevated temperatures, plasma-deposited
coatings must be sealed by sintering, sometimes combined with mechanical
662 Deposition Technologies for Films and Coatings

surface treatment. For example: Some gas turbine blades and vanes,
depending on the type of fuel and operating environments, are subject to hot
corrosion. The best solution to this problem at the present time is an MCrAlY
(where M is Ni, Co, and/or Fe) type of coating. (Other elements may also be
present; e.g., Pt, Hf, or Si.) These coatings were first applied commercially
by physical vapor deposition, an expensive method with some elemental
limitations. Plasma deposition offers significant economic advantages and
has no elemental limitations. To be effective, however, the coatings must be
deposited without oxidation and then sealed to prevent rapid internal
oxidation of the coating and oxidation of the substrate. Methods have been
developed to achieve this using inert gas shrouding during deposition and
post-coating heat-treatment and peening to effectively sinter the coating.
Alternative methods using deposition in a low pressure, inert gas chamber
followed by peening and heat-treatment have also been developed. Since
the substrates are superalloys, the coating heat-treatment can be combined
with or precede the alloy heat-treatment and not interfere with the structural
properties of the component.
Detonation gun coatings, because of their high density, often do not
need to be sealed with a high temperature sintering to prevent internal
oxidation or oxidation of the substrate. For example:
For many years detonation gun coatings of chromium
carbide-nickel chromium have been used on the shroud
edges and lacing wire of gas turbine engines to prevent
fretting and impact wear. More recently a new family of cobalt
based alloys with oxide additions has been developed[52] to
provide better performance for more advanced engines.
These coatings do not require heat-treatment to prevent
internal oxidation, although heat-treatment is used to further
improve the already superior bond strength in particularly
severe impact situations.

4.9 Thermal Properties

The thermal properties of coatings are important both during their


formation and in elevated temperature applications. The effects of thermal
contraction from their freezing point during coating formation have already
been mentioned. Conversely, the relative thermal expansion of the coating and
substrate if heating occurs during service is important. As a rough estimate
Advanced Thermal Spray Deposition Techniques 663

of the strain that may be placed on a coating as a result of such heating,


handbook values of coefficients of thermal expansion may be used. Care
must be taken to ensure that the values used are those for the phases
actually present in the coating.
Because of their lamellar microstructure, the thermal conductivity of
coatings is lower than that of solid, fully dense materials of the same
composition. Their absorption characteristics may be very different, because
of their surface topology and, in some cases, slight shifts in composition
(already mentioned for some oxides).
One of the most common uses of coatings for their thermal properties
is as thermal barriers. For purposes of discussion, thermal barriers may be
divided into two categories—relatively thin ones, less than about 0.020 inches
thick, and thicker ones, up to about 0.25 inches thick. The thinner thermal
barriers have been used for years on gas turbine engine combustion chambers
and are currently used to a limited extent for turbine blade and vane airfoil
surfaces, thrust reversers, diesel and combustion engine piston heads and
valves, and many other applications. In addition to having a low thermal
conductivity, these coatings must be resistant to corrosion, thermal shock,
gas erosion and, sometimes, particle erosion. They usually consist of a
metallic undercoat such as nickel-chromium, nickel aluminum, or an MCrAlY
alloy (where M is Ni, Co or Fe) and an outer layer of an oxide, usually zirconia
or magnesium zirconate. Occasionally one or more intermediate layers of
mixtures of metal and oxide or a continuous gradation from pure metal to pure
oxide is used. This approach improves thermal shock resistance, but if the
temperature in service at the first zone of mixed metal and oxide is too high,
the metal will rapidly oxidize (since the oxide layer is permeable to air) and
cause spallation of the outer portion of the coating.[59] The same thing will
happen to the metallic undercoat in a two layer coating if it has inadequate
oxidation resistance because of its composition or because it is too porous.
These thick thermal barriers are being investigated on outer air seals in
advanced gas turbine engines. In this case, the already complex task of
increasing thermal shock resistance without sacrificing oxidation resistance
and erosion resistance is complicated by the need for abradability. Two layer
systems appear to be best for most outer air seal applications. In thick thermal
barrier systems it may be advantageous to use an essentially continuous
gradation from metal to oxide or multiple layers with increasing oxide content
to have adequate thermal shock resistance. As in the case of thin thermal
barriers with intermediate layers, the temperature at which the outermost
664 Deposition Technologies for Films and Coatings

metallic component is exposed must be low enough to prevent any signifi-


cant oxidation of the metal.

4.10 Electrical Characteristics

The microstructure of metallic coatings has an effect on the electrical


conductivity similar to that on the thermal conductivity. Thus the resistance
is higher than that for wrought alloys of the same composition, and it is
somewhat higher perpendicular to the surface than parallel. The conductivity
of coatings deposited with an inert gas shroud or in an inert gas chamber with
very little oxidation during deposition is much higher than conventional
coatings, since the conductivity is particularly sensitive to oxide films in the
splat boundaries.
Coatings are used as both conductors and insulators. The use of oxides
as an insulator is fairly obvious, but the flexibility that this type of coating offers
the designer is often overlooked. For example:
Aluminum oxide coatings applied to the tips of pliers,
screwdrivers, and diagonal cutters for electrical insulation are
especially useful in work on confined electrical circuit installa-
tions. The coating guards against short-circuiting which would
otherwise be possible during accidental contact with adjacent
terminals.
In a steel mill ferrostan tin line, where sheet steel is
tinplated, wringer rolls are used to remove water from the
stock. These rolls are usually rubber coated for electrical
insulation. An insulative aluminum oxide coating instead of
rubber resists the wear and grooving which, in the rubber
coated rolls, eventually allow arcing and subsequent “arc
burns” on the sheet steel.
Coatings are usually used as conductors when the application simul-
taneously requires wear resistance and/or corrosion resistance. For example:
Also operating in steel mill ferrostan tin lines are rollers
designed to conduct electricity to the sheet stock during
plating. Typically, the conductive surface of the roller has
been clad copper. Experience has shown a plasma-depos-
ited tungsten coating to be a better material selection. The
conductivity of the tungsten coating is more than adequate,
and it is far more resistant than copper to wear, grooving, and
gouging.
Advanced Thermal Spray Deposition Techniques 665

5.0 SUMMARY

Thermal spray coating technologies are capable of depositing a very


wide range of compositions without significantly heating the substrate. The
range of surfaces or components which can be coated is, however, limited
by the line-of-sight nature of the processes. The process technology is fairly
mature, but incremental improvements will continue. On the other hand,
substantially improved materials should be expected and new applications,
sometimes in completely new fields, are constantly being developed.
While a few suppliers of coatings have developed and implemented
adequate quality control measures, this is not the norm in the industry. With
proper attention to this issue, to the proper selection of a coating material and
process, and its specification, however, thermal spray coatings can be used
for a wide variety of proposes in virtually every industry, from submarines to
space shuttles and steel mill rolls to computers, solving problems in wear
resistance, corrosion resistance, thermal or electrical resistance or conduc-
tance, radiation reflectance or absorption, etc.

REFERENCES

1. Poorman, R. M., Sargent, H. B., and Lamprey, H., “Method and


Apparatus Utilizing Detonation Waves for Spraying and Other Purposes,"
U.S. Patent 2,714, 563 (August 2, 1955)
2. Gage, R. M., Nestor, O. H., and Yenni, D. M., “Collimated Electric Arc
Powder Deposition Process,” U.S. Patent 3,016,447 (January 9, 1962)
3. Muehlberger, E., “Coating Heat Softened Particles in a Plasma Stream
of Mach 1 to Mach 3 Velocity,” U.S. Patent 3,914,573 (October 21,
1975)
4. Nicoll, A. R., Gruner, H., Prince, R., and Wuest, G., Surf. Eng. 1:59
(1985)
5. Fabel, A. J. and Ingham, H. S., “Plasma Flame-spraying Process
Employing Supersonic Gaseous Streams,” U.S. Patent 3,958,097
(May 18, 1976)
6. Sokol, L. S., McComas, C. C., Hanna, E. M., U.S. Patent 4,256,779
7. Metals Products Div., United Technologies Corp., Lantana, Florida,
1982.
666 Deposition Technologies for Films and Coatings

8. Muehlberger, E. and Kremith, R. “New Sonic and Supersonic 80 kW


Plasma Spray Systems,” presented at Ninth Airlines Plating Forum,
Montreal, Canada (1973)
9. “Selected Coating Properties - The 7M High Energy Plasma System,”
Metco, Inc. (1975)
10. Wallace, F. J., “High-Energy Plasma-Sprayed Tungsten Carbide
Cobalt Development for Turbine Applications,” presented at the 14th
Annual Airline Plating Forum, Tulsa, Oklahoma (25-27 April 1978)
11. Meyer, H., Ber. Dtsch. Keram. Ger. 39(H2):115-124 (1963)
12. Jackson, J. E., “Method for Shielding a Gas Effluent,” U.S. Patent
3,470, 347 (1969)
13. Shanker, S., Koenig, D. E., and Dardi, L. E., J. Metals, 33:13-20 (Oct.,
1981)
14. Gruner, H., Thin Solid Films, 118:409-420 (1984)
15. Kayser, H., Thin Solid Films, 39:243-250 (1976)
16. Price, M. O., Wolfla, T. A., and Tucker, R. C., Jr., Thin Solid Films,
45:309-319 (1977)
17. Fabel, A. J., “Powder Feed Device for Flame Spray Guns,” U.S. Patent
3,976, 332 (24 August 1976)
18. Smart, R. F. and Catherall, J. A., Plasma Spraying, Mills and Boon,
Ltd., London (1972)
19. Crammer, D. E., Bartoe, R. L., and Kramer, J., “Improved Universal
Powder Mass Flow control for Thermal Spray Applications,” presented
at International Conference on Metallurgical Coatings, San Diego, CA.
(March 1987)
20. Wolfla, T. A. and Johnson, R. N., J. Vac. Sci. Technol. 12:777-783
(1975)
21. “Finishing - UCAR Metal and Ceramic Coatings,” Union Carbide Corp.
22. Wilms, V. and Herman, H., Thin Solid films, 39:251-262 (1976)
23. Taylor, T. A., unpublished data.
24. Alperin, H. and Taylor, T. A., unpublished data.
25. Reynolds, H. and Taylor, T. A., unpublished data.
26. Levinstein, M. A., Eisenlohr, A., and Kramer, B. E., “Properties of
Plasma Sprayed Materials,”Weld. J.; Weld. Res. Suppl. 40:8s (1961)
27. Levy, M., Sklover, G. N., and Sellers, D. J., “Adhesion and Thermal
Properties of Refractory Coating-Metal Substrate Systems,” U. S.
Army Materials Research Agency, AMRA TR 66-01 (1968)
Advanced Thermal Spray Deposition Techniques 667

28. Milewski, W., “Sonic Phenomena Occurring During Plasma Spraying


WC+CO Compositions,” presented at the 7th International Metal
Spraying Conference, London (1973)
29. Tucker, R. C., Jr., J. Vac. Sci. Technol. 11:725-734 (1974)
30. Matting, H. A. and Steffens, H. D., Metall.17(6):583 (1963); 17(9):905
(1963)
31. Van Vlack, L. H., “The Metal-ceramic Boundary,” presented at the 1964
Metals/ Materials Congress, Philadelphia, PA., Technical Report No.
P (10-1-64)
32. Grisaffe, S. J., “Analysis of Shear Bond Strength of Plasma-Sprayed
Alumina Coatings on Stainless Steel,” NASA Technical Note, NASA
TN D-3113 (1965)
33. Union carbide Corp., unpublished data.
34. Marchandise, H., “The Plasma Torch and its Applications,” European
Atomic Energy Community,EUR 2439.f (1965)
35. Wolfla, T. A., unpublished data.
36. Leeds, D. H., “Some Observations on the Interface Between Plasma-
Sprayed Tungsten and 1020 Steel,” Defense Documentation Center,
AD-803286 (1966)
37. Kitahara, S. and Hasui, A., J. Vac. Sci. Technol. 11:747-754 (1974)
38. Longo, F. N., Weld. J. 45(2):66s (1966)
39. Matting, H. A. and Steffens, H. D., Metall. 17(12):1213 (1963)
40. Durmann, G. and Longo, F. N., Ceram. Bull. 48(2):221 (1969)
41. Ingham, H. S., Jr., in: Composite Engineering Laminates, (A. G. H.
Dietz, ed.), MIT Press, Cambridge (1966)
42. Ingham, H. S. and Sheepard, A. P., Metco Flame Spray Handbook,
Metco, Inc., Westbury, NY (1965)
43. Okada, M. and Maruo, H., Brit. Weld. J., 15:371 (1968)
44. Houben, J. M., Proc. Conf. on Thermal Spray Coatings, pp. 1-19,
October 1984, Long Beach CA., (F. N. Longs, ed.), American Society
for Metals (1985)
45. Poquette, G. E., Linde Division, Union Carbide Corp., private
communication
46. Yu, S., Sharivker, Poroshk. Metall. 54(6):70 (1967)
47. Marynowski, C. W., Halden, F. A., and Farley, E. P., Electrochem.
Technol.3(3-4):109 (1965)
48. Donovan, M., Brit. Weld. J. 13:490 (1966)
668 Deposition Technologies for Films and Coatings

49. Tucker, R. C., Jr., Linde Division, Union Carbide Corp., private
communication
50. Yenni, D. M., Linde Division, Union Carbide Corp., private
communication
51. Mash, D. R. and Brown, I. M., Met. Eng. Quarterly 18 (1964)
52. Wolfla, T. A. and Tucker, R. C., Jr., “High Temperature Wear Resistant
Coatings,” presented at Int'l Conf. on Metallurgical Coatings, San
Francisco, CA (3-7 April, 1978)
53. Tucker, R. C., Jr. and Bishop, T. N., “The Utilization of Plasma and
Detonation Gun Coatings in Design,” presented to AIME Symp. on
Interaction of Design and Materials II (1973)
54. Elyutin, V. P., et al., Svar. Proizvod, 6:72 (1969)
55. Muller, K. N., “Structure and Properties of Arc-Sprayed Titanium
Coatings,” presented at the 7th Int’l Metal Spraying Conf. (1973)
56. Tucker, R. C., Jr., and P. W. Traub, “Wear Behavior of Wrought and
Plasma-Deposited Aluminum Bronze and Beryllium Copper,” presented
to the Metallurgical Soc. of AIME (1971)
57. Tucker, R. C., Jr., “Wear Characteristics of Modified Plasma-Deposited
Aluminum Bronze,” presented to the Am. Soc. for Testing and Materials
Symp. on Erosion, Wear and Interfaces with Corrosion (1973)
58. Taylor, T. A., Overs, M. P., Gill, B. J., and Tucker, R. C., Jr.,J. Vac. Sci.
Technol. A3:2526-2531 (Nov/Dec 1985)
59. Tucker, R. C., Jr., Taylor, T. A., Weatherly, M. H., “Plasma Deposited
MCrAlY Airfoil and Zirconia/MCrAlY Thermal Barrier Coatings,” presented
at the Third Conf. on Gas Turbine Materials in a Marine Environment,
Bath, England (20-23 September 1976)

A substantial amount of research and development of thermal spray


coatings has occurred since the first edition of this book. No attempt has been
made here to provide an extensive list of references to such work. The
interested reader, however, may wish to refer to the Proceedings of the
International Conference on Metallurgical Coatings, published by Elsevier
Sequoia; and theJournal of the Thermal Spray Technology published by ASM
International.
12

Non-Elemental Characterization of
Films and Coatings

Donald M. Mattox

1.0 INTRODUCTION

A coating may be defined as a near-surface region having properties


differing from the bulk of the material which is prepared by adding a material
to the surface (overlay coating). A modified surface is a near-surface region
whose properties differ from the bulk of the material and which is formed from
the bulk material by changing the composition, phase, or properties; the
substrate material is detectable in this region. Generally a modified surface
is also referred to as a coating.
These definitions imply no thickness limitation but usually involve a
functional or property difference between the coating and substrate. Thus a
coating allows the dissociation of the surface properties from the bulk
properties and allows engineering, fabrication, and design flexibility which can
be obtained by separating the surface properties from the structural require-
ments.
Disadvantages of coatings are associated with:
1. Presence of an interface and the need for adhesion
2. A sharp discontinuity in material properties at the interface
3. Need for fabrication methods, some of which are expensive
4. Need for process control for a reproducible product
5. Properties of the coating material may differ significantly from
the material in bulk form and the properties may be very
process dependent

669
670 Deposition Technologies for Films and Coatings

Films are thin coatings, and in some instances the film properties are
influenced by the substrate properties. In this chapter, a film is defined as a
coating with a thickness less than 1 micron (103 nanometers or 40 micro-
inches).
Films and coatings may be fabricated in a variety of compositional,
morphological, and microstructural configurations. These include:
1. Monolithic—one composition throughout
2. Alloyed or mixed and not reacted
3. Compound
4. Graded composition
5. Layered structures—few to many, alternating
6. Composite (dispersed phases)
7. Dispersed impurities—possibly to greater than solubility
limits
8. Special configuration, e.g., fine line metallization
9. On surfaces with properties that influence the film properties,
e.g., roughness, hardness
Films, coatings, and modified surfaces are often unique materials with
properties that differ from those normally encountered in the same materials
prepared in other ways, and these unique characteristics should be consid-
ered when making property, stability/degradation or compositional measure-
ments. In many instances, these unique properties are derived from the
fabrication techniques and parameters as well as the limited size and
thicknesses that are encountered in film structures. Unique conditions,
characteristics, and properties of films and coatings include:
1. Substrate influence on properties
2. Presence of the interface and interfacial (interphase)material
3. Graded composition and properties with thickness
4. Dispersed impurities
5. Non-stoichiometric compositions
6. Unique microstructures (bulk, surface), e.g., columnar
morphology
7. High surface/volume ratio
8. Local property variations, e.g., pinholes, nodules
9. Non-equilibrium conditions (defects, stress, crystallographic
phase, structures, composition, impurities, etc.)
Non-Elemental Characterization of Films and Coatings 671

2.0 CHARACTERIZATION

There are many reasons to characterize a film or coating. These include:


1. In development: determining the effect of processing variables
on properties of the material (process sensitivity). Determining
degradation modes.
2. Determining functionality and establishing performance limits
for a specific application.
3. Establishing product acceptance specifications (functionality,
stability).
4. Establishing a baseline for satisfactory composition, structure,
or performance so that subsequent materials may be
compared to this “standard.”
5. Monitoring reproducibility of processing.
6. Determining the stability of the material under service and
degradation conditions.
7. Assisting in failure analysis.
8. Avoiding surprises.
Note: characterization is essentially meaningless unless the formation
conditions are reproducible. This means that the process must be reproduc-
ible and this is generally insured by using process controls and specifications.
In many cases, property measurements are used to establish process-
ing reproducibility. For instance, in the deposition of a metallization film, one
might make:
1. A thickness measurement to insure that the right amount of
material has been deposited and that the deposition conditions
(contamination in a plasma when sputtering, for instance)
have not changed when using the specified deposition
parameters
2. An adhesion measurement to insure that the surface
preparation was adequate and that the surface was not
recontaminated during processing
3. An electrical resistivity (or resistance) measurement to
insure functionality of the material
672 Deposition Technologies for Films and Coatings

4. Environmental aging to insure stability of adhesion and


electrical resistivity during subsequent processing, storage,
and service
5. Pinhole density measurements to insure that the likelihood
of developing “opens” in patterned metallization is small
Characterization may be categorized as: (i) absolute, (ii) relative, (iii)
functional,(iv) behavioral, and (v) stability.
Absolute characterization means obtaining a specific value such as:(i)
specific elemental composition (weight percent),(ii) resistivity (ohm-centime-
ters), (iii) geometrical thickness (microns, angstroms), (iv) density (grams/
cm3), etc. In order to get absolute values it is often necessary to use accurate
measuring techniques and to compare the measured values to standards for
the parameter of interest.
Relative characterization means a comparison to an acceptable value (or
known variation thereto) such as: an Auger peak height, x-ray fluorescence
intensity, color, relative hardness, etc. Often precise, but not necessarily
accurate, measurement techniques are used. Relative evaluations are
generally more easily obtained and are less costly than are absolute values.
Functional characterization relates to the final use of the material and
include such properties as: adhesion, electrical resistivity, hardness, wear
behavior, optical absorption, etc.
Behavioral properties are not directly related to functionality but are a
function of processing. These properties may be important in use or to indicate
possible changes in film properties. An example is adsorption of gases or
contaminants.
Stability properties refer to the property changes in the product during
subsequent processing, handling/storage, and service. Stability measure-
ments are usually done as a function of environment (temperature, chemical
species, fatigue, etc.). These environments must be carefully defined and
specified.
Properties may be general, such as film thickness, or may vary locally
such as the presence of pinholes, nodules in the film, or small areas of high
film stress. The general properties may not be uniform over a large surface area
or may not be constant from one area to another on the deposition fixtures
(position equivalency). Often variations may be due to substrate conditions,
deposition parameters, etc. This means that some care must be taken in
selecting the samples (or areas) to be characterized and the sampling
statistics must take into consideration the possibility of such variations.
Non-Elemental Characterization of Films and Coatings 673

The importance of the property also determines the type of statistics


used in property measurements. For example, one may measure the mean-
time-to-failure of a conductor due to electromigration, but since one failure can
cause failure of a circuit, it may be more important to know the time-to-first-
failure for reliability calculations. It is often helpful (or necessary) to interact
with a statistician in order to develop a meaningful statistical evaluation
program.
In some cases, special substrates (witness plates or monitor plates)
may be used to give properties or conditions that are not generally available
on the product to be used. Examples are: (i) the use of thin substrates that
can be deformed by film stress, and(ii)smooth surfaces that may be masked
to give “steps” for stylus or interferometric thickness measurements. In some
measurements such as those used for adhesion tests or stress measure-
ments, it is very important that the witness plates be of the same material as
the substrates and processed in the same manner. In cases where different
materials, surface conditions (e.g., smooth vs. rough) or different processing
(e.g., cleaning) is used for the witness plates, the effects of these differences
on the measured parameters must be known.
Some film properties may be measured during the deposition process (in
situ) and may be used to control the deposition process. This may be called
in situ characterization and includes such measurements as:
1. Mass deposited (using deposition rate monitors, weight gain
measurements)
2. Optical transmission, reflectance, and extinction (used with
optical coating processes)
3. Film resistivity (using masks and conductor patterns)
Upon opening a deposition system, some characteristics may be
determined before the parts are removed from their fixtures. These character-
istics may be called the first check characterization and include:
1. Uniformity of appearance and color over the deposition
fixture, i.e., from sample-to-sample or over a large area.
2. Color (e.g., TiN[1]) and reflectivity—is it like other deposition
runs?
3. Optical texturing—when viewed from different angles does
the reflectance look different from different areas? This is an
indication of morphological variation.
If there are a number of samples in the run, or if the area is large, one
should determine if all the positions in the deposition system are equivalent
674 Deposition Technologies for Films and Coatings

(i.e., position equivalency). It may be helpful to identify each sample and its
position in the fixture for future reference—variations in properties may be
position dependent for reasons such as: angle-of-incidence of the depositing
flux, plasma density variations, heating variations, presence of nearby virtual
leaks, etc.
After the samples have been removed from the fixturing they may be
subjected to further testing. Simple and easy tests may be used to determine
functionality and relative comparison. These simple tests include: (i) adhe-
sion,(ii) residual stress,(iii) reflectivity,(iv) electrical resistivity,(v) thickness,
(vi) optical transmission of films on optically transparent substrates for
thickness or pinholes, (vii) some types of elemental composition, (viii)
chemical etch rate, and(ix) oblique lighting to see bumps on smooth surfaces.
Often these simple tests can give the first indication of problems in processing
or functionality. In many cases one characterization technique will give results
that depend on several properties of the material. For example: a chemical
etch rate test will depend on film density, pinholes, surface area, thickness,
and chemical composition.
After the films have been exposed to the ambient, do they change with
time? Changes may be evident in color, adhesion, chemical composition of
the surface, wetting angle, or bondability.
After the simple and easy tests, the films may be subjected to more
complex and comprehensive tests which generally take a much longer time
and require special techniques and configurations.
In many cases, the functionality of the system must be determined in
context of the intended use of the film. The best test is the operational life-
test where the film is used as it would be in service and samples are tested
periodically to determine any degradation. Since this means a long test
period, it is often desirable to used accelerated life-tests where the degrada-
tion mechanisms are accelerated by increasing the temperature (corrosion,
diffusion processes), chemical concentration (corrosion), cyclic rate (fatigue
failures), etc. A comparison between the accelerated tests and the opera-
tional tests gives an acceleration factor. A major concern in accelerated life
tests is to be sure the right degradation mechanisms are being accelerated.
Most often, both types of tests (operational and accelerated) are run, and in
addition, control samples (archival or shelf samples) are kept in pristine
condition so that operational or accelerated aged samples can be compared
to the original materials. All of this assumes that the samples were
reproducible when fabricated.
Non-Elemental Characterization of Films and Coatings 675

Many characterization techniques require destruction of the sample.


Examples are: many adhesion tests, some compositional profiling tech-
niques, mechanical properties testing. In some cases, evaluations may be
made by non-destructive evaluation (NDE) tests and the tested sample can be
used for further processing. Examples are: electrical resistivity (four-point
probe resistivity), adhesion (tensile pull to value, “Mattox bad breath test”), and
composition (x-ray fluorescence).
Characterization may be at all levels of sophistication and expense. In
this chapter, we discuss some of the most common characterization
techniques, but before a characterization strategy is developed, the following
questions should be asked.
• Most important—is the processing and product reproduc-
ible?
• How will the information be used?
• How varible is the product from lot-to-lot, and from various
positions in the deposition system?
• Are the statistics correct? Should a statistician be con-
sulted?
• In development work: are the experiments properly designed
to give the information needed to establish limits on the
processing variables and the product properties?
• What is important? Who determines what is important and
the acceptable limits?
• How quickly is the information (feedback) needed?
• Who will do the characterization? Are the right questions
asked, and is the necessary background information pro-
vided?
• Does the testing program include subsequent processing,
operational, and environmental considerations?
• Is needless characterization being done, or can simpler and
less expensive characterization methods be used?
• Can the characterization be done effectively in the neces-
sary environment (development, production, quality assur-
ance) and by the required workers (Ph.D.'s, hourly work-
ers)?
• How will the specifications for the characterization methods
be written?
Specifications must be written for characterizations that must be done
repeatability. Methods of characterizing the sample should be carefully
676 Deposition Technologies for Films and Coatings

specified. Often professional organizations have specifications and standards


for determining specific properties, tests, procedures, or processing.[2] These
specifications and standards may be classed as:(i) industrial(ii) military, and
(iii) international.
Some of the organizations that have specifications and standards are:
Mil. Specs - Military Specifications
ISO - International Standards Organization
ASTM - American Society for Testing and Materials
ANSI - American National Standards Institute
API - American Petroleum Institute
ASME - American Society of Mechanical Engineers
ASQC - American Society for Quality Control
AWS - American Welding Society
AVS - American Vacuum Society (recommended practices)
EIA - Electronic Industries Association
IEEE - Institute of Electrical and Electronic Engineers
IES - Illuminating Engineering Society
ISA - Instrument Society of America
NEMA - National Electrical Manufacturers Association
SAE - Society of Automotive Engineers
UL - Underwriters Laboratories
NBS - National Bureau of Standards
IPC - Institute for Interconnecting and Packaging Electronic
Circuits
Others
There are several ways of retrieving the standards and specifications.
One is the VSMF microfilm system with a subject index and microfilmed
standards and specifications. ASTM (American Society for Testing and
Materials) has a series of publications of their standards.
In many cases, published standards and specifications have to be
modified for a specific application.
Non-Elemental Characterization of Films and Coatings 677

3.0 FILM FORMATION

In order to understand how some of the unique film properties come


about, we need to understand how the film fabrication is performed. Other
chapters in this volume treat the subject of film fabrication methods. In
addition, we need to understand how a film or coating is formed with a specific
fabrication process. For example: let us summarize how atomistically
deposited films are formed.
The stages of atomistically deposited film formation are:[3] (i) surface
preparation, (ii) condensation and nucleation of the adatoms, (iii) interface
formation, (iv) film growth and, in some cases(v) post-deposition treatments.
The characteristics of these stages may be very dependent on processing
parameters. For example: (i) substrate heating is normally a very important
process variable,(ii) angle-of-incidence of the depositing material flux may be
important in developing the film morphology, and (iii) concurrent energetic
particle bombardment during deposition may be used to modify all stages of
film growth.[4][5]
Surface preparation may be defined as the treatment of a surface in order
to obtain satisfactory processing, function, or stability.[6] Surface preparation
may be in the form of: (i) cleaning, (ii) modification of surface chemistry, (iii)
modification of the physical or morphological properties of the surface, (iv)
formation of nucleation sites or addition of nucleating agents (sensitization),
and (v) activation of the surface to make it more chemically reactive.
When adatoms impinge on a surface they may have a degree of mobility
on the surface before they nucleate and condense.[5][7][8] The nucleation
density of adatoms on a substrate surface (and mode of growth) determines
the interfacial contact area and the development of interfacial voids—generally
a high nucleation density is desirable for good film adhesion. The nucleation
density depends on the kinetic energy and surface mobility of the adatoms,
chemical reaction, and diffusion of the adatoms with the surface, adsorbed
surface species,[9] and the nucleation sites available.[10]
The nucleation stage of film formation may be studied by: (i) Transmis-
sion Electron Microscopy (TEM), (ii) Scanning Tunneling Microscopy
(STM),[11] (iii) electrical conductivity and temperature coefficient of electrical
conductivity (conductors on insulating substrates), (iv) optical transmission
as a function of mass deposited (film on transparent substrate), (v)
678 Deposition Technologies for Films and Coatings

extinction of the XPS (x-ray photoelectron spectroscopy) signal from the


substrate as a function of deposited mass, or(vi) changes in the work function
with deposited mass.
Interface formation will begin during nucleation of the adatoms on the
surface and may proceed throughout the deposition process and even during
post-deposition processing, subsequent processing, and in-service usage,
depending on conditions.
The interfacial types may be categorized as:[3] (i) abrupt,(ii) mechanical,
(iii) diffusion,(iv) compound, and(v) pseudodiffusion. The abrupt interface is
formed when there is no diffusion and thus the interface is a sharp transition
from one material to another in the space of a lattice parameter (e.g., Au on
NaCl). In this case the gradient of materials properties is large. Due to the
lack of reaction and the method of film growth, interfacial voids may be formed
at the abrupt interface giving poor adhesion. The mechanical interface is an
abrupt interface with mechanical interlocking. This type of interface may
provide good adhesion if the surface roughness is “filled-in” and interfacial voids
are avoided.
The diffusion type interface is formed when there is interdiffusion of the
film and substrate materials. A problem with this type of interface may be the
development of voids in the interfacial(interphase)material if the diffusion rates
of the materials are different (Kirkendall voids).[12] In the compound interface,
diffusion is accompanied by reaction to form a compound material. The
interphase material formed may be brittle, have Kirkendall voids, and develop
microcracks due to the stresses developed in forming the compound mate-
rial[13]—all of which reduce the fracture strength of the interface region and
hence lower the film adhesion.[14] The pseudodiffusion type of interface may
be formed under low-temperature deposition conditions or when the materials
are insoluble, by physically mixing the depositing materials during multilayer
film deposition, or by implantation or recoil implantation of atoms into the
substrate surface. Figure 12.1 schematically depicts the types of interfaces
and problems that can be associated with each type of interface.
Heating during deposition may enhance diffusion of chemical reactions
of the depositing atoms with the surface. Energetic particle bombardment
may alter the interface formation by affecting the nucleation processes
(cleaning, changes in surface chemistry, nucleation sites), by increasing the
contact area, decreasing the interfacial voids, generating surface defects,
enhancing chemical reaction, and by providing a high thermal input into the
surface region.
Non-Elemental Characterization of Films and Coatings 679

Figure 12.1. Types of interfaces and problems that can be associated with each
type of interace.

Generally interfaces and interphase materials are difficult to characterize


because of their small extent and the dependence of the materials properties
on interfacial flaws and the properties of the surrounding materials. Interfaces
may be studied by TEM techniques. Fracture-related studies may also be
informative.
Film growth occurs by nucleation on a “like-material” and the same
considerations as for nucleation on a foreign surface apply. In addition, larger-
scale effects must be considered. In particular, at low deposition tempera-
tures, geometrical effects may lead to the development of a columnar growth
morphology[15] that often leads to undesirable film properties such as
microporosity, low film density, high chemical etch rates, contamination
retention and others. The addition of energetic particle bombardment
during deposition can change the growth morphology giving a more dense
film.[16]-[20]
680 Deposition Technologies for Films and Coatings

For reactive film deposition processes, two general cases exist. In the
first case, there is a condensible species and a gaseous reactive species
(e.g., Ti + N). In the second case, both species are condensible and reactive
under the proper conditions but may only form a mixture under other conditions
(e.g., Ti + C). In reactive film deposition processes, the rate and degree of
reaction is dependent on the chemical reactivity of the reactive species, the
temperature, the extent of the reaction, and the availability of the reactive
species to the depositing species which, in turn, may be very dependent on
system geometry and relative surface areas.[21]
When a reactive species is present, concurrent energetic particle
bombardment enhances chemical reactions. The nature of this enhance-
ment is poorly understood since heating, physical collisions, molecular
fragmentation, intermediate species, and the presence of energetic electrons
(secondary electrons) may each play a role in the chemistry of the reaction.
The existence of bombardment-enhanced chemical reactions iswell estab-
lished in etching studies where the reaction products are volatile[22][23] and
bombardment effects are found in reactive film deposition processes where the
reaction products are non-volatile (reactive deposition).[24]
In the condensation of atoms, there is developed a residual lattice strain
which is usually evident as a residual tensile stress in the film. Where there
is concurrent bombardment during deposition, this strain may be compressive
in nature due to theatomic peening (stuffing) of atoms into the lattice by recoil
implantation. These growth stresses are very important to some film
properties such as adhesion and stability.[14][25][26]
It should be realized that very few surfaces are chemically and physically
homogeneous. Inhomogeneity in the substrate surface leads to variations in
film nucleation, growth, and properties. Processing which leads to greater
surface and growth homogeneity will lead to greater film homogeneity.
Substrate morphology, surface chemistry, and physical properties may
have a important affect on film growth and thus on the subsequent film
properties. Substrate specification and characterization are important parts
of process development and production reproducibility. Specifications for the
in-coming substrate material and the surface preparation must be included as
part of the process development.
Post-deposition processing may be used to change the film or interface
properties. Such processing includes: (i) burnishing or shot peening (soft
metal films), (ii) rapid thermal processing,[27] (iii) annealing, (iv) ion beam
mixing.[28]
Non-Elemental Characterization of Films and Coatings 681

4.0 ELEMENTAL AND STRUCTURAL ANALYSIS

Some elemental and structural analysis techniques are covered in other


chapters of this book (others are not, see Ref. 29) but since they are closely
tied to the non-elemental characterization techniques that are covered in this
chapter, a few points about this type of characterization from that point of view
are included here.
Elemental and structural analysis is typically done by someone separate
from the processing activity. Often the analysts are very parochial, so careful
consideration is required to determine which analytical technique is best
suited to the question/problem at hand. At the least, several people and
techniques and several sources of information may be required to make a
decision. It may be necessary to work with the analyst to develop a program
which will answer the questions that need to be addressed.
Each analytical person/technique must be given the background neces-
sary obtain the needed information. If the person using the scanning electron
microscope looks for unusual features and takes pictures of strange things on
the surface, a very distorted view of the product will emerge. If an Auger
analysis of a sample that was carried in a week ago doesn’t have carbon on
the surface, then the Auger system is not working right. If hydrogen in the film
ia a concern, then Auger analysis is not appropriate; Nuclear Reaction
Analysis is needed. Many analytical techniques are very dependent on the
sample preparation. Generally, one must determine if the findings of elemental
and structural analysis are important or not. The product or process engineer
must work with the analyst to obtain meaningful results.
In compositional analysis there is a big difference in time and effort
between detection with relative values and absolute numbers. Usually, to get
absolute values, it is necessary to obtain or make standards for comparison
and this may be very difficult. Often it is just as informative to get relative
values. It is also very important to have archival samples with which to compare
the analytical results.
Surface analysts take great pride in getting the highest resolution
possible, but often variations (e.g., pinholes) in a large area and high resolution
of a small area (such as a SEM analysis) is not appropriate, and other
characterization techniques should be used.
Elemental and structural analysis is a great way to run up characteriza-
tion costs. Typically the turn-around time and feedback times are long.
682 Deposition Technologies for Films and Coatings

Pretty pictures of microstructures and absolute numbers from compositional


analysis may not be necessary but they might look good in a report or paper.

5.0 SOME PROPERTY MEASUREMENTS

Many different property measurements may be made on a film or coating.


In many cases, the property measurements are highly specific. This part of
the chapter will concentrate on a few of the most common property measure-
ments.

5.1 Adhesion

Good adhesion, as defined by the fabrication, testing, and service


conditions, is a fundamental requirement of any film-substrate system. Good
adhesion is determined by a large number of factors, many of which are difficult
to control without careful processing. Process development is often done in
an empirical manner, aided by some basic considerations of the factors most
likely to give good adhesion and properties which are detrimental to good
adhesion. From these considerations one can decide what must be done to
obtain good adhesion and the proper procedures for testing the adhesion
The American Society for Testing and Materials definesadhesion as the
“condition in which two surfaces are held together either by valence forces or
by mechanical anchoring or by both together,” (ASTM Definition D 907 - 70).[30]
In engineering applications, adhesion is the physical strength of an interface
between regions of a material system. Such interfaces are found in grain
boundaries, solids in contact (friction, wear), and in film-substrate systems.
Adhesion failure is the separation of the materials at or near the interface over
a large area, usually under stress. Adhesion failure is the end result of fracture
and/or deformation of material and may depend on the properties of the
substrate material near the interface(nearby material), the interphase material
or the film material.
Adhesion strength is an irreversible macroscopic property of the system
and is amenable to specification and testing. “Good adhesion” is when the
interfacial region (or nearby material) does not fail under service conditions nor
at unacceptably low stress levels under fabrication and test conditions.[3]
Non-Elemental Characterization of Films and Coatings 683

The mechanical properties of the interfacial (interphase) material are


crucial to good adhesion. This interfacial material may have a composition,
microstructure, and properties which differ from either of the bulk materials. An
interface may be sharp or diffuse, rough or smooth.
The formation or presence of the interfacial region may affect the
composition, stress, or microstructure of the nearby material (film or sub-
strate) which may be weakened by a number of processes such as diffusion
or flaw formation.
The stresses which may cause adhesion failure include: mechanical
(tensile, shear, compressive, shock, fatigue), chemical (corrosion, solution)
and thermal/time (diffusion, reaction). Internal (residual) film stresses may
contribute to the failure.[3]
The principal methods of attaining adhesion are by: surface energy
reduction,[32]-[34] high fracture energy of the interfacial region,[3][14] or the use
of bonding agents to provide a “new” surface.
The deposition process and process variables may have an important
bearing on the resultant adhesion by changing the nucleation, growth and
properties of the deposit. Energetic processes, such as high temperature or
high particle kinetic energy processes,[35] promote the formation of diffusion,
compound, or pseudodiffusion type interfaces. High temperature processing
imposes constraints such as matching the coefficient of expansion of the
deposited material to the substrate materials so that thermally-induced
residual stresses are not produced during cool-down.
Post-deposition treatments may be used to increase adhesion. These
treatments include: heating, ion mixing (e.g., see Refs. 28, 36) and the
diffusion of reactive species to the interface.[37][38] Heating of the film-
substrate couple allows stress relief in some systems.[39][40] Even time alone
under ambient conditions can give changes in the adhesion. This may occur
by allowing stress relief (grain growth) and diffusion of species to the interface.
For example, plasma cleaning of glass surfaces prior to silver deposition has
been shown to give a time-dependent improvement in the adhesion of the silver
films after deposition.[41] Of course some post-deposition treatments, such
as may occur during subsequent processing, can cause loss of adhesion.
The loss of adhesion under mechanical stress (tensile, compressive,
shear) occurs by deformation and fracture of material at or near the
interface. The fracture mode (brittle or ductile) depends on the properties
of the material and the presence of flaws which may create easy fracture
684 Deposition Technologies for Films and Coatings

paths and can act as stress concentrators to initiate and propagate the
fracture. Another important factor in fracture propagation is the presence of
stress and stress gradients in the material. These stresses may enhance
fracture propagation (tensile stress) or retard fracture propagation (compres-
sive stress), to some degree. The stress may be intrinsic to the system—
arising from the deposition process, or may be extrinsic—arising from applied
stresses. In either case, the nature of the stresses which appear at the
interface depend on the properties of the materials involved.
Localized regions of high intrinsic stress may be found in films due to
growth discontinuities or defects such as pinholes[42] or nodules, or near
features such as edges. These stressed areas may lead to localized adhesion
failure under applied stress.
The fracture path is determined by the properties of the film and substrate
materials, the presence and distribution of flaws, stress distribution, and the
presence of features which may blunt or change the fracture propagation
direction. Conceptually, the energy needed to propagate the fracture and
create the new surfaces can be measured and this fracture energy would be
a good measure of the adhesion of the system.[43][44] Energy may be
absorbed by:(i) plastic deformation (slip, atom motion),(ii) elastic
deformation (heat),(iii)generation of free surfaces.
The fracture of a brittle material is often accompanied by acoustic
emission which results from the release of energy. This acoustic emission has
both an energy and a frequency spectrum.[46] In one method of the detection
of adhesion failure by acoustic emission, the coated surface is scratched by
a rounded diamond point and the load on the point is increased while
monitoring the acoustic emission using a piezoelectric accelerometer. This
mode of detection is often more sensitive than the normally-used optical
detection techniques
The fracture of an insulator interface is often accompanied by the
emission of electrons, photons and/or ions (fractoemission). This
fractoemission is probably due to microdischarges resulting from charge
separation during fracture.[46]
Adhesion is determined by the nature of the stresses that appear at the
interface and the fracture energy needed to propagate a fracture. Good
adhesion is promoted by: high fracture toughness of the materials, low
concentration of flaws, non-planar defects, presence of fracture blunting
features, interfacial roughness that necessitates the change of direction of a
propagating fracture, low stresses and stress gradients, and the absence of
operational degradation mechanisms.
Non-Elemental Characterization of Films and Coatings 685

Poor adhesion may be attributable to: low degree of chemical bonding (as
evidenced by a low nucleation density), poor interfacial contact , low fracture
toughness (brittle materials, flaws, stresses), high residual film stresses, and
operational degradation mechanisms. Poor adhesion may be localized,
giving local failure on stress (pinholes, nodules, spallation).
Loss of adhesion may also occur due to non-mechanical stresses such
as: corrosion or solution of interfacial material, generation of flaws, diffusion to
or away from the interface of species which can influence adhesion, precipi-
tation of diffusing species (e.g., H, He) at the interface, or static fatigue
processes that propagate existing flaws in brittle materials. These degrada-
tion processes are often time, temperature, and environment dependent.
An example of the loss of adhesion due to corrosion effects is the
degradation of some Ti-Au metallizations in an HCl environment.[47] This
electrochemical degradation may be eliminated by the addition of a thin
intermediate layer of palladium between the titanium and the gold.
An example of the loss of adhesion due to diffusion is the diffusion of
chromium from the interface of an oxide-Cr-Au metallization through the gold
to the surface on heating to >200°C in air. At the surface, the chromium
oxidizes and creates a non-bondable surface and the loss of chromium at the
interface results in loss of adhesion. This out-diffusion of the interfacial
material is dependent on the composition of the gaseous ambient, and a non-
oxidizing ambient reduces the diffusion.[48] The addition of a small amount of
oxygen in the chromium and/or the gold during deposition reduces the
chromium diffusion rate and gives a more thermally stable metallization.[49]
The adhesion of the Ti-Au metallization can be degraded by the diffusion of Ti
to the surface and by chlorine impurities in the film material (chemically-
induced segregation).[50]
The diffusion of hydrogen through a film to an interface where it
precipitates has been used by the electroplating community as an adhesion
test.[51] Gases incorporated into a surface or film during surface preparation
or film deposition may diffuse to the interface on heating, giving a loss of
adhesion.
Diffusion of water vapor through a polymer film to the interface can lead
to the degradation of metal-polymer adhesion.[53] Interfacial mixing can
improve the moisture degradation properties of polymer-metal film
systems.[53]
686 Deposition Technologies for Films and Coatings

Film properties may influence the apparent adhesion of a film-substrate


couple. The deformation, microstructural, and morphological properties of the
film material determine the ability of the material to transmit mechanical stress
and to sustain residual stresses.
The objective of adhesion testing is to duplicate the stresses to which the
interface will be subjected in subsequent processing, testing, and service.
Adhesion testing is used to monitor process and product reproducibility. A
part of the adhesion testing program should include possible time, environ-
ment, or stress dependent degradation mechanisms. Generally adhesion
tests subject rather large areas to stresses and often do not detect localized
areas of poor adhesion. The use of acoustic emission with some adhesion
tests may give an indication of the onset of failure. Adhesion tests are
generally very difficult to analyze and are most often used as comparative tests
in product acceptance specifications. The best test of adhesion is function-
ality under service conditions!
Typically adhesion testing is done by lot sampling on product or witness
samples that are characteristic of the product. It should be remembered that
the properties of the substrate material and surface preparation procedures
may have an important effect on the measured adhesion, so the witness
sample material and its preparation should be carefully controlled.
Stressing a film to test for adhesion may result in other failure modes
such as cracking of the film, even though the film does not separate from the
surface.[54]
Methods of accelerating the degradation modes for accelerated adhe-
sion testing should reflect the same degradation modes as are to be found in
service. Acceleration may be accomplished by increased temperature,
mechanical fatigue, thermal fatigue, concentrated chemical environment, or
by the introduction of interfacial flaws by some technique.
Non-destructive adhesion testing techniques would be highly desirable
but are of limited availability at the present time. Possibly thermal-wave
techniques, which have been used to monitor ion implantation damage,[55] can
be used to detect interfacial flaws. Testing-to-a-limit may be used and some
use of acoustic emission to detect onset of failure has been attempted.
Since adhesion is a macroscopic property of the system, the adhesion
test methods generally involve testing over an appreciable area. In some
cases, the testing may be over a much larger area than we are really interested
in. Adhesion testing should test the coating under stresses similar to those
encountered in production and service.
Non-Elemental Characterization of Films and Coatings 687

There are a large number of potential adhesion tests[56]-[60] and each


investigator or technology community favors different tests. Adhesion tests
may be categorized by the method that the stress is applied to the film/
coating.
The following are some types of adhesion tests:
Functionality
Tensile (pull) tests:
Wire bond
Thermocompression (TC) bond
Soldered bond
Epoxy bonded stud
Electroplated stud
Rotor tests
Peel tests;
“Tape test”
Topple tests
Shear tests:
TC ball bond (push-off test)
Ring shear
Lap shear tests
Deformation (of substrate ) tests:
Bend
Pull
Indentation test
Scratch test (with acoustic emission)
Stress-wave test:
Flyer plate/foil
Laser pulse
Abrasion tests
Thermal stressing
Diffusion test—diffusion of hydrogen to interface
(electroplaters)
Weird tests:
Mattox bad breath test (unpublished)
688 Deposition Technologies for Films and Coatings

The best test of adhesion is “does it work?” under subsequent process-


ing, testing, and service. This may be called a functionality test.
The peel test is a common test for adhesive bonding[61][62] and a variation
of the peel test is the tape test, where an adhesive tape is stuck on the film
surface, then a peel test is performed. This test is good for detecting poor
adhesion (up to about 1000 psi) but is very sensitive to the method used—type
of tape, method of application of the tape, pull angle, pull rate etc. Often the
film is scribed (cut, cross-hatched) beneath the tape to provide an edge on
which the tape pulls. Measurement is in grams/mm.
The scratch test is an old adhesion test method[63] (more sophisticated
than the scrape test) where a complex deformation is introduced into the
surface and then the failure mode is observed and a critical load for failure is
assigned.[64] This test has been the subject of numerous investigations. The
stresses associated with a moving stylus have been analyzed.[65] The loaded
stylus used for the scratch test may fracture a brittle substrate material giving
erroneous results.[66] The use of an SEM with an in situ scratch testing
capability allows the observation of the failure and material transfer without
time or environmental effects.[67] The scratch test can be combined with
acoustic emission to give an indication of the onset and magnitude of
failure.[63][68] The hardness of the substrate material may have a significant
affect on the scratch resistance (cracking) of thin coatings.[69] A commercial
unit is available to perform the scratch test along with acoustic emission.
The tensile test generally utilizes a wire or stud bonded to the surface and
a tensile tester. Bonding of the wire is usually done by thermocompression
bonding, ultrasonic bonding, or soldering. Bonding of a stud to the surface is
usually done by thermosetting epoxy bonding. Tensile strengths to about
10,000 psi can be measured, but the analysis of the result can be difficult.[70]
Care must be taken to avoid bonding stresses which will reduce the apparent
adhesion. Commercial testers are available for the stud-bond test. One
interesting variation of the tensile test is used to study the fracture energy of
the interface. This test involves bonding a surface to the film, then performing
a notch tensile test.[43]
The shear tester[71] uses a bump bonded to the surface and a shearing
(actually peeling) motion to determine the strength of the bond or of the
adhesion of the film. Commercial units are available to perform this test.
In stress wave adhesion tests, a stress wave is propagated through the
system and the reflection of the stress wave at the interface results in a tensile
stress.[72]-[74] The stress can be injected into the solid from a flyer plate,
a flyer foil or a pulse of radiation (laser). Conceptually, this technique could
be used to initiate, then stop, an interfacial fracture so the fracture
Non-Elemental Characterization of Films and Coatings 689

mode could be studied. The onset of the fracture could be detected by


acoustic emission.
The most recent advance in adhesion tests is the monitoring of acoustic
emission during adhesion testing. As fractures form and propagate, there is
a release of acoustic energy which may be monitored. The onset of acoustic
emission correlates with the onset of adhesion failure in deformation tests.
Acoustic emission can be correlated to the fracture of the interface of films on
plastic surfaces,[75] plasma sprayed coatings,[76] and hard coatings on
tools.[63][68]
Thermal stress adhesion testing is used on coatings intended for high
temperature applications and are often combined with mechanical stresses
such as found in thermal barrier coatings[77] and coatings for fusion reactor
applications.[78]
The Mattox Bad Breath test consists of breathing on the film (best on a
brittle substrate material) so that moisture condenses on the film. If the film
has a high residual stress, the moisture will tend to accelerate fracture
propagation, and blistering (compressive stress) or cracking (tensile stress)
will be enhanced. This is an easy “first test” and the test is non-destructive
if the film adhesion and adhesion stability are good.

5.2 Film Thickness

A film or coating thickness may be defined in three ways:(i) geometrical


thickness—separation between surfaces; (ii) mass thickness; and (ii) prop-
erty thickness.
The geometrical thickness is the separation between surfaces and is
measured in mils, microinches, nanometers, angstroms, or microns, and
does not take into account the composition, density, microstructure, etc. A
general problem with this measurement is the definition of the surfaces. Mass
thickness is measured in micrograms/cm2 which can be converted to a
geometrical thickness if one knows (or assumes) the density of the material.
Property thickness measures some property such as x-ray absorption, beta
(electron) backscatter, ion backscattering, optical adsorption or electrical
conductivity which may be sensitive to density, composition, microstructure,
crystallographic orientation of the film, etc. Property thickness measuring
techniques often require calibration standards. Different thickness measuring
techniques may give differing values for the thickness.
Thickness measuring techniques may also be categorized as contact-
ing and non-contacting. The following are some of the most commonly used.
690 Deposition Technologies for Films and Coatings

Contacting techniques:
• Surface profilometer (stylus technique). Measures the
height of a step from the substrate surface to the film surface.
Step is formed by masking during deposition or by masking
and etching. Stylus scans length of several centimeters with
a resolution of <0.2 mictrons and measures height of greater
than 100 Å.[79] Sensitivity is dependent on surface rough-
ness, flatness, and abruptness of the step. Commercial
units are available that scan over a surface and present the
surface topography on a screen.
Non-contacting techniques:
• Michelson interferometry - Measures the height of a step
using a split beam of light. The differing optical path lengths
give constructive and destructive interference patterns. By
knowing the wavelength of the light and the number of fringes,
the step height can be calculated. Measures step heights of
300 - 20,000 Å ± 150 - 300 Å.[80][81]
• X-ray fluorescence (XRF) - Measures the mass per unit area
of a material. By assuming the density (or calibrating the
instrument) the measurement can be presented as a
thickness. Measures thicknesses from 100 nm to 40
microns, depending on the material.[82]
• X-ray absorption - Measured by x-ray attenuation. Thickness
by knowing the absorption coefficient or by calibration.
Measures thicknesses from 0.1 to >1000 µm ±5%.
• Ellipsometry - Measures dielectric film thickness by the
rotation of polarization axis as the beam passes through the
film. Thickness is determined by knowing the index of
refraction of the dielectric or calibration.[83]
• Beta backscatter - Energetic electrons from a radioactive
source are backscattered from the film and underliying
substrate. Thickness is measured by calibration. Thickness
range depends on the electron source and the scattering
properties of the material. For example, using a C14 source,
1.25 to 1.9 microns of gold can be measured; using a Ru106
source, 15 to 38 microns gold can be measured ± 5%. [83]
• Other techniques
- Scanning Tunneling Microscopy (STM) (step height)
- Atomic Force Microscopy (AFM) (step height)
- Photon Tunneling Microscopy (PTM) (step height)
- Magnetic eddy current techniques
- Multiple beam interferometry (step height, 10 - 10,000 Å)
Non-Elemental Characterization of Films and Coatings 691

The determination of which technique is best for a particular application


depends on a number of factors.[84]-[86]

5.3 Film Stress

Films and coatings on substrates may have a residual stress that is


either compressive—as if the material were being compressed, or tensile—
due to the differences of coefficient of expansion of the film and substrate (high
temperature deposition), or from strains grown-in during the growth process.
These stresses contribute to adhesion failure (immediate or long-term) or may
affect mass transport properties such as void growth,[87] low temperature
recrystallization (crystalline materials),[88] or a low strain point temperature
(glasses).[89]
Films under compression will try to expand and if the substrate is thin the
film will bow the substrate with the film being on the convex side. If the film
has a tensile stress, the film will try to contract, bowing the substrate so the
film is on the concave side. Tensile stress may relieve itself by microcracking
the film. Compressive stress may relieve itself by buckling, giving wrinkled
spots (usually associated with contamination on the surface), or a wavy
pattern (clean surface) if the stress is isotropic.[9] The residual stresses may
be anisotropic with direction in the film.[81] A great deal can be learned about
the film stress by observing the stress relief patterns.[14]
The film stress may not be uniform through the film thickness, i.e., there
may be a stress gradient in the deposit. (If the stress is not uniform, the film
will curl up when separated from the substrate; if uniform, the separated film
will lie flat). The total film stress is a function of film thickness.
By knowing the mechanical properties of the substrate and film material,
the film thickness and the substrate deflection the film stress can be
calculated. There are a number of ways that the deflection of a beam can be
measured and the stress calculated.[91]-[97] Figure 12.2 shows a commer-
cially available attachment for use with a microscope to generate an interfer-
ence pattern that can give the radius of curvature.[81]
If the beam is long and narrow so that there is no “angle-iron” stiffening
effect, and the beam was clamped flat during the deposition, the film stress
(σf) can be calculated from:[98]

t f E s  t s 
2
t E y 
σf=   − s + 6 f f 
Eq. (1) ( 6 ρ)   t f  tf t s tf 
 
692 Deposition Technologies for Films and Coatings

where Tf and Ts are the thicknesses of the film and substrate, Ef and Es are the
elastic moduli of the film and substrate material,ρ is the radius of curvature and
the term yf /tf is the relative position in the film for which the stress is calculated
and is measured from the midplane of the film (yf = 0) and is positive toward
the film-substrate interface where the film stress is maximum.
Figure 12.3 shows a sample calculation.

Figure 12.2. Michelson interferometer attachment for optical microscope.


Non-Elemental Characterization of Films and Coatings 693

Figure 12.3. Sample stress calculation for a molybdenum film on a thin glass
substrate.

A major uncertainty in measuring film stress is the elastic modulus (and


Poisson's ratio) of the film material which has to be assumed in most cases.
If the last term in Eq. (1) can be made small in comparison with the other terms,
the stress determination can be made without knowing or assuming Ef. This
can be done by making ts/tf very large, which also means measuring a small
Rs. This can be done with a sensitive, large optics interferometer.[98] The
system shown in Fig. 12.4 is capable of detecting the radius of curvature of
more than 1 km over an area 2.5 cm in diameter.
694 Deposition Technologies for Films and Coatings

Figure 12.4. Large area Michelson interferometer with associated illumination and
data treatment system.[98] The setup shown is for measuring the mechanical
properties of a coated substrate by four-point loading of the sample.

Film lattice strain (stress) may also be measured by x-ray diffraction and
lattice parameter measurements.[99] However, this technique may not give the
same value of stress as measured by the deflection techniques since it does
not sum over all the stresses (those associated with the grain boundaries for
instance) and is influenced by other factors such as grain size and film
morphology. Strain in the surface lattice (few atom layers) can be measured
by LEED techniques.[100]
Non-Elemental Characterization of Films and Coatings 695

5.4 Coefficient of Thermal Expansion

The coefficient of thermal expansion of residual growth-stress-free films


(annealed) can be determined using the same techniques used for determining
the stress in the films by making the measurements at various temperatures.
Again, one must know (or assume) the properties of the film and substrate
materials. One often finds low temperature mass transport in as-deposited
films (driven by high residual stresses and high defect concentrations/
mobilities) giving low temperature annealing (strain point for glasses)[89] and
grain growth[88] during testing at elevated temperatures. These changing
properties will affect the expansion measurements until the film is annealed.

5.5 Mechanical Properties

The hardness of a material is usually defined as the resistance to


deformation and is usually measured as the permanent deformation of a
surface by a specifically shaped indenter under a given load.[101]-[102] This
does not give an indication of the plastic deformation associated with loading.
The hardness of a material may be influenced by the grain size, dispersed
phases, defect structure, microstructure, density, temperature, deformation
rate, etc. For films and coatings, there may be substrate influences on the
deformation which affect the measurements. As a rule, the coating thickness
should be 10X the indentation depth to obtain meaningful results. Surface
effects may also influence the indentation measurements for thin films,
particularly those with oxide layers.
Techniques to measure the microhardness of films and modified sur-
faces (particularly ion implanted ones) usually use microindentation tech-
niques.[103]-[111] In addition to hardness, the elastic properties of the material
can be determined from the maximum penetration depth compared to the
residual depth of the indentation after the indenter has been removed. The
impact of microspheres with a surface may be used to measure microhardness
and its variation over a surface.[112]
An advanced microindentation hardness testing system is commer-
cially available. It is a computer-controlled machine capable of performing
indentation tests with load and depth resolutions of 2.5 millinewtons and 0.4
nanometers up to a maximum load of 10 grams. It detects penetration
movement by changes in capacitance between stationary and moving plates.
696 Deposition Technologies for Films and Coatings

5.6 Electrical Resistivity

The bulk resistivity of a material is given in micro-ohm cm and the


resistance of a path is calculated from:

R = ρL/A

where ρ is the resistivity, L the length, and A the area. For a thin film, the
resistivity may be a strong function of the film properties such as morphology,
composition, etc.[113]
The film resistivity is often given as the sheet resistivity (sheetρ) in ohms
per square since the resistance of any square is the same no matter what the
size of the square, as long as the thickness is uniform and other properties are
the same:
The sheet resistance is measured using a four-point probe technique
where the current[1] is injected through two probes and the voltage drop (V)
between two other probes is measured.[114][115] This technique avoids contact
resistance problems.[116][117]
For a linear probe arrangement, the resistivity is given by:

Rs = 4.532 V/I

Probe separation of commercial units may be as low as 0.025 inches.


For layered structures of materials having a nonuniform resistivity, the
measurement is more complicated.[118][119] Resistivity (conductivity) can
also be measured by induction without contacting the surface of the film.[120]

5.7 Temperature Coefficient of Resistivity (TCR)

The TCR of metals is positive, i.e., increasing resistance with increasing


temperature while that of tunneling-type conductors (insulators) is negative,
i.e., decreasing resistance with increasing temperature. To measure the TCR,
one only needs to combine a resistance measuring device with a temperature-
controlled environment. The measured TCR combines effects found in the film,
i.e., metallic conduction in the grains (columns), with tunneling through oxides
at columnar boundaries. Often the film TCR is much less than that of the bulk
material and may be of an opposite sign altogether. TCR measurements can
give an indication of the perfection of the film material.
Non-Elemental Characterization of Films and Coatings 697

5.8 Electromigration

Electromigration is the movement of film atoms in theelectron wind when


metallizations are used to carry high current densities (Al: 106 A/cm2 - steady,
107 A/cm2 - pulse). The origin of the electromigration effect is poorly
understood but it is probably dependent on local temperature, film stress, and
lattice defects in the film material. The electromigration may result in hillock
formation or void formation. Electromigration is measured by subjecting the
conductor to the high current density, detecting failure (often defined as 50%
reduction in cross-section area) and evaluating many samples prepared in the
same way. Elevated temperatures, and processing techniques that introduce
lattice defects, broaden the statistical failure curve and bring the early failures
to a shorter time.
Electromigration seems to be a statistical problem with some failures
occurring far below the mean value. This can cause early failure of the
electrical circuits if there is no circuit redundancy. Electromigration can be
minimized and the statistical spread can be lessened by process control,
burn-in of the circuits to eliminate the metallizations most prone to failure
(infant mortality), addition of dispersed particles (1 - 4% Cu in Al), multilayering
of the metallization (e.g., 3000 Å Al alternated with 50 - 100 Å Ti) or the use
of cap (passivating) material. [121] Electromigration kinetics are dependent on
the composition and structure of the film.[122]

5.9 Density

The density of a material depends on:(i) composition,(ii) closed porosity


(void) volume, and (iii) definition of the surfaces. Densities are given in g/cm3.
A deposited material may easily have several atomic percent of foreign
material incorporated into the lattice or may easily be off-stoichiometry by an
appreciable amount, and this composition variation affects the density of the
deposit. Voids affect the density in an obvious way.
Density may be measured by several general techniques:(i) geometry-
property relationships, and(ii)displacement-flotation techniques
In the geometry techniques, the size or thickness of the sample is
determined as well as some property such as mass or x-ray absorption. For
example, Rutherford Backscattering may be used to give the areal atom
density and a profilometer can be used to give the geometrical thickness.[123]
698 Deposition Technologies for Films and Coatings

Properties other than mass must be related to the mass by known


properties or calibration. A principle difficulty with this technique is in defining
the surface in order to make accurate thickness measurements.
The displacement techniques include:(i) micropycnometry, (ii) density
gradient column, (iii) hydrostatic weighing, and (iv) volume displacement.
The most accurate techniques have been developed to study radiation-
induced void formation in metals and utilizes hydrostatic weighing of small
samples (30 mg) with an electrobalance to a precision of 0.04%.[124] Density
gradient columns utilize a thermal gradient in liquids of varying density (liquid
densities to 3.2 gr/cm3 ). The sample will float at a level of the same density
fluid (watch out for buoyant air bubbles attached to the sample). Calibration
floats are used to determine the fluid density.
Pycnometry involves the displacement of a liquid or gas from a container
of accurately known volume and the weight of the sample.
Density is often related to other film properties such as chemical etch
rate, corrosion, compressive strength, index of refraction, etc.

5.10 Porosity

The porosity in a deposit may consist of:(i) open porosity where the pores
are interconnected, (ii) closed porosity where the pores are isolated and not
interconnected, and(iii) through-porosity where the pore extends through the
deposit from the surface to the interface. Typically, a deposit will contain both
open and closed porosity to some extent. A material with closed porosity will
show a decrease in density while a material with open porosity will not (as
measured by many of the techniques described under density measure-
ments). Voids is another term used for isolated pores, whilemicrovoids is the
term used for very small voids down to clusters of lattice vacancies (few
angstroms in diameter).
Voids in the bulk of the material form by the growth processes or by
agglomeration of defects during or after deposition. Voids in the bulk affect
density of the material, the deformation and fracture properties of the material,
and the thermal and acoustic transmission of the material.
Closed voids in materials are typically measured and studied by: (i)
density measurements or (ii) transmission electron microscopy (TEM).
In TEM, the sample is thinned and the voids are observed directly by
using the underfocus-overfocus technique. Voids as small as 7Å in diameter
may be resolved using this technique.
Non-Elemental Characterization of Films and Coatings 699

Open porosity may be of several forms. Uniformly distributed intercon-


nected pores develop as the pore volume becomes large (greater than about
5%). Oriented porosity develops due to the growth mode of the deposited
material such as forming a columnar morphology. Through-porosity often
develops because a substrate-surface discontinuity gives geometrical shad-
owing of the depositing flux.
Open porosity can affect material properties in both desirable and
undesirable ways. Generally undesirable effects include: (i) high surface
areas, (ii) easy access to the interfacial region, and (iii) easily deformed
material. A high surface area results in: (i) high chemical etch rates, (ii) high
corrosion rates,(iii) easy contamination/difficult cleaning, and(iv) dependence
of properties (e.g., resistivity) on surface effects (e.g., oxidation). Easy
access to the interfacial region may result in; (i) interfacial corrosion (loss of
adhesion) and(ii) rapid diffusion paths (surface diffusion).
Desirable effects of porosity include: (i) less residual film stress, (ii) low
thermal conductivity (thermal barriers),(iii) higher resistance to thermal shock,
and (iv) reduced mass transport effects such as grain growth.
Open porosity in thick deposits may be measured by:
1. Mercury porosimetry
2. Gas absorption/desorption (BET [Brunauer-Emmett-
Teller],[125] Surface Acoustic Wave attenuation
3. Dye penetrants - fluorescence, radioactive
In mercury porosimetry the sample is immersed in mercury and pressure
(0.5 to 30,000 psia ) is used to force mercury into the pores (3.2 nm to 213
microns) (mercury intrusion).[126] The smaller the pores, the greater the
pressure that is needed to force the mercury into the pores. Force vs. volume-
change is then a measure of the pore volume distribution. Because of the
“bottle-neck” effect, the measurement is often biased toward the small pore
size. Calculations of pore size depend on the contact angle between mercury
and the material being measured.
Through-porosity, or cracks through metal films, on metal substrates
may be measured by electrographic printing[127] where a chemical solution in
a paper or gel is placed in contact with the film and a copper electrode is placed
behind the paper. The electrode is made the cathode and the substrate is
made the anode and a current is passed through the system (typically 200 mA,
30 sec). The paper is then observed for spots which indicate that some of the
dissolved substrate material has reacted with the chemical solution.
700 Deposition Technologies for Films and Coatings

Some electrographic porosity tests are listed below:

Deposit Reagent solution (Indication)

Au on Cu Potassium ferricyanide (brown spots)


Ag on Cu Potassium ferricyanide (brown spots)
Sn on Fe Potassium ferricyanide (blue spots)
Au on Ni Ammoniacal dimethylglyoxime (red spots)
and sodium chloride
Cr on Ni Dimethylglyoxime (pink spots)
Cu on Fe Dimethylglyoxime (deep cherry red spots)
Ni on steel Sodium chloride (rust spots)
+ hydrogen peroxide
Zn or Cd on steel Sodium hydrosulfide (black spots)

Porosity through thin dielectric films on metallic substrates may be


measured by:[128]-[131]
1. Corrosion (liquid, gas)
2. Selective chemical dissolution (electrographic printing,
solution analysis)
3. Electrochemical decoration
4. Anodic current measurement
5. Gas bubble generation (electrolytic)
6. Absorption (dyes, radioactive materials, liquids, gases)
Porosity through metal films on metallic substrates may be measured by:
1. Corrosion - selective of substrate materials
2. Selective chemical dissolution
3. Anodic currents (controlled potential)[132]
4. Corrosion potentials (anodic polarization)
Figure 12.5 shows the corrosion products that have built up in a pore in
a gold film on a Kovar® surface.
A special case of porosity measurement is the use of a Surface
Acoustic Wave (SAW) device where a film deposited on a piezoelectric
crystal adsorbs gases, changes the mass, and thus the acoustic dampen-
ing.[133] From the adsorption/desorption curves, a pore size distribution can
be calculated. The SAW configuration is capable of detecting mass changes
of 100 picograms/cm2.
Non-Elemental Characterization of Films and Coatings 701

Figure 12.5. SEM photograph of corrosion products emerging from a pore in a gold
coating on Kovar®. Corrosion was performed in a moist UV/O3 atmosphere.

5.11 Chemical Etch Rate (Dissolution)

The chemical etch rate of a material depends on density, surface area,


intrinsic stress, stoichiometry, solution strength, and dissolution rate of the
bulk, fully-dense stoichiometric material. Chemical etch rates are primarily
used as a comparative technique.[134] Reactive Plasma Etching (RPE) and
Reactive Ion Etching (RIE) are versions of chemical etching which use a
plasma to activate the reactive species, which react with the surface, giving
a volatile reaction product. The film microstructure and phase distribution can
have an important effect on the RIE etch rate and uniformity.[135]

6.0 SUMMARY

This discussion has given the reader an indication of the factors in film
deposition technologies and film growth that affect the properties of the
resulting material. Some simple characterization techniques have been
discussed but there are many more to be found in the literature. The need for
reproducible samples and characterization specifications has been strongly
emphasized.
702 Deposition Technologies for Films and Coatings

REFERENCES

1. Perry, A. J., Thin Solid Films, 135:73 (1986)


2. Walters, S., Mechanical Engineering, p. 38 (April 1984)
3. Mattox, D. M., Adhesion Measurement of Thin Films, Thick Films and
Bulk Coatings, (K. L. Mittal, ed.), p. 54, ASTM STP 640, American
Society for Testing and Materials (1978)
4. Mattox, D. M., Plasma Surface Engineering,(E. Broszeit, W. D. Munz,
H. Oechsner, K.-T. Rie, and G. K. Wolf, eds.) 1:15, Informations-
gesellschaft, Verlag (1989)
5. Greene, J. E., Proc. NATO Advanced Studies Institute on Plasma-
surface Interactions and Processing of Materials, Alicante, Spain
NATO ASI Series, (Sept 4-16, 1988) to be published
6. Mattox, D. M., “Surface Preparation” Ch. 6, this volume
7. Surface Mobilities on Solid Materials - Fundamental Concepts and
Applications, (V. T. Binh, ed.), NATO ASI Series, Series B, Physics
Vol. 86, Plenum Press (1983)
8. Lewis, B. and Anderson, J. C., Nucleation and Growth of Thin Films,
Academic Press (1978)
9. Mattox, D. M., J. Appl. Phys., 37:3613 (1966)
10. Miranda, R. and Rojo, J. M., Vacuum, 34:1069 (1984)
11. Chidsey, C. E. D., Loiacono, D. N., Sleaton, T., and Nakahara, S.,Surf.
Sci., 200:45 (1988)
12. Olumura, K., J. Electrochem. Soc., 128:571 (1981)
13. Philofsky, E., Solid State Electronics, 13:1391 (1970)
14. Mattox, D. M. and Cuthrell, R .E., MRS Proc., Vol. 119, (D. M. Mattox,
J. E. E. Baglin, R. E. Gottschall, and C. D. Batich, eds.) Materials
Research Society (1988)
15. Movchan, B. A. and Demchishin, A. V., Fiz Met Metalloved, 28:653
(1969)
16. Mattox, D. M. and Kominiak, G. J., J. Vac. Sci. Technol., 9:528 (1972)
17. Bland, R. D., Kominiak, G. J., and Mattox, D. M., J. Vac. Sci. Technol.,
11:671 (1974)
18. Thornton, J. A., J. Vac. Sci. Technol., A4:3059 (1986)
19. Messier, R., Giri, A. P., and Roy, R. A., J. Vac. Sci. Technol., A2:500
(1984)
20. Meissier, R. and Yehoda, J. E., J. Appl. Phys., 58:3739 (1985)
21. Berg, S., Blom, H-O., Larsson, T., and Nender, C., J. Vac. Sci.
Technol., A5:202 (1987)
22. Geis, M. W., Lincoln, G. A., Efremow, N., and Piacentini, W .J., J. Vac.
Sci. Technol., 19:1390 (1981)
Non-Elemental Characterization of Films and Coatings 703

23. Winters, H. F., Coburn, J. W., and Chuang, T. J.,J. Vac. Sci. Technol.,
B1:469 (1983)
24. Harper, J. M. E., Cuomo, J. J., and Henzell, H. T. G., Appl. Phys. Lett.,
36:456 (1980); also Appl. Phys. Lett., 37:540 (1980)
25. Hoffman, R. W., Thin Solid Films, 89:155 (1982)
26. Pulker, H. K., Thin Solid Films, 89:191 (1982)
27. Singh, R., J. Appl. Phys., 63(8):R59 (1988)
28. Wie, C. R., Yang, J. Y., Tombrell, T. A., Grant, R. W., and Housley, R.
M., Vacuum, 38:157 (1988)
29. Metals Handbook, 9th. ed., Vol. 10, (R. Wahn, ed.), American Society
for Metals, Metals Park, OH 44073 (1986)
30. Good, R. J., J. Adhesion, 8:1 (1976)
32. Pulker, H. K., Perry, A. J., and Berger, R., Surf. Technol., 14:25 (1981)
33. Kinloch, A. J., [polymer] J. Mat. Sci., 15:2141 (1980)
34. Adhesion Aspects of Polymeric Coatings, (K. L. Mittal, ed.), Plenum
(1981)
35. Mattox, D. M., J. Vac. Sci. Technol., 10:47 (1973)
36. Baglin, J. E. E., Ion Beam Modification of Insulators, (P. Mazzolsdi,
and G. Arnold, eds.), Ch. 15, Elsevier (1987)
37. Benjamin, P. and Weaver, C., Proc. Royal Soc., 261A:516 (1961)
38. Laugier, M., Thin Solid Films, 75:L19 (1981)
39. Kominiak, G. J. and Mattox, D. M., J. Electrochem. Soc., 120:1535
(1973)
40. Hershkovitz, M., Blech, I. A., and Komem, Y.,Thin Solid Films, 130:87
(1985)
41. Kikuchi, A., Baba, S., and Kinbara, A.,Thin Solid Films, 124:343 (1985)
42. Zito, R. R., Thin Solid Films, 87:87 (1982)
43. Bascom, W. D., Becher, P. F., Bitner, J. L., and Murday, J. S.,
Adhesion Measurement of Thin Film, Thick Film and Bulk Coatings, (K.
L. Mittal, ed.), ASTM STP 640, pp. 63-82 (1977)
44. Oh, T. S., Cannon, R. M., and Richie, R. O.,J. Am. Cer. Soc., 70:C352
(1987)
45. Hintermann, H. E., J. Vac. Sci. Technol., B2:816 (1984)
46. K’Singam, L. A., Dickenson, J. T., and Jensen, L. C., J. Am. Cer. Soc.,
68:510 (1985)
47. Speight J.D . and Bill, M. J. Thin Solid Films, 15:325 (1973)
48. Ray, S. K. and Lewis, R. K., Thin Solid Films, 131:197 (1985)
49. Mattox, D. M., unpublished results
50. Krzyzanowski, S., Sylwestrowicz, W. D.,J. Mat. Sci. Lett., 1:35 (1982)
704 Deposition Technologies for Films and Coatings

51. Hothersall, A. W. and Leadbeater, C. J., J. Electrodepositers Tech.


Soc., 14:207 (1938)
52. Venables, J. D., J. Mat. Sci., 19:2431 (1984)
53. Yasuda, H. K., Sharma, A. K., Hale, E. B., and James, W. J., J.
Adhesion, 13:269 (1982)
54. Grosskreutz, J. C. and McNeil, M. B., J. Appl. Phys., 40:355 (1969)
55. Smith, W. L., Rosecwaig, A., Willenborg, D. L., Opsal, J., and Taylor,
M. W., Solid State Technol, 29:85 (1986)
56. Mittal, K. L., J. Adhesion Sci. Technol., 1:247 (1987)
57. Mittal, K. L., Electrocomponent Sci. Technol., 3:21 (1976)
58. Davies, D. and Whittaker, J. A.,Metallurgical Rev., 12:15 (1967);Metals
and Materials, 1 (1967)
59. Valli, J., Makela, U., and Matthews, A., Surf. Eng., 2:49 (1986)
60. Chapman, B. N., J. Vac. Sci. Technol., 11:106 (1974)
61. Brown, D. J., Windle, A. H., Gilbert, D. G., and Beaumont, P. W. R.,
J. Mat. Sci., 21:314 (1986)
62. Yoon, Il-B., Jpn. J. Appl. Phys. Suppl., 2 Pt 1:849 (1974)
63. Perry, A. J., Thin Solid Films, 107:167 (1983)
64. Perry, A. J., Thin Solid Films, 78:77 (1981)
65. Laugier, M. T., J. Vac. Sci. Technol., A5:67 (1987)
66. Laugier, M. T., J. Mat. Sci. Lett., 5:253 (1986)
67. Prasad, S. V. and Kosel, T. H., J. Matl. Sci. Lett., 3:133 (1984)
68. Hintermann, H. E., J. Vac. Sci. Technol., B2:816 (1984)
69. Je, J. H., Gyarmati, E., and Naoumidis, A., Thin Solid Films 135, 57,
86
70. Jankowski, A. F., J. Mat. Sci., 22:346 (1987)
71. Jellison, J. L., IEEE PHP-11:206 (1975)
72. Anderholm, N. C. and Goodman, A., Patent # 3,605,486 (Sept 20, 1971)
73. Vossen, J. L., Adhesion Measurements of Thin Films, Thick Films and
Bulk Coatings, (K. L. Mittal, ed.), ASTM STP-640, pp. 122-131, ASTM
Publications (1978)
74. Dini, J. W. and Johnson, H. R., Rev. Sci. Instrum., 46:1705 (1975)
75. Van de Leest, R. E., Thin Solid Films, 124:335 (1985)
76. Aithal, S., Rousset, G., Bertrand, L., Cielo, P.,and Dallaire, S., Thin
Solid Films, 119:153 (1984)
77. Berndt, C. C. and Miller, R. A., Thin Solid Films, 119, 173 (1984)
78. Mattox , D. M., Mullendore, A. W., Whitley, J. B., and Pierson, H. O.,
Thin Solid Films, 73:101 (1980)
79. Sherrington, I. and Smith, E. H., Wear, 125:241 (1988)
Non-Elemental Characterization of Films and Coatings 705

80. Sherrington, I. and Smith, E. H., Wear, 125:289, 1988


81. Cuthrell, R. E., Mattox, D. M., Peeples, C. R., Dreike, P. L., and
Lamppa, K. P., J. Vac. Sci. Technol., A6(5):2914 (1988)
82. Ferrandino, F., Metal Finish, 84(5):29 (1986)
83. Yaghmour, S. and Neal, W. E. J., Surf. Technol., 25:297 (1985)
84. Rajora, O. S. and Curzon, A. E., Thin Solid Films, 123:235 (1985)
85. Piegari, A., and Masetti, E., Thin Solid Films, 124:249 (1985)
86. Pliskin, W. A. and Zanin, S. J., Handbook of Thin Film Technology, (L.
I. Maissel, and R. Glang, eds.), Ch. 11, McGraw-Hill (1970)
87. Li, C. Y., Black, R. D., and LaFontaine, W. R., Appl. Phys. Lett., 53:31
(1988)
88. Patten, J. W., McClanahan, E. D., and Johnson, J. W., J. Appl. Phys.,
42:4371 (1971)
89. Kominiak, G. J. and Mattox, D. M., J. Electrochem. Soc., 120:1535
(1973)
90. Ogawa, K., Ohkoshi, T., Takeuchi, T., Mizoguchi, T., and Matsumoto,
T., Jpn. J. Appl. Phys., 25:695 (1986)
91. Brenner, A. and Senderoff, S.,J. of Research of the National Bureau of
Standards, Research Paper RP1954, 42:105-123 (Feb 1949)
92. Kouyumdjiev, C. N., Surf. Technol., 26:35 (1985)
93. Kouyumdjiev, C. N., Surf. Technol., 26:45 (1985)
94. Kouyumdjiev, C. N., Surf. Technol., 26:57 (1985)
95. Sotirova, G. and Armyanov, S., Surf. Coat. Technol., 28:33 (1986)
96. Kouyumdjiev, C. N., Surf. Coat. Technol., 28:39 (1986)
97. Pulker, H. K., Thin Solid Films, 89:191 (1982)
98. Cuthrell, R. E., Gerstile, F. P., and Mattox, D. M., Rev. Sci. Instrum.,
60(6):1018 (1989)
99. Hauk, V. M. and Macherauch, E., Adv. X-ray Anal., 27:81 (1983)
100. McRae, E. G. and Malic, R. A., Surf. Sci., 163:L702 (1985)
101. Angus, H. T., Wear, 54:33 (1979)
102. Microindentation Techniques in Material Science, (Blau and Lawn,
eds.), ASTM Special Publication No. 889 (1986)
103. Blau, P. J., Metallography, 16:1 (1983)
104. Dirks, A. G., van den Broek, J. J., and Wierenga, P. E., JAP 55:4248
(1984)
105. Wierenga, P. E. and Franken, A. J. J., JAP 55, 4244, 84
106. Jonsson, B. and Hogmark, S., Thin Solid Films, 114:257 (1984)
107. Oliver, W. C., Mat. Res. Soc. Bull., 11(5):15 (1986)
108. Doerner, M. F. and Nix, W. D., J. Mat. Res., 1:601 (1986)
109. Bourcier, R. J., Stone, C. M., and Yost, F. G., Sandia Report SAND85-
0486, (Sept 1985)
706 Deposition Technologies for Films and Coatings

110. Bourcier, R. J., Nelson, G. C., Hayes, A. K., and Romig, A. D., Jr, J.
Vac. Sci. Technol., A4:2943 (1986)
111. Schmale, D. T., Bourcier, R. J., Martinez, E., Sandia Laboratory Report
SAND86-0509 (April 1986), available from NTIS
112. Cook, S. and Latham, R. V., Surf. Coat. Technol., 27:379 (1986)
113. Angadi, M. A., J. Mat. Sci., 20:761 (1985)
114. Valdes, L. B., Proc. IEEE (IRE), 42:420 (1954)
115. Keenan, W. A., Johnson, W. H., and Smith, A. K., Solid State Technol,
28(6):143 (1985)
116. Cohen, S. S., Thin Solid Films, 104:361 (1983)
117. Cohen, S. S., Gildenblat, G., Ghezzo, M., and Brown, D. M., J.
Electrochem. Soc., 129:1335 (1982)
118. Albers, J. and Berkowitz, H. L.,J. Electrochem. Soc., 132:2453 (1985)
119. Albers, J. and Berkowitz, H. L., J. Electrochem. Soc., 131:392 (1984)
120. Esqueda, P., Octavio, M., and Callarotti, R. C.,Thin Solid Films, 89:33
(1982)
121. Teal, V., Vaidya, S., and Fraiser, D. B.,Thin Solid Films, 136:21 (1986)
122. Felton, L. E., Schwartz, J. A., Pasco, R. W., and Norbury, D. A., J.
Appl. Phys., 58:723 (1985)
123. Antilla, A., Koskinen, J., Bister, M., and Hirvonen, J., Thin Solid Films,
136:29 (1986)
124. Pratten, N. A., J. Mat. Sci., 16:1737 (1981)
125. Young, D. M. and Cromwell, A. D., The Physical Absorption of Gases,
Butterworth Pub. (1962)
126. Rootare, H. M.,Advanced Experimental Techniques in Powder Metallurgy,
(J. S. Horschorn and K. H. Roll, eds.), 5:225, Plenum (1970)
127. Tvarusko, A. and Hinterman, H. E., Surf. Technol., 9:209 (1979)
128. Kern, W., RCA Rev, 34:655 (1973)
129. Kern, W. and Comizzoli, R. B., J. Vac. Sci. Technol., 14:32 (1977)
130. Kern, W., Solid State Technol., 17:78 (1974)
131. Kern, W., Solid State Technol., 17:35 (1974)
132. Morrissey, R. J., J. Electrochem. Soc., 119:446 (1972)
133. Martin, S. J., Frye, G. C., Ricco, A. J., and Zipperian, T. E.,Proc. IEEE,
(1987); Ultrasonics Symposium, p. 563, (1987)
134. Pliskin, W. A., Physical Measurement and Analysis of Thin Films, (E.
M. Murt and W. G. Guldner, eds.), Ch. 8, Plenum Press (1969)
135. Adachi, S., Susa, N., J. Electrochem. Soc., 132:2980 (1985)
13

Nucleation, Film Growth, and


Microstructural Evolution

Joseph E. Greene

1.0 INTRODUCTION

The primary deposition variables which determine the nucleation and


growth kinetics, microstructural evolution, and, hence, physical properties of
films grown by physical vapor deposition (evaporation and sputter deposition
in all of their various forms, Chs. 4, 5, and 6) are: the film material, the incident
film flux, the kinetic energy E of species incident at the film growth surface,
the film growth temperature Ts, the flux of contaminants, and the substrate
material, surface cleanliness, crystallinity, and orientation. These represent
the control variables that the crystal grower has at his disposal to tailor the
properties of as-deposited materials. Note that the flux of contaminants
which competes with the flux of film material for incorporation during
deposition is strongly dependent upon the base pressure, pumping speed,
and the design of the vacuum system (e.g., whether a substrate loadlock is
used to circumvent repeated air-exposures) while substrate surface clean-
liness depends also upon pre-deposition processing.
The kinetic-energy of the incident film flux during film growth by thermal
evaporation, for which E is of the order of 0.1 eV, is determined by the
temperature of the evaporant source. However, in plasma or ion-beam
deposition techniques, E can be increased up to several hundred eV. Low-
energy (often < 100 eV) ion irradiation during vapor-phase film growth has
been shown to be useful in controllably altering the physical properties

707
708 Deposition Technologies for Films and Coatings

of as-deposited layers through trapping, preferential sputtering, enhanced


adatom diffusion, and dynamic collisional mixing.[1]-[4]
This chapter is organized in the following manner. Section 2.0 deals
with nucleation and the early stages of film growth. Microstructure develop-
ment in both the ballistic-aggregation, low-adatom-mobility, limit and in the
adatom migration regime are discussed in Sec. 3.0 while Sec. 4.0 reviews
microstructural evolution and structure-zone diagrams. The important role
of low-energy ion/surface interactions in all stages of film growth is treated
in Sec. 5.0. Atomic-level mechanisms, the generation of point and extended
defects, as well as the relationship of film properties such as stress to growth
mechanisms is discussed throughout the chapter using experimental as well
as computer-simulated results.

2.0 NUCLEATION AND THE EARLY STAGES OF FILM GROWTH

There are three primary modes of film growth on substrates[5] as


illustrated schematically in Fig. 13.1. During three-dimensional (3-D) island,
or Volmer-Weber, growth, small clusters are nucleated directly on the
substrate surface. The clusters then grow into islands which in turn coalesce
to from a continuous film as shown schematically in Fig. 13.2. This type of
growth occurs when the film atoms are more strongly bound to each other
than to the substrate as is often the case for metal films on insulators or
contaminated substrates. Two-dimensional (2-D) layer-by-layer, or Frank-
van der Merwe, growth occurs when the binding between film atoms is equal
to or less than that between the film atoms and the substrate. In addition to
the obvious example of homoepitaxial growth on a clean substrate, there are
numerous other examples of 2-D growth in metal-metal (e.g., Cd on W) and
semiconductor-semiconductor (e.g., Ga1-xAlxAs on GaAs) systems.
The third growth mode, often referred to as Stranski-Krastanov, is a
combination of the first two. In this case, after first forming one or more
monolayers, further layer growth becomes unfavorable and 3-D islands
form. The transition from 2-D to 3-D growth is not completely understood but
can be driven in some cases by the release of elastic energy stored in the film
due to film/substrate lattice mismatch. This growth mode occurs much more
frequently in metal-metal and metal-semiconductor systems than was origi-
nally believed. A typical example of such a system is In on Si(100)2x1.[6]
Nucleation, Film Growth, and Microstructural Evolution 709

Figure 13.1. Schematic representation of three film growth modes. θ is the


overlayer coverage in monolayers (ML).

Figure 13.2. Schematic representation of the island density n as a function of the


coverage θ during three-dimensional growth. The value nmax is the maximum island
number density. The island formation rate dn/dt decreases and becomes negative
with increasing θ due to island coalescence. The remaining channels and voids are
filled by “secondary nucleation,” island growth, and coalescence.
710 Deposition Technologies for Films and Coatings

2.1 Three-Dimensional Nucleation and Growth

Figure 13.3 schematically illustrates the essential features involved in


3-D nucleation and growth. An impinging flux of film species must first be
thermally accommodated with the substrate. This typically occurs within a
few vibrational periods. The adatoms can then diffuse on the surface to
interact with other adatoms or re-evaporate. A fraction of the adatom clusters
continue to grow in size and become islands which in turn coalesce to form
a continuous film. Note that at sufficiently high deposition rates R or low
deposition temperatures such that R > NoDs, where No is the substrate
surface site number density (of the order of 1015 cm-2 depending upon
material and orientation) and Ds is the adatom surface diffusivity, the film is
amorphous. This occurs since the adatoms do not have enough time to
diffuse across the surface and find low energy sites before they are buried
by subsequently deposited adatoms. Covalently and ionically bonded
materials have low packing densities and strong bonding directionality, and
are thus easily deposited in the amorphous state. Metals, on the other hand,
exhibit much higher diffusivities and are considerably more difficult to obtain
in the amorphous state.

Figure 13.3. Schematic representation of processes leading to three-dimensional


nucleation and film growth.

From Fig. 13.3, the minimum thermodynamic requirements to obtain


net deposition would appear to be that the condensate pressure P in the gas
phase equal its equilibrium vapor pressure Pe over the solid. Actually
Nucleation, Film Growth, and Microstructural Evolution 711

however, the supersaturation ratio S = P/P e must be larger than one since
small particles such as nuclei have a larger vapor pressure than that of bulk
material due to their high surface-to-volume ratio.
A large surface-to-volume ratio also leads directly to the requirement
that clusters must be greater than a certain minimum critical size in order for
growth to occur. This is easiest to understand in the case of homogeneous
nucleation such as the formation of an embryonic ice particle in water cooled
below its freezing point Tm. At temperatures less than Tm, solidification
lowers the volume free energy ∆Gv of the system and is, hence, favorable.
However, the formation of the solid particle increases the total Gibbs free
energy ∆G since it introduces new interfacial surface area. The difference
between the decrease in ∆Gv and the increase in the free energy Γ results
in a free energy activation barrier for nucleation. That is, the incipient clusters
must reach a critical size before the volume term overcomes the surface term
and stable nuclei are formed.
Most theoretical treatments of heterogeneous three-dimensional
nucleation from the vapor phase are an extension of homogeneous nucle-
ation theory using the capillarity, or droplet model.[7]-[9] More sophisticated
treatments are also available.[5][10][11] However, the capillarity model has
the virtue of being simple while still retaining all the essential physical
features observed in experiments. In any case, all models, even the more
sophisticated ones, suffer from several shortcomings. For example, macro-
scopic thermodynamic values, which are not strictly appropriate for small
clusters, are used for surface energies, free energies of formation, contact
angles, etc. In addition, convenient geometries, e.g., a spherical cap, are
used to represent nuclei which are often anisotropic or crystallographic in
shape.[6] Thus, for clarity of discussion, the capillarity model will be used in
this chapter.
Assume that a cluster of mean dimension r forms on a solid surface.
The cluster has a surface area a1r2 exposed to the vapor phase, a contact
area a2r2 with the substrate, and a volume a3 r3 where the ai terms are
constants of geometry. The total free energy of the cluster with respect to
dissociation into the vapor phase is

Eq. (1) ∆G = a1r2Γc-v + a2r2Γs-c - a2 r2 Γs-v + a3r3∆Gv

Γc-v is the positive free energy associated with the formation of a new surface
between the condensate and the vapor phase; Γs-c, which may be either
positive or negative, is the surface free energy between the substrate and the
712 Deposition Technologies for Films and Coatings

condensate; and the term a2 r2 Γs-v accounts for the disappearance of free
substrate area.
The problem can be simplified if we consider an isotropic geometry
such as a spherical cluster. Equation 1 then becomes

Eq. (2) ∆G = 4/3(π r3) ∆Gv + 4π r2 Γc-v

Equation 2 is plotted in Fig. 13.4 showing that there is an activation free-


energy barrier ∆G* to nucleation. Clusters larger than the critical size r* can
lower their free energy by continuing to grow while clusters with r < r* will
dissolve. An expression for the critical cluster size r* can be obtained by
maximizing ∆G in Eq. 2, i.e., by setting δ (∆G) /δr = 0, and solving to yield

Eq. (3) r * = − 2Γ
∆Gv

where, for simplicity of notation, Γ is used to represent Γc- v. The free energy
barrier is then obtained by substituting Eq. 3 into Eq. 2 to give

16πΓ 3
Eq. (4) ∆G ∗ =
3(∆ Gv )
2

The term ∆Gv in Eqs. 3 and 4 can be evaluated using a general


expression of the combined first and second laws of thermodynamics

Eq. (5) d(∆G) = VdP - SdT

where V and S are the system volume and entropy, respectively. Substitut-
ing the ideal gas law PV = kT at constant temperature,

Eq. (6) d( ∆G) = kT dP


P

Since ∆Gv is just ∆G/Ω where Ω is the volume of an adatom, then

kT P kT
Eq. (7) ∆Gv = ln = ln(S)
Ω Pe Ω

Substituting Eq. 7 into 3 gives

2ΩΓ
Eq. (8) r* = −
kT ln(S)
Nucleation, Film Growth, and Microstructural Evolution 713

Figure 13.4. Schematic diagram showing free energy vs. the radius r of a
spherical nuclei.

Equation 8 shows that r* decreases as the supersaturation S increas-


es. S can be increased either by increasing P, i.e. increasing the incidentflux
of condensing species, or by decreasing Pe which depends exponentially on
the growth temperature Ts. That is, r* decreases as Ts decreases. An order
of magnitude estimate of r* can be obtained from Eq. 8. Γ for a noble metal
is of the order of 1000 ergs/cm2. Assuming a supersaturation of 2 x 104 at
300 K gives r* ≈ 0.5 nm. This is in reasonable agreement with experimental
results[7] obtained by the use of field electron microscopy (FEM) and
transmission electron microscopy (TEM) observations fitted to nucleation
kinetics models.[5][10] Such models, originally developed by Zinmeister,[11a]
are based upon rate theory in which a set of differential equations of the form

dn1 n
= R − 1 − 2 J1 − ∑ Ji
Eq. (9a) dt τd i ≥1

dn 1
Eq. (9b) = J i −1 − J i (i ≥ 2)
dt
714 Deposition Technologies for Films and Coatings

is used to describe the nucleation process. n i is the number density of


clusters containing i atoms, τ d is the mean residence time of an adatom,
and Ji is the net capture rate of adatoms by clusters of size i atoms. The
mean residence time τd depends exponentially on the desorption energy
E d and J i is proportional to the product of a capture cross-section and the
adatom surface diffusivity Ds(T s) which is, in turn, exponentially depen-
dent upon the activation energy for surface diffusion Es. The experi-
ments involve measuring n i as a function of R and T s to obtain critical
nuclei sizes (typically one to a few atoms at low temperatures) and
activation energies for adatom surface diffusion and desorption.
As illustrated in Fig. 13.3, critical nuclei can grow by direct impinge-
ment and capture of vapor-phase species and by the capture of con-
densed adatoms diffusing across the substrate surface. At low cover-
ages, the second mechanism dominates as nuclei capture any adatoms
within a diffusion distance x = (2 Dsτs) 1/2 where τs is the mean time
between surface diffusion jumps. Nucleation density measurements by
TEM, carried out as a function of R and T s, thus provide an estimate of
x and, hence, D s.
A schematic illustration of sequential steps during the early stages
of three-dimensional film growth, as determined from transmission elec-
tron microscopy (TEM) studies, is shown in Fig. 13.5. Supercritical nuclei
are first observed at sizes corresponding to the resolution of the micro-
scope. With further deposition time, the average nuclei size as well as
the number density n increases. n, however, reaches a maximum at a
value typically in the range from 10 10 to 1012 cm -2 corresponding to an
average island size of 10 to 100 nm. Continued deposition then leads to
a decrease in n due to coalescence of adjacent clusters. Coalescence
is often described as occurring in a “liquid-like” manner although electron
diffraction results show that is generally a solid-state reaction. (Note that
liquid clusters have been reported for low melting point, Tm, films grown at
high Ts/Tm ratios[12] due to the depression in the freezing point associated
with small clusters.[13]
As large islands continue to grow by capture of mobile adatoms and
small clusters as well as by coalescence with nearby smaller islands and
occasionally with other large islands, the film becomes semi-continuous
with a network of channels and holes. Secondary nucleation, nuclei
growth, and island coalescence can also occur in the voids. The
secondary islands are then incorporated into the growing film as it
becomes continuous.
Nucleation, Film Growth, and Microstructural Evolution 715

Figure 13.5. Schematic illustration of sequential steps during nucleation and the
early stages of film growth. (From Ref. 8.)

Figure 13.6, taken from the work of Donohoe and Robins,[14] is a plot
of the number density of evaporated Au nuclei on NaCl(100) as deter-
mined by replication TEM studies carried out as a function of deposition
time.[15] The experiments were performed in ultrahigh vacuum using a
deposition rate of 0.1 nm/min onto vacuum-cleaved substrates maintained
at 250oC. The number density n reached a maximum of≈1.5 x 1011 cm-2 after
depositing an equivalent thickness of ≈1 nm. A series of electron micro-
graphs which provided some of the data for Fig. 13.6 are shown in Fig. 13.7.
Both n and the average island size are increasing in the sequence Figs. 13.7a
(0.5 min of deposition) through 13.7d (8 min of deposition) with a significant
amount of coalescence clearly observable in Fig. 13.7d.
A series of micrographs illustrating the nature of the morphological
changes which occur during coalescence are shown in Fig. 13.8 from the
work of Pashley et al.[15] on the growth of Au on MoS2 at 400oC. The clusters
labeled A and B in Fig. 13.8a, observed at time t, have well-defined
crystallographic shapes. As the islands touch in Fig. 13.8b, taken 1 to 2 s
later, there is a very rapid mass transport between them. The driving force
for coalescence is a reduction in surface energy causing the islands to
become taller and more rounded. Their total projected area on the substrate
716 Deposition Technologies for Films and Coatings

is decreased allowing further secondary nucleation. In the early stages of


deposition when the fractional coverage is still relatively low, composite
islands after coalescing can once more assume a crystallographic shape as
shown in Fig. 13.8c which was taken at time (t + 60) s. Recrystallization,
especially for the smaller islands, can also occur during coalescence. This
tends to eliminate mutual misorientation and provide an eventual average
grain size which is much larger than the average nuclei size prior to
coalescence.

Figure 13.6. Nuclei number density as a function of deposition time for Au


evaporated onto NaCl(100). The deposition rate R and growth temperature Ts were
1013 cm-2 s -1 and 250oC, respectively. (From Ref. 14.)

As islands continue to coalesce, holes and channels are left in an


otherwise continuous film. These voids are eventually filled by secondary
nucleation and island growth. Figure 13.9[15] is a sequence of transmission
electron micrographs showing the liquid-like bridging and filling of a channel
in a Au overlayer on MoS2 . Islands resulting from secondary nuclei are also
visible.
Films which display a dense population of small islands during the initial
stages of deposition will become continuous at a relatively low average film
thickness, typically a few nm to a few tens of nm. However, films consisting
of only a few large islands during the early stages of deposition will exhibit
an island structure which persists up to relatively large average film
thicknesses.
Nucleation, Film Growth, and Microstructural Evolution 717

Figure 13.7. Replication transmission electron micrographs of Au islands on


NaCl(100) as a function of deposition time: (a) 0.5 min, (b) 1.5 min, (c) 4 min, (d) 8
min, (e) 10 min, (f) 15 min, (g) 30 min, and (h) 85 min. The deposition rate R and
growth temperature Ts were 1x10 13 cm-2 s-1 and 250 oC, respectively. (From Ref.
14.)
718 Deposition Technologies for Films and Coatings

Figure 13.8. Successive transmission electron micrographs showing the coales-


cence of Au islands (labeled A and B) on MoS2 during deposition at 400oC. (From
Ref. 15.)

Figure 13.9. Successive transmission electron micrographs showing the filling of


a channel during Au deposition on MoS2 at 400oC. (From Ref. 15.)
Nucleation, Film Growth, and Microstructural Evolution 719

The average thickness at which three-dimensionally nucleated films


become continuous depends primarily upon the film and substrate materials,
the supersaturation, and Ts. This can be demonstrated by re-deriving Eq. 3,
this time accounting for all of the terms in Eq. 1. The critical cluster size then
becomes

−2 a1Γc − v + a 2 Γs − c − a 2 Γs − v
Eq. (10) r* =
3 a 3 ∆Gv

Thus, r* can be decreased, leading to lower average thicknesses, <t>,


required for obtaining a continuous films, by choosing a low surface-energy
condensate, a high surface-energy substrate, and a condensate/substrate
combination with a low interfacial energy.
Equation 10 also shows that r*, and hence <t>, varies inversely with
∆Gv. Therefore, following the earlier discussion of Eq. 8, <t> should
decrease with an increase in the deposition rate (i.e., the degree of super-
saturation) at constant growth temperature, and with a decrease in Ts at
constant R. Note, however, that orders-of-magnitude changes in R are
required in order to significantly affect r* since ∆Gv only depends logarithmi-
cally on the supersaturation. Finally, for given values of both R and Ts, lower
values of r* and <t> are also expected for materials which have high boiling
(or sublimation) temperatures such as W, Mo, Ta, Pt, and Ni. This follows
from the fact that they exhibit lower equilibrium vapor pressures, and hence
higher supersaturation rates, than low-boiling-temperature materials depos-
ited under the same conditions.
The average grain size <d> of thin polycrystalline films, except in the
case of deposition under conditions which result in very low adatom surface
mobility, will be larger than the critical nucleus size and, generally, larger
even than the average island size upon coalescence. However, <d> will
usually be less than the film thickness t and will increase with increasing t.
Typical results are shown in Fig. 13.10a, for evaporated InSb films on
cleaved mica substrates.[16] The films were grown at ambient temperatures
and then annealed at 465oC. Similar results were obtained by Greene and
Wickersham[17] for sputter deposited InSb on CaF2(111). The latter authors
also found (see Fig. 13.10b) that for a given film thickness, <d> increased
with both increasing Ts and decreasing R as expected. Increasing Ts results
in an increase in adatom diffusivity while decreasing R provides adatoms a
longer time to find low-energy sites before they become buried by subse-
quent layers.
720 Deposition Technologies for Films and Coatings

Figure 13.10a. Average grain size <d> vs. film thickness t for InSb layers deposited
by evaporation onto cleaved mica substrates at ambient temperature and then
annealed at 465oC. (From Ref. 16.)

Figure 13.10b. Average grain size <d> normalized to the film thickness t plotted as
a function of the growth temperature Ts and the deposition rate R of InSb layers
deposited on CaF2(111) substrates by rf sputtering. (From Ref. 17.)
Nucleation, Film Growth, and Microstructural Evolution 721

In addition to large-angle grain boundaries, a high density of other


mechanical defects such as dislocations, dislocation loops, twins, stacking
faults, and low-angle boundaries are often observed in polycrystalline films.
Electron microscopy studies[18] have shown that islands during the initial
stages of epitaxial film growth are essentially perfect crystallites. However,
as coalescence occurs, the observed defect density increases rapidly.
Figure 13.11[19] shows dislocation densities as a function of the thickness of
Au films evaporated onto cleaved MoS2(111) at 300oC. Such dislocations,
as well as other line and volume defects, form for a variety of reasons. Island
rotation and recrystallization to eliminate misorientation during coalescence
becomes more difficult in the later stages of growth as the islands become
larger. Stresses in continuous films due to film/substrate lattice constant and
thermal expansion mismatch can be partially relieved by the generation of
climbing dislocations. Contamination can also play an important role by
inhibiting island re-orientation and recrystallization during coalescence.[20]

Figure 13.11. Dislocation density as a function of film thickness t for Au layers


deposited by evaporation onto cleaved MoS2(111) substrates at 300oC. (From Ref.
19.)

2.2 Two-Dimensional Nucleation and Growth

Much of the discussion of three dimensional nucleation in the above


section evolved from the implicit assumption in Eq. 1 that
722 Deposition Technologies for Films and Coatings

Eq. (11) 2
(
a2 r Γs −v < a2 r Γs −c + a1r Γc −v
2 2
)
In other words, the net surface free energy associated with the formation of
a cluster is positive. This led directly to the establishment of a free-energy
barrier (or, equivalently, a critical cluster size) to be overcome in order for
embryonic clusters to grow and, in turn, required that the supersaturation
necessary to obtain film growth be greater than unity. The assumption
represented by Eq. 11 is generally a good one. However, there are cases,
such as, for example, the growth of material A on a clean single-crystal
surface of A in ultra-high vacuum (UHV), where Eq. 11 does not hold and no
nucleation barrier exists. Instead, growth occurs in a quasi layer-by-layer
fashion with the motion of steps, which may be as small as one monolayer,
across the surface. In the presence of such steps, often insured by the use
of substrates with vicinal surfaces, growth can proceed with supersatura-
tions approaching unity. Even in the absence of an initially high step density,
steps can be created under conditions of high supersaturation.
A more general description of the requirement for a film/substrate
system to exhibit two-dimensional nucleation is that the desorption energy
of film atoms condensed on the substrate be equal to or larger than the
desorption energy of film atoms condensed on other film atoms. That is,

Eq. (12) Ed,s ≥ Ed,f

where Ed,s and Ed,f are the desorption energies for film adatoms on sub-
strate and film surfaces respectively. One obvious way to fulfill this
requirement is to grow a film on a substrate of the same material, e.g., Si on
Si or GaAs on GaAs, under very clean conditions. In the case of Si, it was
shown by Joyce et. al.[21] that small traces of carbon, near the detection limit
of Auger spectroscopy (≈ 0.01 monolayer), resulted in island formation
during film growth on Si(111)7x7 surfaces. Reflection high-energy electron
diffraction (RHEED) analysis showed that the carbon was in the form of β-
SiC particles.[22] Removing the carbon by flash heating the sample to ≥
1200o C,[21] sputter cleaning and annealing,[23] or depositing a thick buffer
layer over the contaminated surface[24] resulted in two-dimensional nucle-
ation and growth of Si films by molecular beam epitaxy (MBE),[24] chemical
vapor deposition (CVD),[23] and sputter deposition. [25]
RHEED has long been used as an in situ diagnostic technique for
investigating surface reconstruction and roughness during film growth.
However, more recently, it has been applied to in situ nucleation studies (see,
for example, Refs. 26 - 28). In one mode of operation, the time variation of
Nucleation, Film Growth, and Microstructural Evolution 723

the intensity (RHEED oscillations) of a particular RHEED reflection is


recorded. RHEED oscillations occur due to periodic changes in island and
step number densities (i.e., alternate surface roughening and smoothening)
during two-dimensional growth. Examples are shown in Fig. 13.12 for MBE
GaAs growth at Ts = 600o C on a vicinal (100) substrate cut at an angle toward
the [110] direction to provide terrace lengths of 280 nm. The deposition rate
(controlled by the Ga flux) was varied such that the time to deposit one
monolayer (one oscillation period) was: (a) 150 s, (b) 40 s, (c) 16 s, and (d)
5 s. Note that both the amplitude and the minimum value of the intensity
oscillations decrease with increasing Ga flux indicating a rougher surface at
higher deposition rates.
Van Hove and Cohen[27] used the data in Fig. 13.12 together with a
two-level diffraction model to extract surface-adatom diffusion lengths. The
overall decrease in oscillation amplitude which occurs with increasing
deposition time is an indication of increasing surface roughness. Van Hove
and Cohen also showed that extremely smooth growth surfaces can be
obtained by starting with vicinal substrates having very short terrace lengths
such that the dominate growth mode is step propagation and island
formation is minimized. In this case, RHEED oscillations are not observed
since the average terrace lengths do not change with time. Rockett [29][30]
has recently applied Monte-Carlo simulation techniques to model surface
roughening during two-dimensional Si growth and used the results to
calculate RHEED oscillations.

Figure 13.12. RHEED intensity oscillations during GaAs MBE growth on a vicinal
(100) GaAs substrate at 600oC. The deposition flux was varied such that the time
to deposit one bilayer was: (a) 150 s, (b) 40 s, (c) 16 s, and (d) 5 s. (From Ref. 27.)
724 Deposition Technologies for Films and Coatings

Two-dimensional heterostructure growth has also been investigated


using RHEED oscillations. Figure 13.13 shows that the recovery time for the
intensity of a specular RHEED beam from (100)2x4 reconstructed surfaces
is much longer for Ga0.79Al0.21Ga layers than for GaAs[31] indicating that the
mean adatom diffusion length decreases in the presence of Al. Note that only
one monolayer of GaAs on (Ga,Al)As dramatically decreases the recovery
time.

Figure 13.13. RHEED intensity data showing differences in the recovery time for
the intensity of a specular beam after shuttering the group-III effusion cells during
the MBE growth of GaAs and (Ga,Al)As on GaAs(100). (From Ref. 31.)

The growth of rare-gas crystals on a variety of substrates also fulfills the


conditions given by Eq. 11. Price and Venables[32] have used in situ
transmission electron microscopy to investigate the two-dimensional nucle-
ation of fcc Ar, Kr, and Xe crystals on graphite. Uniform epitaxial layer
Nucleation, Film Growth, and Microstructural Evolution 725

growth of Xe was observed at substrate temperatures between 9 and 55 K


and for incident fluxes from 2 x 1014 to 1017 cm-2 s-1. The orientation
relationships observed were Xe(111) || C(0001) and Xe( 220 ) || C(1010).
The authors noted that, as in the case of Si epitaxy, small amounts of
substrate surface contamination were sufficient to cause the nucleation
mode to revert to three-dimensional.
An interesting metallic heterostructure system which exhibits two-
dimensional nucleation, Cd on W, was investigated by Wagner and
Voorhoeve.[33] The authors used a combination of mass spectrometric
desorption measurements as a function of Ts and overlayer coverage θ with
replication TEM to study nucleation and the initial stages of crystal growth in
UHV. They found that the desorption energy of Cd on W was greater than
that for Cd on Cd. On clean polycrystalline W substrates, Ed decreased from
an initial value of 2.2 eV at low coverages to a value approximately equal to
the heat of sublimation of bulk Cd, 1.2 eV, at a coverage of a few monolayers.
Within a given W grain, Cd grew epitaxially at Ts ≈ 100oC. Film growth
occurred with no barrier to nucleation, regardless of coverage, and no
supersaturation was required. The introduction of a small amount of oxygen
(less than a monolayer) reduced the growth rate, provided a nucleation
barrier which changed the nucleation mode to three dimensional, and
inhibited epitaxial growth.[34] The replication electron micrographs in Fig.
13.14 show the effect of oxygen contamination very graphically. Other
examples of two dimensional growth of metals on metals include Pb on
Cu(111)[52] and Bi on Cu(100).[36]
Heteroepitaxial films which are grown in a layer-by-layer fashion are
often pseudomorphic with the substrate up to a critical thickness at which
misfit dislocations are generated. That is, in thin layers the lattice constant
mismatch is accommodated through elastic strain and the film/substrate
interface is coherent. Figure 13.15[37] shows measured changes in film
lattice constants as a function of thickness for PbSe on PbTe, PbSe on PbS,
and Au on Pd. The first two films were grown at 230o C while the latter was
grown at 300oC. The lattice of PbSe is ≈ 5.3% smaller than PbTe leaving the
film in tension during growth while the other two systems correspond to the
introduction of a compressive stress in the film. In each case, the lattice
constant of very thin films, < 2 nm, was approximately equal to that of the
substrate. As the films became thicker, they were less elastic and their lattice
constant increased towards the bulk film value. At critical film thicknesses,
the interfaces became incoherent as dislocations and other mechanical
defects were generated.
726 Deposition Technologies for Films and Coatings

Figure 13.14. Replication transmission electron micrographs of (a) Cd deposited


epitaxially on polycrystalline W by evaporation in UHV (from Ref. 33) and (b) Cd
deposited on polycrystalline W in the presence of less than a monolayer of oxygen
contamination (from Ref. 34). The upper and lower micrographs illustrate “layer-by-
layer” and three-dimensional nucleation and growth, respectively.
Nucleation, Film Growth, and Microstructural Evolution 727

Figure 13.15. Film/substrate lattice-constant mismatch plotted as a function of film


thickness for: (a) PbSe on PbTe, (b) PbSe on PbS, and (c) Au on Pd. (From Ref.
37.)
728 Deposition Technologies for Films and Coatings

Eltoukhy and Greene[38] found that sputter-deposited single-crystal


InSb/GaSb(100) interfaces (room-temperature lattice-constant mismatch
≈ 7%) became completely incoherent in a superlattice with layer thicknesses
of ≥ 3.5 nm. This was in good agreement with theoretical predictions. Using
expressions derived by Matthews and Blakeslee,[39] the critical thicknesses
for (100) and (111) interfaces were calculated to be 2 and 5.7 nm respec-
tively. In the (110) case, incoherence was associated with the generation
of 60o dislocations with ½a<110> Burgers vectors on {111} slip planes.
Another interesting example of pseudomorphism is the MBE growth of
GexSi1-x alloys on Si(100) at 550o C.[40] Single-crystal films with completely
coherent interfaces, as judged by cross-sectional TEM, were obtained with
alloys having compositions out to at least 50 at. % Ge. The critical thickness
was found to vary from ≥ 10 nm for films with x = 0.5 up to ≈250 nm for
Ge0.2Si0.8. These thicknesses are quite large, certainly larger than would be
predicted from an equilibrium analysis of strain energy (room temperature Si-
Ge lattice mismatch, ≈ 4.5%) and dislocation formation.[40a][40b]

2.3 Stranski-Krastanov Nucleation and Growth

Stranski-Krastanov growth refers to a mixed-mode in which the film


initially nucleates two-dimensionally and then transforms to three-dimen-
sional growth. A wide variety of systems including Cu on Ag(111),[41] Cu on
Mo(100),[42] Sb on W(110),[43] K on W(100),[44] Ag on Si(111)7x7,[45] In on
Si(100)2x1,[6] and InAs/GaAs(100)[46] follow this growth mode. The name
derives from a calculation by Stranski and Krastanov[47] in which they
showed that for a monovalent ionic crystal M+X- condensing onto a divalent
M2+X2- substrate, the second M+X - layer is less strongly bound, while the first
M+X - epitaxial layer is more tightly bound, than the surface layer of a bulk MX
crystal. The normalized desorption energy as a function of film layer
thickness is shown schematically in Fig. 13.16[48] for representative two-
dimensional (Xe/graphite),[49] three-dimensional (Au/KCl), and Stranski-
Krastanov (K/W) systems.
Figure 13.17, is a plot of the peak-to-peak intensities of differentiated
Auger electron spectroscopy (AES) In 404 eV MN4,5N4,5 and Si 92 eV
LM2,3M2,3 lines as a function of In coverage θ In on Si(100)2x1 at Ts = 70oC.[6]
The data were taken in situ during an MBE nucleation experiment and
provide, together with RHEED and low-energy electron diffraction (LEED)
data, a clear signature of Stranski-Krastanov growth. The solid line in
Fig. 13.17 represents calculated Si AES intensities ISi based upon two-
Nucleation, Film Growth, and Microstructural Evolution 729

dimensional growth. The escape depth for Si Auger electrons through the
In overlayer was obtained by fitting data from the first In monolayer (ML).

Figure 13.16. Adatom desorption energy Ed plotted as a function of the number of


adlayers for Au on KCl, Xe on graphite, and K on W. Each system is representative
of a different growth mode. (From Ref. 48.)

Figure 13.17. Peak-to-peak intensities I of differentiated Si 92 eV and In 404 eV


Auger lines as a function of In coverage θ on Si(100)2x1 substrates at 70 and 300oC.
A calculated curve for ISi vs. θ at 70oC, assuming two-dimensional growth, is also
shown. (From Ref. 6.)
730 Deposition Technologies for Films and Coatings

During deposition of the first three monolayers at Ts = 70 oC, the


measured Auger intensities were in good agreement with the intensities
calculated for two-dimensional growth. At higher coverages, however, the
rate of change of the experimentally obtained intensities was much less than
that of the calculated curves, indicating the onset of three-dimensional
growth on top of the two-dimensional adlayer. The local maximum in IIn and
the corresponding local minimum in ISi at θ ≈ 3.5 ML in Fig. 13.17 is due to
the fact that nucleation of three-dimensional islands requires a critical
supersaturation of adatoms in order to obtain nuclei of critical size r* (see
Sec. 2.1). However, once nuclei with r > r* were formed, further growth
occurred three-dimensionally. Note that at Ts = 300oC, three-dimensional
growth begins at θIn = 1 ML.
Figures 13.18a and 13.18b show high-resolution scanning electron
micrographs of the surfaces of clean Si(100) samples with In coverages of
6 and 200 ML, respectively. Island growth is seen to be highly oriented with
the islands forming single-crystal polyhedra having major axes along the
[011] and [011 ] directions. Island orientations were easily obtained from
electron channeling patterns of the Si(100) substrate since the islands
covered only ≈ 1% of the surface in Fig. 13.18a and ≈ 7% in Fig. 13.18b.
The quasi “one-dimensional wire” shaped In islands that form along
<011> directions at θIn > 3 ML exhibit a clear crystallographic relationship
with the underlying substrate. However, contamination of the substrate with
less than 0.01 ML of oxygen and carbon prior to deposition completely
altered the nucleation mode from Stranski-Krastanov to three-dimensional
growth of hemispherical islands. The formation of the elongated islands on
clean substrates was explained based upon diffraction[6][50] and sychnrotron
XPS core-level studies[51] of the In-stabilized Si(100)2x2-In surface which
has one-dimensional channels along <011> directions. Assuming that the
Si(100)2x1-In surface has similar channels, one would expect higher adatom
mobility down the channels rather than across them. Enhanced mobilities
along surface channels have been observed directly for fcc and bcc metal
surfaces using field-ion microscopy and atom-probe techniques.[52]

3.0 COMPUTER SIMULATIONS OF MICROSTRUCTURE


EVOLUTION

Continued deposition past the nucleation stage eventually leads to


island coalescence and the growth of a continuous layer. The film micro-
structure, especially during deposition at relatively low temperatures, contin-
ues to evolve from the nucleation coalescence stage often through many
Nucleation, Film Growth, and Microstructural Evolution 731

Figure 13.18. Scanning electron micrographs of polyhedral In islands on


Si(100)2x1 for coverages θ of 6 and 200 monolayers (Ts = 70°C). The islands
are oriented along [011] and [ 011 ] directions. (From Ref. 6.)
732 Deposition Technologies for Films and Coatings

hundreds of nanometers to micrometers of film thickness, before reaching a


steady-state configuration. Recent computer simulations of microstructural
evolution have been shown to qualitatively explain many of the features
observed experimentally (see Sec. 4 for a discussion of experimental
results). In addition, the simulations provide a very useful tool for visualizing,
as well as for testing, atomistic models of film growth.

3.1 Film Growth in the Ballistic Aggregation, Low-Adatom Mobility,


Limit

The cross-sections of both polycrystalline and amorphous films depos-


ited from the vapor phase at low temperatures are typically composed of
open columnar structures with extended voids along the column boundaries.
(“Low-temperatures” in the above sense generally corresponds to deposi-
tion temperatures Ts which are less than ~0.3 of the melting point Tm of the
deposited material). Figure 13.19 is a scanning electron micrograph
showing an example of such a microstructure observed in a Cr film deposited
by cylindrical-magnetron sputter deposition onto a glass substrate cooled by
liquid nitrogen.[53] (For reviews of early literature citing many examples of
open columnar microstructures, see Refs. 54 and 55.) The porous network
in such columnar films results in poor mechanical properties together with
optical properties which are very sensitive to the environment, due to
adsorption of water vapor and other atmospheric contaminants in the voids
upon air-exposure. Other properties, such as magnetization and electrical
resistivity, are extremely anisotropic.

Figure 13.19. Scanning electron micrograph of a Cr film deposited by cylindrical-


magnetron sputter deposition onto a glass substrate cooled by liquid nitrogen.
(From Ref. 53.)
Nucleation, Film Growth, and Microstructural Evolution 733

Monte Carlo computer simulations[54]-[58] have shown that the open


columnar structure is caused by low-adatom mobilities combined with self-
shadowing by previously deposited atoms. Figure 13.20 shows the results
of ballistic aggregation simulations of film growth using hard-sphere atoms
which are incident at randomly chosen surface positions.[54] The adatoms
are not allowed to diffuse over the surface but only to relax into the nearest-
lying cradle formed by at least two deposited atoms. As a consequence,
extended microvoid formation leading to columnar structures occurs due to
atomic self-shadowing by protruding clusters and small ledges. The simu-
lated microstructures in Fig. 13.20 are slices, five atom diameters thick,
through three-dimensional arrays. The columnar structure becomes in-
creasing more noticeable with larger angles of incidence α, measured with
respect to the substrate surface normal, of the vapor flux.

Figure 13.20. Monte-Carlo computer simulations of amorphous films deposited


with incident flux angles a of (a) 45°, (b) 60° , and (c) 75 °. (From Ref. 54.) The figures
show slices, five atoms thick, through three-dimensional arrays.

Films with underdense columnar microstructures such as shown in Fig.


13.19 are typically found to exhibit in-plane tensile stress.[59]-[61] This was
shown by Müller,[62] using molecular-dynamic computer simulations of the
growth of a Lennard-Jones metal, to be due to attractive interatomic forces
in microvoided regions. Note, however, that contamination along intercon-
734 Deposition Technologies for Films and Coatings

nected column and void boundaries following air-exposure of the film can
result in large, and time-dependent, changes in measured stress values.

3.2 Effects of Adatom Migration

Müller[58] has included adatom migration effects in microstructure-


evolution growth simulations. He allowed thermally-activated adatoms to
jump to empty neighboring sites of maximum coordination number. The
activation barrier∆E for migration on a terrace from a site i with Ni neighboring
atoms to site j with Nj neighbors was assumed to be

Eq. (13) ∆E = Q if Ni < Nj

= (Nj - N i)Φ + Q otherwise

where Q is the activation energy for surface diffusion and Φ is the energy of
a single bond. Boltzmann statistics were used to simulate fluctuations in
adatom vibrational energy. Müller found that above a critical temperature
range the porous columnar microstructure changes to a configuration of
maximum packing density. That is, the hopping rate of adatoms to shadowed
regions becomes large enough to exceed the rate of void incorporation.
Figure 13.21 shows calculated results for a two-dimensional Ni lattice (Φ =
- 0.74 eV) deposited at a rate of 1 nm s-1 with a vapor impingement angle α
= 45o . The lower three atom layers in the figure correspond to the substrate.
The typical open columnar structure characterizing low adatom mobility
growth was obtained at Ts = 350 K. Increasing the deposition temperature
to 420 K resulted in a film with much higher density, although still columnar.
At Ts = 450 K, a fully dense film with local defects was obtained.
Müller’s simulations also predict that the temperature range over which
the transition occurs from a film with a columnar microstructure to one that
is densely packed increases slowly with increasing deposition rate. Figure
13.22 shows results for a Ni film deposited at α = 45o in which R was
increased from 0.01 to 100 mn s-1 . The four orders of magnitude increase
in deposition rate raised the “transition” temperature from 340 to 525 K since
higher adatom migration rates were required to overcome the larger void
incorporation at higher deposition rates.
Nucleation, Film Growth, and Microstructural Evolution
Figure 13.21. Computer-simulated two-dimensional microstructures of Ni films deposited with an incident flux angle α = 45o,
deposition rate R = 1 nm/s, and growth temperatures Ts of (a) 350 K, (b) 420 K, and (c) 450 K. The deposition time, t, is shown.

735
(From Ref. 58.)
736 Deposition Technologies for Films and Coatings

Monte Carlo simulations by Srolovitz and co-workers[63[[64] have re-


cently demonstrated mechanisms by which “grain growth”, leading to bimodel
grain size distributions, can occur during film deposition at temperatures
which are too low for significant grain boundary migration in the bulk, but high
enough to allow sufficient adatom diffusion for grain boundary migration to
occur at the free surface. In this model, the evolution of grain size is
determined by the curvature of the grain boundaries intersecting the growth
surface. The introduction of grains with low surface energy, i.e. crystallo-
graphic texture, in the early stages of film deposition was found to greatly
accelerate grain growth.[64]

Figure 13.22. Calculated packing densities as a function of growth temperature Ts


for Ni films grown at a vapor impingement angle α = 45o and depositions rates R =
0.01, 1, and 100 nm/s. Ta, Tb, and Tc are the “transition temperatures” for the three
R values. (From Ref. 58).

4.0 MICROSTRUCTURE EVOLUTION AND STRUCTURE-ZONE


MODELS

Movchan and Demchisin[65] were the first to categorize microstruc-


tures observed in vapor-deposited films using a structure-zone diagram
(SZD) in which the general features were schematically illustrated as a
function of the normalized growth temperature, Ts/T m. The SZD, repro-
duced in Fig. 13.23, was based on the results of their studies of the
microstructure of thick (0.3x10 3 - 2x10 3 µm) Ti, Ni, W, ZrO 2, and Al 2 O3
coatings deposited by high-rate (of the order of 100 - 700 µm h-1)
Nucleation, Film Growth, and Microstructural Evolution 737

electron-beam evaporation as well as general features reported by other


researchers. Based primarily upon optical metallographic studies, Movchan
and Demchisin concluded that their deposited coatings could be represented
as a function of Ts/Tm in terms of three zones, each with its own characteristic
microstructure and physical properties.

Figure 13.23. Structure-zone diagram for thick high-rate evaporated films. T1 and
T2 are the growth transition temperatures between zone-1/zone-2 and zone-2/zone-
3 microstructures, respectively, as described in the text. (From Ref. 65.)

Microstructures in zone 1 (Ts/Tm < 0.2 - 0.3) consisted of tapered


crystals with domed tops which are separated by voided boundaries. The
internal structures of the crystals, on the scale of the resolution of optical
metallography, was poorly defined. The crystallite width increased with
increasing Ts/Tm following a dependence that implies an apparent activation
energy, 0.1 - 0.2 eV, which is too low to be explained by grain-growth (i.e.,
bulk or surface diffusion) mechanisms. Zone 2 (Ts/Tm 0.3 - 0.5) microstruc-
tures consisted of columnar grains separated by dense intercrystalline
boundaries. The surface structure exhibited a more smooth matte appear-
ance. Average grain widths <d> were typically less than the film thickness
t and increased with increasing Ts/Tm. The dependence of <d> upon Ts/Tm
yielded apparent activation energies of the order of that expected for surface
diffusion. Zone 3 (0.5 < Ts/Tm < 1) microstructures consisted of more
equiaxed grains and a bright (for metals) smooth surface. The apparent
activation energy for <d> as a function of Ts/Tm corresponded to that of bulk
738 Deposition Technologies for Films and Coatings

self-diffusion. The transition between zone 2 and zone 3 microstructure was


gradual, thus the boundary was drawn with a positive slope to it.
The simplicity of the Movchan and Demchisin SZD insured its popularity
and many researchers showed that the general features represented in the
diagram also applied to films whose thickness was of the order of
micrometers rather than millimeters (see, for example, Ref. 66), to films
deposited by other techniques such as sputtering (see, for example, the
review by Thornton in Ref. 67), and to amorphous as well as polycrystalline
materials.[68]-[73]
Thornton[53][74] extended the Movchan and Demchisin SZD by
adding an additional axis to account for the pressure of the sputtering gas
during cylindrical-post magnetron sputter deposition of 25 - 250 µm thick
Ti, Cr, Fe, Cu, Mo, and Al coatings. The effect of increasing Ar pressure
in Fig. 13.24 is shown to increase the normalized temperatures at which
the zone boundaries occur. However, the sputtering gas pressure P is
not a fundamental parameter. Rather, the pressure affects the film
microstructure through several indirect mechanisms. Increasing the

Figure 13.24. Structure-zone diagram showing schematic microstructures of films


deposited by cylindrical magnetron sputtering as a function of growth temperature
and Ar pressure. (From Ref. 53.)
Nucleation, Film Growth, and Microstructural Evolution 739

pressure to values such that the mean-fee path for elastic collisions between
sputtered (or evaporated) species and the fill gas becomes of the order of the
source-substrate distance increases the oblique component of the deposi-
tion flux resulting in a more open zone-1 type structure. In addition,
decreasing the pressure during sputter deposition results in increased
energetic-particle bombardment, and hence densification, of the growing
film as discussed below in Sec. 5. Finally, it has been proposed that
increasing the inert gas pressure during deposition leads to a decrease in
adatom mobilities.[53] While this is undoubtedly true, it is probably not a major
effect since the surface residence time of physisorbed inert gas species is
very short, and hence the steady-state coverage is quite low.
Thornton also added an additional region, labeled zone T in Fig. 13.24,
to his SZD which consisted of a “dense array of poorly defined fibrous grains”
which represented the transition between zones 1 and 2. He defined the
zone T structure as the limiting form of the zone 1 structure at zero Ts/Tm.[67]
That is, the zone T “fibers” formed the internal structure of the zone 1
crystallites. Examples of fracture cross-sections of metal coatings exhibiting
zones 1, T, and 2 structures are shown in Fig. 13.25. The coatings were
deposited using cylindrical hollow-magnetron sputtering at the Ts/Tm values
indicated and examined by scanning electron microscopy (SEM).
Grovenor et al.[75] have further modified earlier SZDs based upon the
results of their plan-view transmission electron microscopy (TEM) examina-
tions of evaporated metal films with thicknesses of either 100 nm (Ni, Pt, Au,
Cu, Al, Pb, Ti, Co, W, and Cr) or 9 - 14 µm (Ni and Ni-Al alloys thinned from
both sides and examined at a thickness of ≈ 5-7 µm from the substrate).
These researchers observed that the tapered columns in the zone-1 struc-
ture are not single grains but are composed of bundles of small grains (with
sizes of order of tens of nm depending upon film thickness) which are
relatively equiaxed. Their zone 1 corresponded to Ts/Tm < 0.1 while zone T,
in which the small-grained substructure consisted of a bimodel distribution
of sizes, extended to Ts/Tm = 0.3.
Substructure was also observed in sputter-deposited amorphous Ge
films by Messier et al.[73] using a combination of SEM, TEM, and field-ion
microscopy. The microstructure of the a-Ge films consisted of columns
which were observed to be composed of smaller columns spanning several
different size scales, in a fractal-like behavior,[76] ranging from ≈ 2 nm to 300
nm. Messier further extended earlier SZDs by adding a film thickness axis
to underscore the evolutionary nature of film microstructure as the dominant
features move through different observable size scales.
740
Deposition Technologies for Films and Coatings
Figure 13.25. Scanning electron microscopy cross-sections of metal coatings deposited by cylindrical magnetron sputtering in Ar
illustrating (a) zone-1 microstructure, (b) zone-T microstructure, and (c) zone-2 microstructure. (From Ref. 53).
Nucleation, Film Growth, and Microstructural Evolution 741

The researchers proposing the structure-zone diagrams described


above discuss several mechanisms which play important roles in determin-
ing microstructural development. That is, the columnar structure with open
voided boundaries characteristic of zone 1 is formed due to atomic self-
shadowing and clustering effects which occur in the very low adatom-
mobility, or ballistic aggregation, growth regime. Increasing adatom surface
diffusion at higher growth temperatures (zones T and 2) give rise to denser,
although still columnar, structures while grain growth (recrystallization
during deposition) occurs at deposition temperatures above ≈ 0.5 Tm.
Grovenor et al.[75] also point out that activation energies of grain boundaries
are a function of their crystallography. Thus, even at low temperatures (e.g.,
zone T), a few boundaries could become mobile.
It is important to note that while SZDs provide a useful, simple, method
of qualitatively categorizing observed film microstructures, they do not
provide quantitative insight into the mechanisms of film growth. Moreover,
one should take care in using such diagrams to “predict” expected film
microstructures and properties since film-growth kinetics are strongly de-
pendent on other factors in addition to Ts/Tm. For example, substrate surface
roughness due to poor substrate preparation,[67] or patterning as used in
microelectronic device fabrication,[77] can promote zone-1 behavior at
elevated temperatures by enhancing shadowing due to oblique deposition
angles.
Contamination can play a significant, and often controlling role, in
determining film microstructure. Even very small concentrations of chemi-
cally-reactive species such as oxygen can have dramatic effects on nucle-
ation and growth kinetics as discussed in Sec. 5.2. In terms of SZDs,
contamination generally reduces adatom mobilities [78][79] and therefore
acts to promote zone-1 structures. Substrate material, crystal structure,
and orientation are also important (see discussion below in Sec. 5.2). This
is illustrated in the cross-sectional TEM (XTEM) micrographs in Fig.
13.26 which show microstructures of polycrystalline TiN films deposited
by reactive magnetron sputter deposition on a two-phase high-speed-
steel substrate. [80] Even at Ts = 200 oC (T s/T m = 0.15), the microstructure
of the film grown over the substrate martensetic matrix is a dense
columnar structure (zone 2) with single-crystal columns (as determined by
selective-area diffraction), many of which extend through the entire ≈ 4-µm-
thick film. Over the VC precipitates in the substrate, large TiN grains grew
epitaxially and, at higher growth temperatures (Ts = 500 oC, T s/T m = 0.24)
also extended throughout the thickness of the film. Low-energy particle
742
Deposition Technologies for Films and Coatings
Figure 13.26. Cross-sectional transmission electron micrographs of the microstructure of TiN films deposited by reactive magnetron
sputtering on the martensitic matrix and the MC carbide phase (VC) of high-speed steel substrates at (a) 200oC, (b) 450oC, and (c)
550oC. (From Ref. 80).
Nucleation, Film Growth, and Microstructural Evolution 743

bombardment of the substrate and growing film during deposition, as


often found in sputtering and plasma-assisted depositiontechniques and
discussed in detail in the following section, can also have significant effects
on film microstructure.

5.0 EFFECTS OF LOW-ENERGY ION IRRADIATION DURING FILM


GROWTH

Low-energy (often < 100 eV) ion and fast-neutral irradiation during
vapor-phase film growth has been shown to be useful for controllably altering
the microstructure of as-deposited layers. In PVD, ion irradiation during film
growth is commonly used in glow-discharge bias sputter deposition (see Ch.
5). In addition, low-energy ion bombardment is also an important technique
for modifying the properties of films deposited by primary-ion deposition
(PID) and ion-assisted molecular-beam epitaxy (MBE) as well as by CVD
techniques such as plasma-assisted chemical vapor deposition (PA-CVD).
Examples of applications in which low-energy ion/surface interac-
tions are used to modify film microstructure include: densification and
increased oxidation resistance in optical films; minimization or elimination
of columnar microstructure in microelectronic metallization layers; altering
the state of stress, average grain size, and preferred orientation; increased
film/substrate adhesion; enhanced conformal coverage; controlling mag-
netic anisotropy in recording layers; and low-temperature epitaxy. Although
the focus of this chapter is on microstructural effects, it is important to be
aware that ion irradiation is also used to controllably alter film microchem-
istry through collisionally-induced dissociative chemisorption,[81] preferen-
tial resputtering,[82]-[86] and selective deposition on patterned substrates.[87]-
[89] Ion irradiation has been used to promote the growth of unique new

single-crystal metastable semiconductors[90]-[92] and to increase elemental


incorporation probabilities with a corresponding decrease in segregation-
induced broadening of dopant profiles in MBE-grown Si.[93]-[95]

5.1 Effects of Low-Energy Ion/Surface Interactions on Nucleation


Kinetics

As discussed in recent review articles,[1]-[4] there have been many


reports in the literature over the past 10 to 15 years on nucleation studies
744 Deposition Technologies for Films and Coatings

involving low-energy ion irradiation during deposition. The experiments have


been carried out by a variety of means including: evaporation in which a
portion of the evaporant stream is ionized and accelerated to the substrate
(e.g., ion plating or PID from solid-source ion guns), evaporation in the
presence of a separate ion source which provides the accelerated particle
bombardment, and sputter deposition or PA-CVD with the application of a
substrate bias. Depending upon the deposition technique, there are, in
addition to ions which are purposely accelerated to the substrate, other
energetic particles such as secondary electrons, ions reflected (generally as
neutrals) from a sputtering target, UV photons, and sputtered species
incident at the substrate and growing film. Although the average ejection
energy of sputtered particles under typical deposition conditions is ≈ 5 - 20
eV, there is a high energy tail in the sputtered atom velocity distribution
extending well above this range.[96]
Since much of the early work concerning ion-irradiation effects on
nucleation kinetics was carried out in low to medium vacuum, at least part of
the observed effects were very likely due to sputter cleaning of the substrate.
Donahue and Reif[97] have argued, for example, that this effect played a
major role in allowing the homoepitaxial growth of Si by PA-CVD from SiH4
at substrate temperatures as low as 650o C. It should be noted, however, that
ion irradiation sometimes causes increased substrate contamination, par-
ticularly in the presence of high hydrocarbon background pressures, due to
collisionally-induced dissociative chemisorption.
Low-energy ion bombardment of the substrate and growing film can
lead, in addition to sputter cleaning, to fundamental changes in nucleation
kinetics. Examples of irradiation-induced effects include the production of
defects in the substrate surface which can act as preferred adsorption sites,
trapping or implanting of incident species in the near-surface region, the
dissociation of small clusters during the early stages of growth, enhanced
adatom diffusion, and local electric field effects due to charging. The effects
which dominate in a given experiment depend upon the film/substrate
combination, the energy Ei, flux Ji, and mass mi of the incident particles, and
the growth temperature Ts.
The number density of active ion-irradiation-induced preferential
nucleation sites n will be determined by the difference between the
production rate, which is a function of E i, Ji , and the ion/substrate
species, and the loss rate due to annealing (which increases with increasing
Ts) during deposition. Krikorian and Sneed[98] have shown, for example, that
ion irradiation can be used to either increase or decrease the nucleation rate dn/
Nucleation, Film Growth, and Microstructural Evolution 745

dt of Ge depending upon the choice of Ts and the substrate material. Figure


13.27 shows a comparison of island densities n for Ge deposited on
amorphous carbon substrates at 550oC by 5 keV Ar+ ion-beam sputtering
from a Ge target, and by evaporation at similar deposition rates and in similar
vacuum conditions, 10-7 to 10-8 Torr. The sputter-deposited films, which
were subjected to bombardment by both fast sputtered Ge species (average
energy ≈ 20 eV) and Ar ions backscattered from the target as fast neutrals,
exhibited much higher nucleation rates. In addition, nuclei coalescence
began to occur at considerably lower nominal film thicknesses in the sputter-
deposited layers than in the evaporated films.

Figure 13.27. Ge island number densities n on amorphous carbon substrates as


a function of time during deposition by evaporation (deposition rate R = 0.6 nm/min)
and ion-beam sputtering (R = 0.53 nm/min). In both cases, the film growth
temperature Ts was 550oC. (From Ref. 98.)

One of the first studies of the role of incident energetic species during
3-D nucleation was carried out by Chapman and Campbell[99] who used very
high energy, 40 - 50 keV, Ar and Xe ion-beam sputtering to deposit Au onto
746 Deposition Technologies for Films and Coatings

NaCl(100) at Ts between 30 and 310oC. They reported an increase in the


maximum number density nmax of Au islands and an enhancement in the
degree of (100) preferred orientation compared with results for thermal
evaporation. Lane and Anderson[100][101] also reported increases in nmax for
Au, sputtered using a 2 keV Ar ion gun, onto cleaved NaCl at Ts = 130 - 325oC.
The latter authors fit their results using classical nucleation theory with
additional terms to account for the production of preferred adsorption sites.
They assumed that these more strongly binding sites were due to defects
produced in the NaCl substrate surface by bombardment with fast sputtered
atoms.
Harsdorff and Jark[102] used an analogous argument to explain in-
creased dn/dt and nmax values obtained for RF-sputtered Au vs. evaporated
Au films on cleaved NaCl substrates maintained at 270 - 360oC. Sputtering
was carried out in He at 30 mTorr and the authors used magnetic fields to
suppress electron and ion bombardment of the substrate. However, as in the
previous experiments, this still did not eliminate bombardment by energetic
neutral particles reflected from the target. In fact, the backscattered flux is
expected to be particularly large in the latter experiments due to the large
mass mismatch between He and Au.
There are other mechanisms for producing preferred sites such as the
trapping of incident particles due to low-energy “implantation” or adatom
recoil processes. In addition, the disordering of a crystalline substrate
surface by ion-bombardment at low temperatures prior to, or during, the early
stages of deposition may lead to the production of new lower binding energy
sites. Barnett et al.[103] have recently used modulated-beam mass spec-
trometry and thermally-stimulated desorption techniques to directly measure
ion-irradiation-induced changes in Sb binding energies on Si(100) surfaces.
Several authors (see, for example, Ref. 104) have reported a
decrease in island number densities in the presence of ion irradiation
leading to larger average island sizes <d>, for a given nominal film
thickness, and hence larger ultimate grain sizes. One mechanism which
has been proposed[15] to provide increased <d> values is the depletion of small
clusters by sputtering and ion-induced dissociation.[105] As small clusters are
reduced in size, they become more mobile on the surface and can diffuse
to feed larger stable islands. Moreover, clusters reduced to subcritical
size are energetically unfavorable and will spontaneously dissociate to
form adatoms, some of which will desorb or diffuse to larger clusters. On
the other hand, ion bombardment of large islands will only result in a
minor loss in material by sputtering. These effects are expected to occur
Nucleation, Film Growth, and Microstructural Evolution 747

when growth conditions leading to high nucleation rates are combined with,
for example, self-ion bombardment under conditions in which there is a high
incident ion-to-vapor flux ratio.
Hasan et al.[105] have recently carried out experiments using both
thermal In and partially-ionized In+ beams (ion-to-neutral ratio = 0.35) to
deposit In islands on amorphous Si3 N4 substrates in an ultra-high vacuum
(UHV) MBE system. The low-energy ion beams were provided using the
UHV metal-ion source described in Ref. 106. The deposition temperature
was ≈ 30oC (Ts/Tm = 0.7 where Tm is the In melting point in K), the thermal
In flux was 3 x 1013 cm-2 s-1, and the total flux was 4.6 x 1013 cm-2s-1. A series
of depositions were carried out with nominal film thicknesses t of 1.5 and 10
nm (the loss of material by sputtering was accounted for in determining t) with
acceleration energies EIn of 0 (i.e., thermal), 150, 200, and 300 eV. Typical
transmission electron microscopy (TEM) images of the resulting layers are
shown in Fig. 13.28. For a given film thickness, ion irradiation clearly resulted
in larger average island sizes. In fact, from island size distribution histograms
obtained using lower-magnification, larger-area, micrographs, <d> for films
with t = 10 nm increased from 6.5 to 8 to 13 to 50 nm with increasing EIn.
Another striking feature was the decrease in secondary nucleation at higher
acceleration energies until at 300 eV essentially no islands were observed
with <d> ≤ 11 nm. (The minimum island size resolution was ≈ 1 nm). The
histograms showed that the island size distributions were more uniform at
higher EIn values.
Increases in <d> and decreases in the secondary nucleation rate were
explained, as discussed above, as being due primarily to the loss of small
clusters (incipient islands) by ion-bombardment-induced processes. The
suppression of secondary nucleation in these experiments led, in turn, to
significant differences in island growth kinetics. In the accelerated-beam
case, island growth continued to be dominated by random surface-diffusion
processes even for 10-nm-thick overlayers. However, in the thermal-beam
deposits, coalescence of small islands had a much stronger influence on
island growth resulting in the observed differences in island-size distribuions.
Ion irradiation can also directly enhance adatom diffusivities during
deposition through the initiation of shallow collision cascades and the
excitation of surface phonons. However, the excess energy of incident
accelerated ions, as well as the excess energy gained by adatoms involved
in individual collision cascades, is lost to the lattice, i.e., the atoms become
thermalized, within several vibrational periods. Thus this mechanism, while
it may be important in contributing to bombardment-induced decreases in the
748 Deposition Technologies for Films and Coatings

Figure 13.28. Transmission electron micrographs of In islands on Si3N4 substrates.


Results are shown for two different nominal film thicknesses, t = 1.5 and 10 nm. The
incident In beams were either thermal or partially ionized and accelerated to Ei =
150, 200, or 300 eV. (From Ref. 105.)
Nucleation, Film Growth, and Microstructural Evolution 749

epitaxial temperature as discussed below, would not be expected to result


in enhanced diffusion over distances of more than several lattice spacings,
except in special cases. One example of such a special case was pointed
out by Dodson[107] who used molecular dynamic simulations to show that
low-energy (≤100 eV) ions incident at grazing angles on single crystal
surfaces can exhibit translations of up to hundreds of nm via surface
channeling.
In summary, it is clear that energetic particle bombardment can greatly
affect 3-D nucleation and growth kinetics of polycrystalline films, and hence,
as will be discussed in the next section, grain size, preferred orientation, and
defect concentrations. However, much work remains to be done to under-
stand these effects in detail. Considerably less is known about the growth
of epitaxial layers by accelerated beams.
Several years ago, Narusawa et al.[108] reported a decrease in the
epitaxial temperature Te of MBE Si on Si(111) and Al2O3 (1102) by ionizing
a small fraction of the evaporant flux and accelerating it to the substrate. Te
on both substrates was found to decrease by more than 100oC after ionizing
≤ 1% of the incident Si flux and accelerating it to 200 and 100 eV, respectively.
Beckers and co-workers[109][110] later developed a hot cathode discharge,
high-energy (10 - 15 keV), UHV, mass-filtered ion beam system followed by
a deceleration lens to provide accelerated beams of, for example, Ag+ and
Si+ with currents of the order of 10 µA at energies between ~ 20 and 100 eV.
With this apparatus, they were able to grow epitaxial films of Ag on Si(111)
at room temperature using acceleration energies between 25 and 100 eV.
Epitaxial Si layers were also grown on Ge(100), Si(100) and Si(111) at Ts ≥
230o C using 50 eV Si+. Herbots et al.[111] have recently demonstrated the
low-temperature epitaxial growth of Si and Ge layers in UHV using deceler-
ated beams from a modified Freeman ion source.
Possible mechanisms, other than sputter cleaning,[112][113] for ion-
bombardment-induced enhancement in film epitaxy can be visualized using
molecular dynamic simulations such as those by Müller (see, for example,
Ref. 114). The use of an accelerated incident growth flux increases what
Müller refers to as the impact mobility[115] of adatoms resulting in an increase
(of the order of a few lattice spacings in these T = 0 K simulations) in the
average distance between the point of first interaction with the substrate
surface and the position where the atom is finally adsorbed. In addition, as
discussed in more detail in the following section, atomic rearrangements of
lattice atoms occur during both the first few hundred femtoseconds (fs)
750 Deposition Technologies for Films and Coatings

following a bombardment event and during the subsequent relaxation, or


refreezing, period.
Figures 13.29a and 13.29b show calculated layer-by-layer film densi-
ties in which the first two layers are the upper substrate planes. A fully-dense
layer in this two-dimensional simulation corresponds to 20 atoms per unit
length. The simulated Ni overlayer in Fig. 13.29a was deposited at Ts = 0 K
with no ion bombardment while that in Fig. 13.29b was grown with simulta-
neous 100 eV Ar ion irradiation using an ion-to-neutral flux ratio of 0.042. The
thermally-deposited overlayer had a high defect concentration and only the
first four layers crystallized in the same orientation as the substrate. Subse-
quent layers were disordered with no indication of texture. Figure 13.29b, on
the other hand, shows that ion irradiation during growth, even in the absence
of thermal diffusion, resulted in the deposition of well-oriented epitaxial
layers.
Tsao et al.[116] have recently carried out some of the first in situ
measurements of ion-irradiation effects during epitaxial film growth. The
authors videotaped changes in reflection high-energy electron diffraction
(video-RHEED) patterns resulting from the addition of 500 eV Ar+ ion
irradiation during MBE growth of Ge(100) at 550o C. They proposed that the
primary effect of ion irradiation in their initial experiments was to break up
3-D clusters to provide a smoother growth surface.
Both experimental results and growth simulations show that low-energy
ion bombardment during deposition can provide local atomic re-arrange-
ment allowing atoms to relax into lower energy sites. However, the question
of residual ion damage still needs to be addressed in more detail. The growth
of high-quality films with reduced epitaxial temperatures requires a balance
between the beneficial effects of ion irradiation such as enhanced diffusion
and minimizing residual damage by annealing out bombardment-induced
defects during deposition. As discussed in the next section, the most
favorable deposition conditions would seem to be: low Ei, relatively high ion-
to- neutral flux ratios, and (provided that contamination from background
impurities is not a problem) low deposition rates.

5.2 Effects of Low-Energy Ion/Surface Interactions on Film Growth


Kinetics

Interest in the use of low-energy ion irradiation during film growth to


modify the morphology, microstructure, defect concentration, preferred
orientation, state of stress, and physical properties of layers deposited from
the vapor phase is continuously growing. In areas such as optical and wear-
Nucleation, Film Growth, and Microstructural Evolution 751

Figure 13.29. Molecular-dynamic simulation of the layer densities for a Ni film


deposited at Ts = 0 K with (a) no ion bombardment and (b) 100 eV Ar+ ion
bombardment at an ion-to-vapor flux ratio of 0.042. (K. H. Müller, unpublished).
752 Deposition Technologies for Films and Coatings

protective films, the application of ion irradiation to densify and increase the
internal strength of the layers as well as to increase film/substrate adhesion
is already a prerequisite to commercial success. However, ion irradiation
during growth can also be disadvantageous for certain applications due to,
for example, the generation of very high compressive stress levels in the
films which can lead to spalling.
During growth at low substrate temperatures (Ts/Tm less than ~ 0.3) for
which, as discussed in Sec. 4, the films are generally underdense with a
columnar (zone 1) microstructure, many experiments involving both electri-
cally conductive[117]-[121] and insulating films[122][123] have shown that the
number density of voids and pores decreases dramatically with increasing
ion energy and/or ion flux. Mattox and Kominiak[117] were among the first to
demonstrate this effect. They found, in the case of sputter-deposited Ta
films, that the microstructure changed from a pronounced columnar mor-
phology to a more equiaxed structure and that the film density increased from
≈ 14.5 to 16.3 g cm-3 (bulk density = 16.6 g cm-3) as the negative substrate
bias was increased from 0 to 500 V (see Fig. 13.30).

Figure 13.30. The density, as a function of negative substrate bias Vs, of 6-µm-thick
Ta films deposited at 300oC by DC sputter deposition in Ar. (From Ref. 117.)
Nucleation, Film Growth, and Microstructural Evolution 753

The effectiveness of ion irradiation in decreasing film porosity can be


seen directly by comparing the TEM micrographs in Figs. 13.31a - d of poly-
crystalline Ti0.5Al0.5N films deposited by reactive magnetron sputtering on
grounded stainless-steel substrates at ≈ 400oC (Ts/Tm ≈ 0.21).[121] The
growth conditions were the same for all four films except for the imposition
of applied substrate negative potentials Vs of 0, 75, 120, and 250 V,
respectively. The ion-to-vapor flux ratio was ≈ 0.9 for the three bias-
sputtered films. nv was estimated from planview TEM micrographs taken
from regions approximately in the middle of the 4-µm-thick films. The void
density nv decreased sharply for V s > 100 V until, for Vs > 120 V, no voids
could be observed using under- and over-focus contrast. In addition to an
increase in film density, Fig. 13.31 also shows that at low Ts, ion irradiation
disrupts the columnar structure, as evidenced by the presence of Moire
fringes, and increases the number density of defects such as dislocation
loops.

Figure 13.31. Plan-view transmission electron micrographs of polycrystalline


Ti0.5Al0.5N films deposited by reactive magnetron sputter deposition at Ts ≈400oC
with applied negative biases of (a) Vs = 0, (b) Vs = 75 V, (c) Vs = 120 V, and (d) Vs
= 250 V. (From Ref. 121.)
754 Deposition Technologies for Films and Coatings

Monte Carlo (MC) and molecular dynamic (MD) simulations by


Müller[58][62][114][115][124][125] of film growth under ion irradiation have also
shown an increase in film density towards bulk values. For example, in low-
temperature growth simulations, the MC films had porous columnar struc-
tures in the absence of ion bombardment. However, if ion irradiation effects
were taken into account (this was done using a modified version of the TRIM
computer code), quite different growth morphologies were obtained. Ion
incorporation, sputtering, and recoil implantation resulted in a film density
that increased almost linearly with the ion-to-vapor flux ratio Ji /Jv. The
calculations also predicted that there should be an optimum ion energy Ei*
for densification. The optimization resulted from the fact that at Ei < Ei* the
number of recoil events is small while at Ei > Ei * an increasing fraction of the
ion energy is lost deeper in the lattice leaving vacancies which cannot be filled
by arriving vapor species.
In cases for which the computer simulations were compared to experi-
mental data, good agreement was found. Examples include ZrO2 and CeO2
films deposited at ambient temperature on optically flat silica substrates by
evaporating the metal in the presence of O2+ ions provided by a dual-grid
Kaufmann ion source.[122][123] Figure 13.32 shows both calculated and
experimental results for CeO2 film density as a function of ion energy.[125]
The films were deposited with Ji /Jv = 1 and Ei* was found to be ≈ 200 eV. It
should be noted, however, that the films were underdense even at Ei = Ei *.
Two-dimensional MD calculations including up to 800 particles have
been used to simulate Ar+-ion-assisted growth of Ni films at Ts = 0 K.[114] A
typical result from a single 100 eV ion impact event during deposition is
depicted in Fig. 13.33 which shows the time evolution of subsequent atomic
rearrangements. Figures 13.33b, 13.33c, and 13.33d correspond to times
of 0.3, 1.1, and 10.9 picoseconds (ps) after ion impact. The incident ion
transfers kinetic energy to a few surface atoms which in turn transfer energy
to other atoms as the collision sequence develops. The initial violent
collision events occur over times of the order of a few hundred femtoseconds
while the relaxation process requires several picoseconds. Figure 13.33
illustrates a collision sequence leading to the disappearance of a protruding
ledge overshadowing an incipient void. The central void also decreased in
size resulting in a denser structure. The forward sputtering events shown in
Fig. 13.33 can be viewed as an enhancement in the apparent rate of adatom
surface diffusion. Simulations such as this are not intended to correspond
to a particular physical reality. However, they do provide a means for
visualizing the general effects of ion irradiation on the atomic level.
Nucleation, Film Growth, and Microstructural Evolution 755

Figure 13.32. Experimental and theoretical values of the density of CeO2 films
deposited at ambient temperature by simultaneous evaporation of Ce and ion-beam
acceleration of O2+ as a function of the ion energy Ei for an ion-to-vapor flux ratio Ji/
Jv of 1. The bulk density of CeO2 is 8.1 g/cm3. (From Ref. 125.)

While ion irradiation is useful for increasing the density and modifying
the morphology of films deposited at low temperatures, other irradiation-
induced effects occur simultaneously. For example, as the ion energy and
ion flux are increased, atomic displacements produced in the collision
cascades result in an increasing number of residual interstitials and vacan-
cies. These point defects can, in turn, lead to an increased density of
extended defects such as dislocation loops.
Huang et al.[126] have studied the effect of Ar+ ion bombardment during
the growth of Ag films at room temperature using a UHV dual-ion-beam
apparatus. They found that the void density decreased with increasing
ion energy in agreement with the results presented in the previous
paragraphs. However, they also showed that the use of average
irradiation energy densities <E> ranging from thermal (obtained by
evaporation) to 190 eV per deposited metal atom yielded a decrease in
the grain size from 42 to 14.5 nm while the dislocation number density nd
756 Deposition Technologies for Films and Coatings

increased from 0.7 x 1011 to 13.2 x 1011 cm-2 (see Fig. 13.34). In addition,
the degree of (111) preferred orientation decreased while the plane stress
reversed from 0.6 x 108 N m-2 tensile to - 4.5x108 N m-2 compressive for <E>
larger than 42 eV. Increased defect concentrations and reduced grain sizes
have also been observed in a number of other polycrystalline thin film
systems grown under low-energy ion irradiation.[121][127]-[130]

Figure 13.33. Molecular-dynamic simulation of the structure of a Ni film deposited


at 0 K at various times t after bombardment by a 100 eV Ar+ ion. Atomic
displacements (not trajectories) are indicated by straight line segments with origins
at the zero-time positions of the relocated atoms. (From Ref. 114.)
Nucleation, Film Growth, and Microstructural Evolution 757

Figure 13.34. The average grain size and dislocation number density nd in Ag films
deposited at room temperature as a function of the average energy <E> per
deposited atom. (Plotted from data given in Ref. 126.)

At elevated growth temperatures, low-energy ion irradiation can, in


contrast to the above low temperature results, have the opposite effect and
actually reduce residual defect densities in as-deposited films. Direct
evidence has recently been published by Hultman et al.[131][132] who used
TEM analyses to investigate the dislocation structure in epitaxial TiN films
grown on MgO(100) substrates at Ts between 550 and 850 oC by reactive
magnetron sputtering in pure nitrogen discharges. (The minimum epitaxial
temperature in this case is 525 - 550oC.) The primary defects in the TiN films
were dislocation loops on (111) planes.
The dislocation number density nd in epitaxial TiN layers was found to
decrease with increasing Ts, due to higher adatom surface mobilities, for a
given negative substrate bias Vs. For example, in films grown with V s = 0,
758 Deposition Technologies for Films and Coatings

n d continuously decreased from ≈ 1013 cm-2 at Ts = 550oC to ≈ 1012 cm -2 at


Ts = 850o C. (Note that 850oC is still a relatively low temperature for TiN and
corresponds to Ts/Tm = 0.35.) However, nd decreased much more rapidly
with increasing Vs at constant Ts until a minimum defect density was obtained
at a specific voltage Vs*. For Vs > Vs*, nd increased rapidly and eventually
the films became polycrystalline. Ji/Jv in these experiments ranged from ≈
1 to 1.4 and the energy per incident accelerated N atom was Vs/2.
Vs* was found to be ≈ 300 V at Ts = 650oC for which nd ≈ 2 x 1010 cm-
2 compared to ≈ 5 x 1011 cm -2 at V = 0 and T = 650o C. The minimum in n (V )
s s d s
became broader and Vs* increased slightly with increasing T s. Films grown
at Ts > 750 oC and Vs = Vs* were essentially free of dislocation loops. This
can be seen in Fig. 13.35 which shows TEM micrographs from films grown
at 850oC with Vs = 0 and at 800oC with Vs = Vs* = 400 V. XTEM micrographs
of multilayer films in which sequential layers were grown with different values
of Vs showed that ion bombardment effects were reversible. [132] For
example, changing Vs from 0 to Vs* to 0 resulted in nd abruptly decreasing
and then abruptly increasing again.

Figure 13.35. Plan-view transmission electron micrographs of epitaxial TiN films


grown by reactive magnetron sputtering on MgO(100) substrates at (a) Ts = 850oC
with a negative substrate bias Vs = 0 and (b) Ts = 800oC with Vs = 400 V. (From Ref.
131.)
Nucleation, Film Growth, and Microstructural Evolution 759

Ion irradiation in the above experiments played at least two major roles.
For the lower biases, the primary effect was to enhance adatom mobilities
thereby accelerating the rate at which defects (both growth-related and ion-
irradiation-induced) were annealed out during deposition. At higher bias
voltages (Vs > V s*), the increased projected range of the impinging ions
resulted in a larger fraction of the irradiation-induced defects being trapped
in the growing film. Eventually, nd became high enough that renucleation
occurred during growth and polycrystalline films were obtained.

ACKNOWLEDGEMENTS

The author gratefully acknowledges the financial assistance of the Joint


Services Electronics Program, the Materials Science Division of the Depart-
ment of Energy, and the Semiconductor Research Corporation during the
course of this work. He also appreciates, and has gained much, from several
years of scientific collaboration and close personal friendship with Prof. Jan-
Eric Sundgren (Physics Dept., Linköing University, Sweden) who proofread
this manuscript.
760 Deposition Technologies for Films and Coatings

REFERENCES

1. Greene, J. E. and Barnett, S. A., J. Vac. Sci. Technol., 21:285 (1982)


2. Greene, J. E., Motooka, T., Sundgren, J. E., Lubben, D., Gorbatkin, S.,
and Barnett, S. A., J. Nucl. Instr. Methods, B27:226 (1987)
3. Greene, J. E., Sol. St. Technol., 30:115 (April 1987)
4. Greene, J. E., Barnett, S. A., Sundgren, J. E., and Rockett, A., in Ion-
Assisted Film Growth, (T. Itoh, ed.) p. 101, Elsevier, Amsterdam
(1988)
5. Venables, J. A., Spiller, G. D. T., and Hanbcken, M., Rept. Prog.
Physics , 47:399 (1984)
6. Knall, J., Sundgren, J. E., Hansson, G. V., and Greene, J. E.,Surf. Sci.,
166:512 (1986)
7. Hirth, J. P. and Moazed, K. L., Thin Film Physics 4, (G. Hass and R.
E. Thun, eds.) p. 97., Academic Press, New York (1967)
8. Neugebauer, C. A., in Handbook of Thin Film Physics (L. I. Maissel and
R. Glang, eds.) Ch. 8., McGraw Hill, New York (1970)
9. Wagner, R. S. and Voorhoeve, R. J. H.,J. Appl. Phys., 43:3948 (1971)
10. Lewis, B. and Anderson, J. C., Nucleation and Growth of Thin Films,
Academic Press, New York (1978)
11. Stoyanov, S. and Kaschiev, D., inCurrent Topics in Materials Science,
Vol. 7, (E. Kaldis, ed.) North Holland, Amsterdam (1981)
11a. Zinmeister, G., Vacuum, 16:529 (1966); Thin Solid Films, 2:497
(1968), 4:363 (1969); Kristall Technik 5:207 (1970); and Thin Solid
Films 7:51 (1971)
12. Pocza, J. F., Barna, A., and Barna, P. B., J. Vac. Sci. Technol., 6:472
(1969)
13. Chopra, K. L., Thin Film Phenomena, p. 160, McGraw Hill, New York
(1969)
14. Donohoe, A. J. and Robins, J. L., J. Cryst. Growth 17:70 (1972)
15. Pashley, D. W., Stowell, M. J., Jacobs, M. H., and Law, J. T.,Phil. Mag.
10:127 (1964)
16. Williamson, W. J., Solid State Electron. 9:213 (1966)
17. Greene, J. E. and Wickersham, C. E., J. Appl. Phys. 47:3630 (1976)
18. Bassett, G. A., Menter, J. W., and Pashley, D. W., in Structure and
Properties of Thin Films, (C. A. Neugebauer, J. B. Newkirk and D. A.
Vermilyea, eds.) p. 11, John Wiley and Sons, New York (1969)
Nucleation, Film Growth, and Microstructural Evolution 761

19. Jacobs, M. H., Pashley, D. W., and Stowell, M. J., Phil. Mag. 13:129
(1966)
20. Barna, P. B., Proc. IX Internet. Vac. Congr., p. 382, Madrid (1983)
21. Joyce, B. A., Neave, J. H., and Watts, B. E., Surf. Sci. 15:1 (1969)
22. Henderson, R. C., Polito, W. J., and Simpson, J., Appl. Phys. Letters
16:15 (1970)
23. Henderson, R. C. and Helm, R. F., Surf. Sci. 30:310 (1972)
24. Abbink, H. C., Broudy, R. M., and McCarthy, G. P., J. Appl. Phys.
39:4673 (1968)
25. Aleksandrov, L. N. and Lovyagin, R. N., Thin Solid Films 20:1 (1974)
26. Van Hove, J. M., Pukite, P. R., and Cohen, P. I., J. Vac. Sci. Technol.
B3:563 (1985)
27. Van Hove, J. M. and Cohen, P. I., J. Cryst. Growth 81:67 (1987)
28. Reflection High-Energy Electron Diffraction and Reflection Electron
Imaging of Surfaces, NATO AISI Series B: Physics, (P. K. Larson and
P. J. Dobson, eds.) Vol. 188, Plenum Press, New York (1988)
29. Rockett, A., J. Vac. Sci. Technol. B6:763 (1988)
30. Rockett, A., SPIE Proceedings, 944:63 (1988)
31. Shtrikman, H., Heiblum, H., Seo, K., Galbi, D. E., and Osterling, L., J.
Vac. Sci. Technol. B6:670 (1988)
32. Price, G. L. and Venables, J. A., Surf. Sci. 49:264 (1975)
33. Wagner, R. S. and Voorhoeve, R. J. H., J. Appl. Phys. 42:3948 (1971)
34. Voorhoeve, R. H. J. and Wagner, R. S., Met. Trans. 2:3421 (1971)
35. Henrion, J. and Rhead, G. E., Surf. Sci. 29:20 (1972)
36. Delamare, F. and Rhead, G. E., Surf. Sci. 35:172 (1973)
37. Yagi, Y., Takayanagi, K., Kobayashi, K., and Honjo, G., J. Cryst.
Growth 9:84 (1971); Honjo, G. and Yagi, K., in Current Topics in
Materials Science (E. Kaldis, ed.) Vol. 6, North Holland Publishing Co.,
Amsterdam (1980)
38. Eltoukhy, A. H. and Greene, J. E., J. Appl. Phys. 50:505 (1979)
39. Matthews, J. W. and Blakeslee, A. E., J. Cryst. Growth 27:118 (1974)
40. Bean, J. C., Sheng, T. T., Feldman, L. C., Fiory, A. T., and Lynch, R.
T., Appl. Phys. Letters 44:102 (1984)
40a. People, R. and Bean, J. C., Appl. Phys. Letters 47:322 (1985) and
49:229 (1986)
40b. Tsao, J. Y., Dodson, B. W., Picraux, S. T., and Cornelison, D. M.,
Phys. Rev. Letters, 59:2455 (1987)
762 Deposition Technologies for Films and Coatings

41. Horng, C. T. and Vook, R. W., J. Vac. Sci. Technol. 11:140 (1974)
42. Soria, F. and Poppa, H., J. Vac. Sci. Technol. 17:449 (1980).
43. Hopkins, B. J. and Watts, G. D., J. Phys. C: Solid State 7:4259 (1974)
44. Steinhage, P. W. and Mayer, M., Thin Solid Films 28:131 (1975)
45. Van Loenen, E. J., Iwami, M., Tromp, R. M., and van der Veen, J. F.,
Surf. Sci. 137:1 (1984)
46. Honzay, F., Guille, C., Moison, J. M., Henoc, P., and Barthe, F., J.
Cryst. Growth, 81:67 (1987)
47. Stranski, I. N. and Krastanov, L., Acad. Wiss. Math-Nat. KIIIb 146:797
(1938)
48. Venables, J. A., in Current Topics in Materials Science (E. Kaldis and
H. J. Scheel, eds.) Vol. 2, p. 165, North Holland, Amsterdam (1977)
49. Price, G. L., Surf. Sci. 46:697 (1974)
50. Knall, J., Barnett, S. A. and Sundgren, J. E., Surf. Sci., in press
51. Rich, D. H., Samsavar, A., Miller, T., Lin, H. F., Chiang, T. C.,
Sundgren, J. E., and Greene, J. E.,Phys. Rev. Letters, 58:579 (1987)
52. Ehrlich, G. and Stolt, K., Ann. Rev. Phys. Chem. 31:603 (1980)
53. Thornton, J. A., J. Vac. Sci. Technol. 11:666 (1974)
54. Dirks, A. G. and Leamy, H. J., Thin Solid Films 47:219 (1977)
55. Leamy, H. J., Gilmer, G. H., and Dirks, A. G., in Current Topics in
Materials Science (E. Kaldis, ed.) 6:309 North Holland Publishing Co.,
Amsterdam (1980)
56. Henderson, D., Brodsky, M. H., and Chauderi, P., Appl. Phys. Letters,
25:641 (1975)
57. Kim, S. and Henderson, D. J., Thin Solid Films, 47:155 (1977)
58. Müller, K. H., J. Appl. Physics, 58:2573 (1985)
59. Holmwood, R. A. and Glang, R., J. Electrochem. Soc. 112:831 (1965)
60. Klokholm, E. and Berry, B. S., J. Electrochem. Soc. 115:823 (1968)
61. Huang, T. C., Lim, G., Parmiagiani, F., and Kay, E., J. Vac. Sci.
Technol. A3:2161 (1985)
62. Müller, K. H., J. Appl. Phys. 62:1796 (1987)
63. Srolovitz, D. J., J. Vac. Sci. Technol. A4:2925 (1986)
64. Srolovitz, D. J., Mazor, A., and Bukiet, B. G., J. Vac. Sci. Technol.
A6:2371 (1988)
65. Movchan, B. A. and Demchisin, A. V., Phys. Met. Metallogr. 28:83
(1969)
Nucleation, Film Growth, and Microstructural Evolution 763

66. Hentzell, H. T. G., Grovenor, C. R. M., and Smith, D. A., J. Vac. Sci.
Technol. A2:218 (1984)
67. Thornton, J. A., Ann. Rev. Mater. Sci. 7:239 (1977)
68. Guenther, K. H., Thin Solid Films, 77:239 (1981)
69. Guenther, K. H., Appl. Optics, 20:1034 (1981)
70. Messier, R., Krishnaswamy, S. V., Gilbert, L. R., and Swab, P., J. Appl.
Phys. 51:1611 (1980)
71. Swab, P., Krishnaswamy, S. V., and Messier, R., J. Vac. Sci. Technol.
17:362 (1980)
72. Ross, R. C. and Messier, R., J. Appl. Phys. 52:5329 (1981)
73. Messier, R., Giri, A. P., and Roy, R. A., J. Vac. Sci. Technol. A2:500
(1984)
74. Thornton, J. A., J. Vac. Sci. Technol. 12:830 (1975)
75. Grovenor, C. R. M., Hentzell, H. T. G., and Smith, D. A., Acta. Metall.
32:773 (1984)
76. Messier, R., J. Vac. Sci. Technol. A4:490 (1986)
77. Thornton, J. A., J. Vac. Sci. Technol. A4:3059 (1986)
78. Venables, J. A. and Price, G. L., in Epitaxial Growth, Part B, (J. W.
Matthews, ed.) p. 381, Academic Press, New York (1975)
79. Leamy, H. J. and Dirks, A. G., J. Appl. Phys. 49:3430 (1978)
80. Helmersson, U., Sundgren, J. E., and Greene, J. E., J. Vac. Sci.
Technol. A4:500 (1986)
81. See, for example, Winters, H. F., J. Chem. Phys. 44:1472 (1966)
82. Winters, H. F., Ramondi, D. L., and Horne, D. E., J. Appl. Phys.
40:2996 (1969)
83. Tarng, M. L. and Wehner, G. K., J. Appl. Phys. 42:2449 (1971)
84. Cuomo, J. J. and Gambino, R. J., J. Vac. Sci. Technol. 12:79 (1975)
85. Harper, J. M. E. and Gambino, R. J., J. Vac. Sci. Technol. A4:448
(1986)
86. Zilko, J. L. and Greene, J. E., J. Appl. Phys. 51:1549 (1980)
87. Berg, S., Nender, C., and Gelin, B., J. Vac. Sci. Technol. A4:448
(1986)
88. Nender, C., Berg, S., Gelin, B., and Stridh, B., J. Vac. Sci. Technol.
A5:1703 (1987)
89. Kondo, N. and Kawashima, M., GaAs and Related Compounds 1985,
Inst. Phys. Conf. Series 79, p. 97 (1985)
764 Deposition Technologies for Films and Coatings

90. Greene, J. E., J. Vac. Sci. Technol. B1:229 (1983)


91. Romano, L. T., Robertson, I. M., Greene, J. E., and Sundgren, J. E.
Phys. Rev. B36:7523 (1987)
92. Shah, S. I., Greene, J. E., Abels, L. L., and Raccah, P. M., J. Cryst.
Growth, 91:71 (1988)
93. Fons, P., Hirashita, N., Markert, L. C., Kim, Y. W., Greene, J. E., Ni, W.
X., Knall, J., Hansson, G. V., and Sundgren, J. E.,Appl. Phys. Letters,
53:1732 (1988)
94. Hasan, M. A., Knall, J., Barnett, S. A., Sundgren, J. E., Markert, L. C.,
Rockett, A., and Greene, J. E., J. Appl. Phys. 65:172 (1989)
95. Noel, J. P., Hirashita, N., Markert, L. C., Kim, Y. W., Greene, J. E.,
Knall, J., Ni, W. X., Hasan, M. A., and Sundgren, J. E., J. Appl. Phys.
65:1189 (1989)
96. Wehner, G. K. and Anderson, G. S., in Handbook of Thin Film
Technology, (L. I. Massel and R. Glang, eds.) Ch. 3, McGraw-Hill, New
York (1970)
97. Donahue, T. J. and Reif, R.,Semiconductor International, 142, (August,
1985)
98. Krikorian, E. and Sneed, R. J., Astrophys. Space Sci. 65:129 (1979)
99. Chapman, B. N. and Campbell, D. S., J. Phys. C2:200 (1969)
100. Lane, G. E. and Anderson, J. C., Thin Solid Films, 26:5 (1975)
101. Lane, G. E. and Anderson, J. C., Thin Solid Films, 57:277 (1979)
102. Harsdorff, M. and Jark, W., Thin Solid Films, 128:79 (1985)
103. Barnett, S. A., Winters, H. F., and Greene, J. E., Surf. Sci. 181:596
(1987)
104. Marinov, M., Thin Solid Films, 46:267 (1977)
105. Hasan, M. A., Barnett, S. A., Sundgren, J. E., and Greene, J. E.,J. Vac.
Sci. Technol. A5:1883 (1987)
106. Hasan, M. A., Knall, J., Barnett, S. A., Rockett, A., Sundgren, J. E., and
Greene, J. E., J. Vac. Sci. Technol. B5:1332 (1987)
107. Dodson, B. W., J. Vac. Sci. Technol. B5:1393 (1987)
108. Narusawa, T., Shimizu, S., and Komiya, S., J. Vac. Sci. Technol.
16:366 (1979)
109. Thomas, G. E., Beckers, L. J., Vrakking, J. J., and de Koning, B. R.,
J. Cryst. Growth, 56:257 (1982)
110. Zalm, P. C. and Beckers, L. J., Appl. Phys. Lett. 41:167 (1982)
Nucleation, Film Growth, and Microstructural Evolution 765

111. Herbots, N., Noggle, T. S., Appleton, B. R., and Zhur, R. A., J. Vac.
Sci. Technol., in press
112. Yagi, K., Tamura, S., and Tokuyama, T., Jpn. J. Appl. Phys. 16:245
(1977)
113. Tokuyama, T., Yagi, K., Miyaki, K., Tamura, M., Natsuaki, N., and
Tachi, S., Nucl. Instr. Meth. 182/183:241 (1981)
114. Müller, K. H., Phys. Rev. 35:7906 (1987)
115. Müller, K. H., Surf. Sci. Lett. 184:L375 (1987)
116. Tsao, J. Y., Chason, E., Horn, K. M., Brice, D. K., and Picraux, S. T.,
Nucl. Instr. Meth., in press
117. Mattox, D. M. and Kominiak, G. J., J. Vac. Sci. Technol. 9:528 (1972)
118. Mizzoguchi, T. and Cargill, G. S., III, J. Appl. Phys. 50:3570 (1979)
119. Mnz, W. D. and Hofmann, D., Metalloberflche, 37:279 (1983)
120. Parmiagiani, F., Kay, E., Huang, T. C., Perrin, J., Jurich, M., and
Swalin, J. D., Phys. Rev. B33:879 (1986)
121. Hakanssan, G., Sundgren, J. E., McIntyre, D., Greene, J. E., and Mnz,
W. D., Thin Solid Films, 153:55 (1987)
122. Martin, P. J., Netterfield, R. P., and Sainty, W. G.,J. Appl. Phys. 55:235
(1984)
123. Netterfield, R. P., Sainty, W. G., Martin, P. J., and Sie,S. H., Appl. Opt.
24:2267 (1985)
124. Müller, K. H., J. Appl. Phys. 58:2803 (1986)
125. Müller, K. H., Appl. Phys. A40:209 (1986)
126. Huang, T. C., Lim, G., Parmiagiani, F., and Kay, E., J. Vac. Sci.
Technol. A3:2161 (1985)
127. Igasaki, Y. and Mitsuhashi, H., Thin Solid Films 70:17 (1980)
128. Poitevin, J. M., Lemperiere, G., and Tardy, J., Thin Solid Films 97:69
(1982)
129. Johansson, B. O., Sundgren, J. E., and Helmersson, U.,J. Appl. Phys.
58:3112 (1985)
130. Kay, E., Parmigiani, F., and Parrish, W., J. Vac. Sci. Technol. A5:44
(1987)
131. Hultman, L., Helmersson, U., Barnett, S. A., Sundgren, J. E., and
Greene, J. E., J. Appl. Phys. 61:552 (1987)
132. Hultman, L., Barnett, S. A., Sundgren, J. E., and Greene, J. E., J.
Cryst. Growth 92:639 (1988)
766 Deposition Technologies for Films and Coatings

14

Metallurgical Applications

Rointan F. Bunshah

1.0 INTRODUCTION

Corrosion and wear are often referred to as the twin demons of materials
degradation. The loss of material annually due to these causes is a significant
fraction of their total production each year. Often, materials degradation
results from both corrosion and wear phenomena acting simultaneously, e.g.,
corrosive wear, corrosion erosion, etc. The following sections discuss each
of these phenomena and the role of coatings in decreasing the resultant
materials degradation.

2.0 CORROSION

Corrosion is the destructive attack of a metal or alloy by chemical


reaction with its environment which can be aqueous solutions, molten salts,
molten metals, or corrosive gases at high temperatures. In some cases,
chemical attack accompanies physical degradation which is described by the
termscorrosion erosion, corrosive wear, fretting corrosion, etc. Polymers and
ceramics at high temperatures are also subject to corrosion. The role of
coatings in protection of polymers and ceramics at high temperature has not
been given much attention and hence will not be considered here.
For the sake of convenience in the discussion of this multi-faceted topic,
the subject is divided into two broad categories:

766
Metallurgical Applications 767

• Galvanic corrosion in aqueous solutions, molten salts, etc.


• High temperature corrosion: oxidation, sulphidation, etc.

3.0 GALVANIC CORROSION

Types of corrosion damage:


1. Uniform attack. Examples are rusting of iron, high
temperature oxidation of metals, “fogging” of nickel etc.
Units are milligrams per square/deciliter per day.
2. Pitting Corrosion. Localized attack usually confined to a
small area which is anodic to the rest of the metal.
3. Fretting Corrosion. It is due to the slight relative motion of two
materials in contact usually leading to a series of pits at the
metal interface filled with metal oxide.
4. Corrosion-erosion. It results from formation and collapse of
vapor bubbles at a dynamic metal-liquid interface causing a
sequence of pits or fissures.
5. Dezincification and Parting. Dezincification occurs in zinc
alloys such as brass in which zinc corrodes preferentially
leaving a porous residue of copper and corrosion products.
Parting is similar to dezincification in which one or more
reactive components of an alloy corrode preferentially leaving
a porous residue that may retain the original shape of the
alloy. It is usually restricted to noble metal alloys.
6. Intergranular Corrosion. This is a localized type of attack at
the grain boundaries of a metal resulting in loss of strength
and ductility. Grain boundary material of limited area, acting
as anode, is in contact with large areas of grain acting as
cathodes. Attack is often rapid and deeply penetrating.
Examples are improperly heat-treated austenitic stainless
steel, Al - 4% Cu alloys, etc.
7. Cracking. Metal cracks when subjected to repeated or
alternative tensile stresses in a corrosive environment leading
to corrosion-fatigue.
If the metal which is subjected to constant tensile stress and exposed
to a specific corrosive environment cracks immediately or after a time delay,
the failure is called stress-corrosion cracking.
768 Deposition Technologies for Films and Coatings

3.1 Galvanic Cells

A combination of two electrical conductors (electrodes) immersed in an


electrolyte is called galvanic cell in honor of Luigi Galvani, a physician in
Bologna, Italy, who published his studies of electrochemical action in 1791.
A galvanic cell converts chemical energy into electrical energy. On short-
circuiting such a cell (attaching a low-resistance wire to each electrode),
positive current flows through the metallic path from positive electrode to
negative electrode. The direction of current flow follows an arbitrary convention
established before anything was known about the nature of electricity, and is
followed today despite present-day knowledge that only negative carriers of
electrons move in a metal. Electrons, of course, go from negative to positive
pole, opposite to the imaginary flow of positive carriers.
Within the electrolyte, current is carried by both negative and positive
carriers known as ions (electrically charged atoms or groups of atoms). The
current carried by each ion depends on its respective mobility and electric
charge. The total of positive and negative current in the electrolyte of a cell is
always exactly equivalent to the total current carried in the metallic path by
electrons alone.
The electrode at whichchemical reductionoccurs (or + current enters the
electrode from the electrolyte) is called the cathode. Examples of cathodic
reactions are:

H+ → ½H2 - e-

Cu++ → Cu - 2e-

Fe+3 → Fe ++ - e-

all of which represent reduction in the chemical sense.


The electrode at whichchemical oxidationoccurs (or + electricity leaves
the electrode and enters the electrolyte) is call theanode. Examples of anodic
reaction are:

Zn → Zn++ + 2e-

Al → Al +3 + 3e-

Fe++ → Fe+3 + e-

These represent oxidation in the chemical sense. For metals, it is at the anode
that corrosion usually occurs.
Metallurgical Applications 769

In galvanic cells, the cathode is the positive pole and the anode is the
negative pole.
There are three main types of cells that take part in corrosion reactions.
Dissimilar Electrode Cells. These are illustrated by the dry cell
discussed earlier. A metal containing electrically conducting impurities on the
surface as a separate phase, or a copper pipe connected to an iron pipe, or
a bronze propeller in contact with the steel hull of a ship are examples of this
type of corrosion cell. These cells also include cold-worked metal in contact
with the same metal annealed, or grain boundary metal in contact with grains,
or a single metal crystal of definite orientation in contact with another crystal
of differing orientation
Concentration Cells. These are cells having two identical electrodes
each in contact with a solution of differing composition. There are two kinds
of such cells. The first is called a salt concentration cell. For example, if one
copper electrode is exposed to a concentrated copper sulfate solution, and
another to a dilute copper sulfate solution, on short-circulating such a cell,
copper dissolves from the electrode in contact with the dilute solution (anode)
and plates out on the other electrode (cathode). Both reactions tend to bring
the solutions to the came concentration.
The second kind of concentration cell, which in practice is the more
important, is called a differential aeration cell. This may include two iron
electrodes in dilute NaCl solution, the electrolyte around one electrode being
thoroughly aerated (cathode), and the other deaerated (anode), brought about,
for example, by bubbling through nitrogen. The difference in oxygen concen-
tration produces a potential difference, and causes current to flow. This type
of cell accounts for pronounced damage at crevices such as are formed at the
interface of two coupled pipes, or at threaded connections, because O2
concentration is lower within the crevice or at the threads than elsewhere. It
also accounts for pitting damage under rust or at the water line (water-air
interface). Less oxygen reaches the metal that is covered by rust or other
insoluble reaction products than at other portions where the permeable coating
is thinner or absent.
Differential aeration cells also usually initiate pits in the stainless steels,
aluminum, nickel, and other so-called passive metals when they are exposed
to aqueous environments, such as water.
Differential Temperature Cells. Components of these cells are
electrodes of the same metal, each of which is at a different temperature,
immersed in an electrolyte of the same initial composition. Less is known
about the practical importance and fundamental theory of differential
770 Deposition Technologies for Films and Coatings

temperature cells than for the cells previously described. They occur in heat
exchangers, boilers, immersion heaters, and similar equipment.
In CuSO4 solution the copper electrode at the higher temperature is
cathode and the copper electrode at the lower temperature is anode. On short-
circuiting the cell, copper deposits on the hot electrode and dissolves from the
cold electrode. Lead acts similarly, but for silver the polarity is reversed.
For iron immersed in dilute aerated NaCl solutions, the hot electrode is
anodic to colder metal of the same composition, but after a matter of hours,
depending on aeration, stirring rate, and whether the two metals are short-
circuited or not, the polarity may reverse.
In practice, cells responsible for corrosion may be a combination of these
three types.

4.0 EMF AND GALVANIC SERIES

The EMF series shown in Table 14.1 is determined by the equilibrium


potential of a metal in contact with its ions at a concentration equal to unit
activity of the two metals composing a cell. The reactivity of the metals shown
in Table 14.1 decreases from Li at the top (the most reactive) to Au at the
bottom (very noble). Thus if two metals are in contact, the one with the higher
oxidation potential will dissolve with respect to the other. For example, Zn is
more reactive (sacrificial) with respect to Fe—but Fe is more reactive
(sacrificial) with respect to Sn. The anode is the more active metal in the EMF
series provided that the ion activities in equilibrium are both unity. In some
cases this corresponds to impossible concentrations of metal ions because
of the restricted solubility of metal salts. Hence, the EMF series has limited
utility in predicting which metal is cathodic to another.

5.0 COATINGS FOR GALVANIC CORROSION

There are a large number of different types of protective coatings. They


can be classified as:
1. Anodic coatings - coating dissolves, e.g., Zn, Al vs. Fe
2. Cathodic coatings - substrate attacked, e.g., Sn vs. Fe
(defect free coating is necessary in this case)
3. Inert coatings
4. Inhibitive coatings
Metallurgical Applications 771

Table 14.1. Electromotive Force Series


772 Deposition Technologies for Films and Coatings

Let us consider the case of a coated metal article exposed to an


electrolyte with discontinuities in the coating. There are several possibilities.
An electric current may flow from the coating through the electrolyte to the
base metal. The coating is then anodic to the base metal. If the current density
at the exposed area of the base metal is of the correct magnitude, corrosion
of the base metal is prevented. Thus anodic coatings tend to prevent corrosion
of exposed areas of the base metal by sending electric current to them through
any contacting layer or electrolyte. In contrast, cathodic coatings stimulate
corrosion of exposed areas of the base metal.
Metallic coatings show the most pronounced anodic or cathodic behav-
ior. Non-metallic coatings especially oxides and sulfides act as cathodic
coatings. The same metallic coating on the same base metal can behave as
an anodic coating under one set of exposure conditions, and as an inhibitive
or inert coating under different conditions. For example, tin is cathodic to
exposed areas of steel base in sea water, natural water, or even to many food
products in the presence of air. However, when exposed to nearly air-free food
products, tin is definitely anodic to steel. Inorganic coatings are sometimes
inert, sometimes cathodic, and sometimes inhibitive. Organic coatings are
generally inert or inhibitive.

6.0 METHODS OF DEPOSITION OF METALLIC COATINGS

1. Hot-dip process for zinc, tin, aluminum and lead.


2. Metal spraying for most common metals using a wire spray
gun.
3. Metal cementation for zinc, chromium, aluminum and silicon
in which the protective metal is alloyed with the surface of the
steel. Other names for this process are: SHERADIZING,
CHROMIZING, CALORIZING, IHRIGIZING (for Sc),
CORRONIZING.
4. Metal cladding: copper clad onto steel by dipping, and
aluminum cladding by hot rolling a pack.
5. Fusion welding.
6. Electroplating.
7. Sputter deposition or evaporation deposition.
Metallurgical Applications 773

7.0 EXAMPLES OF CORROSION-RESISTANT COATINGS

7.1 Preamble

At the outset, an important aspect of such coatings is noted. These


coatings can be classified into sacrificial or non-sacrificial coatings. Sacri-
ficial coatings are corroded in preference to the base metal which is protected.
In this case, the microstructure of the coating does not have to be dense. On
the other hand, for a non-sacrificial coating, the microstructure has to be fully
dense to isolate the underlying metal from the corrosive fluid (liquid or gas).
Hence microstructure control becomes very important. Nowak[1] has
discussed the subject in more detail with emphasis on composition and
microstructural factors. Egert[2] has presented a theory on corrosion of
substrates with protective metal coatings. Assuming no galvanic interaction
between the coating and the substrate, corrosion occurs at defects in the
coating and is proportional to the number of defects per unit area. Multilayer
coatings and amorphous alloy coatings appear to be more effective in general
than single layer coatings. In the latter case, the absence of grain boundaries
which are often corrosive channels is an important microstructural factor.
Zinc coatings, produced by a dip coating process, have been used to
protect steel products for 150 years. A modern development is the one-sided
zinc coatings for the automobile industry by PVD techniques with the ability
to make paint adhere much better than on a galvanized surface. Zinc coatings
are outstanding in their ability to protect steel by galvanic action where the
coating is mechanically damaged such as at the sheared edges of a steel
sheet. On the other hand, galvanized coatings do not last as long in sulfate-
bearing industrial atmospheres. Zinc oxide coatings are not protective as
gauged from their linear oxidation rate.
Conversely, metals such as aluminum and chromium form protective
oxide films and are more corrosion resistant. Aluminum likewise, does not
confer galvanic protection to a steel base. As a result, aluminum coated steel
shows unsightly rust staining or rust spots at points of mechanical damage
such as sheared edges or scratches through the coating. An early solution
in 1961 was to produce a Zn-55%Al alloy coating by the hot-dip process. This
coating combines the best features of galvanizing and aluminizing. Horton[3]
has discussed the physical metallurgy of the Al-Zn alloy coatings.
In the middle of the 1960 - 1970 decade, several steel companies studied
the possibility of depositing very thin aluminum and/or zinc coatings on steel
sheet in air-to-air coating lines by high rate electron-beam evaporation of Al[4]
774 Deposition Technologies for Films and Coatings

or zinc by resistance evaporation from a graphite boat.[5] For thin (sub-micron)


aluminum coatings, the degree of pitting in the coating after corrosion testing
was influenced by the deposition rate, and the surface preparation of the steel
strip. About a decade later, in the former state of East Germany, a peculiar
economic circumstance, i.e., shortage of hard currency, forced the investiga-
tion of substitution of Al for Sn in steel sheet for food and beverage container
application. The development of an air-to-air coating line used in tandem with
steel strip rolling in a commercial steel plant was successfully implemented
in 1981 at the BEJO Steel Company in Bad Salzungen.[6] Typical deposition
conditions were as follows: for Al deposition, the film thickness was 0.5 - 3 µm
on a steel strip 400 mm wide by 0.2 mm thickness at a substrate temperature
of 220 - 300°C, a deposition rate of 20 µm/second at a strip speed of 2 m/sec
using 50 kg/hour of aluminum from a continuously-fed electron beam evapo-
ration source. In subsequent investigations, mechanical activation of the steel
strip by wire brushing prior to deposition was found to enhance the coating
performance. Since the unification of Germany, this coating line is now being
used to deposit copper and chromium onto steel.
Zn deposition from a resistance-heated graphite source produced a 15
µm thickness coating on steel strip at a substrate temperature of 150 - 300°C
at a line speed of 100 ft/min with Zn usage of 40 - 100 lb/hour.[6] According to
Schiller et al.,[6] there are fifteen plants world-wide for coating metal strips
continuously by PVD techniques, of which eight are in Japan. They use
thermionic electron beam, hollow cathode arc, resistance-heated sources and
magnetron-sputtering sources to produce the metal vapor.
Another application involves the deposition of Al onto steel and titanium
alloy fasteners used in air-frame construction. The problem to be solved was
galvanic corrosion caused by dissimilar metal contact, i.e., steel or titanium
of the fastener and aluminum in the air-frame. The process used is “ion-plating”
of aluminum using a resistance-heated source in a partial pressure of 10
millitorr of Ar gas with the parts biased to a -2 kV potential.[7] The parts are
hung on racks if they are large, or tumbled in a barrel for small parts such as
fasteners. The Al coating thickness is ~1 µm and 100 lbs of fasteners can be
coated per hour.
Other examples of corrosion resistance imparted by coatings are as
follows:
1. Antler in 1977[8] discusses corrosion resistance conferred
by intermetallic phases, particularly those in the nickel-tin
system.
Metallurgical Applications 775

2. Nowak and co-workers[9][10] have studied thin films of Al-Cu,


Al, Mg and Al1-xZn x ion-plated onto steel substrates for
enhancement of corrosion resistance. These thin,
microcrystalline films can surpass bulk material in corrosion
resistance. The ion-plated Al-Zn alloys have markedly
superior corrosion resistance compared to the commercial
hot dipped coatings Galvlume (55Al-Zn) and Galfan (5Al-Zn).
3. Microcrystalline/amorphous iron alloy films (Fe-180Cr-8Ni)
with additions of about one atomic percent Al or Si were
deposited onto 304 stainless steel or Erbnite substrates.
Substantial improvement in corrosion resistance was
produced by modification of the microstructure with further
improvements through chemical and structural mechanisms.
The results indicate that sufficient alloy additions to stabilize
the material to an entirely amorphous state would produce
even greater improvements.[12]
4. Type 304 stainless steel coated by CVD techniques with TiN
produced substantial improvements in both corrosion and
whirledsand abrasion resistance in sea water.[13]
5. Wialla et al.[14] deposited TiN and ZrN coatings onto high
speed steel and stainless steel by reactive triode ion plating.
The results showed improved corrosion resistance.
6. Beveskog et al.[15] used potentiodymanic measurements to
demonstrate the excellent corrosion resistance imparted by
a TiC coating deposited by the ARE process onto quartz
substrates.
7. Bearings of steel in a stagnant lubricating oil environment
with Cl ions present in the oil show marked corrosion at
opposing mating surfaces. Such a circumstance occurs in
aircraft engines used in a marine environment which are
inactive over a period of time, a circumstance that can occur
with military aircraft. It was found that ion-implantation of Mo
and Cr solved the corrosion problem. Overlay coatings of Cr,
Mo, TiC, TiN also solved the problem at a much lower
processing cost.[16]
8. Type 52100 bearing steel and type 304 stainless steel were
overcoated with TiN and ZrN by cathodic arc plasma deposition
776 Deposition Technologies for Films and Coatings

technique and tested in a 0.5N NaCl solution.[17] The TiN


coatings were also ion implanted with N, Ti and Au to
determine the effect of ion implantation on corrosive behavior.
TiN coatings did not provide corrosion protection and ion-
implantation of the TiN coatings also did not improve the
corrosion resistance. ZrN coatings, on the other hand, did
provide corrosion protection by the formation of a passive
film.
9. Platinum coatings were deposited onto Mo substrate by
electrodeposition from molten cyanide electrolytes. They
were then melted with a Nd-YAG laser and corrosion tested
in an In HNO3 environment. Coatings of 25 µm thickness
were produced and showed corrosion behavior similar to
bulk Pt, thus demonstrating a defect free coating.[18]
10. Thick coatings of stainless steel were produced by the
particle occlusion technique followed by heat treatment.
The corrosion rates were equivalent to bulk stainless steel.[18]
11. For valve seats and stems used in nuclear power plants,
Yoshioka et. al.[19] developed a unique plasma CVD technique
to deposit multilayer Al2 O3/TiN/TiC, Al2 O3 /TiC, and TiN/TiC
coatings on stellite and stainless steel substrates. The
coatings showed good wear resistance in a high temperature
water environment. The coated specimens also showed
excellent corrosion resistance when tested at 548°K for 200
hours.

8.0 HIGH TEMPERATURE OXIDATION/CORROSION

The focus here is to decelerate the degradation mechanisms of high


temperature alloys caused by high temperature exposure to air and corrosive
fuel/combustion product mixtures encountered in heat exchangers, fire-box
grates, blades and vanes in the hot section of the gas turbine engine, etc. The
coating degradation modes are oxidation, hot corrosion, thermal fatigue, and
erosion. Their role in gas turbines and diesel engines is illustrated in Table
14.2. The basic materials science approach is to develop a protective oxide
surface on the high temperature alloy. The most suitable oxides are Cr2O3,
SiO2 , and Al2 O3 as shown in Fig. 14.1. Additionally, abrasive wear problems
Metallurgical Applications
Table 14.2. Coating Degradation Modes for Various Gas Turbine and Diesel Engines.

777
778 Deposition Technologies for Films and Coatings

caused by particles in the gas stream can occur. The severest problem is in
rotating parts such as the turbine airfoil where a combination of high
temperature strength and oxidation resistance is desired. In spite of extensive
superalloy development (i.e., Co, Ni, or Fe base material) over a 20 year span,
a single alloy composition which has the requisite strength (produced by γ’
precipitation strengthening) and which develops a sufficiently dense protective
oxide by thermal growth has not been developed. Fortunately, coating
technology has enabled the development of a composite material where the
high temperature strength results from the base superalloy composition and
the corrosion resistance is provided by the coating which is enriched in the
oxide forming elements such as Al, Cr or Si.

Figure 14.1. Plot of parabolic rate constant vs. 1/T for various metals forming CoO,
NiO, SiO2 and Al2O3 layers showing the diffusional stability of Al2O3 forming system.
Metallurgical Applications 779

The requirements of high temperature protective metallic coating compo-


sitions are:
1. Ability to form Al2 O3 (or other suitable stable and protective
oxides).
2. Ability to form Al2O3 over a wide compositional range thereby
providing compositional freedom to optimize coating
mechanical and physical properties.
3. Ability to promote oxide adherence; this provides increased
lifetimes or comparable lifetimes at lower coating alloying
content.
4. Freedom from detrimental elements and phases which can
compete with the formation of the preferred protective oxide,
or interact with the corroding product to promote protective
oxide breakdown.
5. Freedom from detrimental elements and phases which can
interact with the substrate to reduce its stability, load
carrying ability, and fatigue properties.
6. Presence of sufficient levels of beneficial “backup” elements
to provide resistance to oxide breakdown and penetration,
and to increase primary oxide-element-former activity, e.g.,
Cr increases the activity of Al.
Several coating methods are used. The first development (1950’s) was
the chemical vapor deposition process involving aluminizing either in a pack
or out of a pack.[20] It is a simple, inexpensive, non-line-of-sight process which
results in good oxidation resistance from the NiAl coating. However, the
process is limited to specific substrate compositions, and the coating layer
has limited low temperature ductility. It became unsuitable for the advanced
gas turbines and many industrial turbines developed in the 1960’s and 1970’s.
The need arose to produce a coating tailored to the specific environmental and
mechanical conditions, and one which is independent of the substrate
composition and structure. Such an overlay coating is produced by the
simple PVD evaporation process using high rate electron beam evaporation.
A key development was the ability to deposit alloys from a single source. An
extended rod-fed evaporation source is heated by the 150 kW electron beam
guns with a sophisticated beam deflection program to obtain precise
thickness distribution and coating chemistry. Eleven turbine blades are coated
at one time. Up to 20,000 parts can be coated each month.[21] These alloys are
known as MCrAlY where M can be Ni, Co, Fe or Ni + Co. The steps in electron
780 Deposition Technologies for Films and Coatings

beam evaporation-deposition processes for MCrAlY coatings are given


below.
Receiving
Inspection and Batching
Surface Preparation:
- Degreasing
- Grit Blasting
- Vapor Honing
Weighing (if used as thickness and process control)
Loading into Fixtures and Masking
Coating Cycle
- Pre-Heating
- Coating Deposition
- Cooling
Removal from Fixtures
Weighing
Overspray Removal
Peening
Diffusion Heat-Treatment
(often substrate alloy solution treatment)
Aging Heat-Treatment (if specified)
Inspection and Documentation
Shipment
Reworking—if applicable
(stripping and re-cycling through appropriate process)
Later developments include the use of an alternate deposition technique,
low pressure plasma spray (LPPS), although e-beam evaporation is still the
production technique used. As the source temperature of the engine has
increased to the limit for the use of superalloys (even including hollow airfoils
with forced air cooling) the use of stabilized zirconia as a thermal barrier outer
layer is being assessed on an experimental basis. These coatings can be
deposited by both e-beam evaporation and LPPS. Currently, the e-beam
evaporation method is the preferred one. However, due to a tendency towards
brittleness, a microlaminate composite consisting of multiple alternate layers
of MCr-Al-Y and Al2O3 deposited by e-beam evaporation has been developed
by Professor B. A. Movchan at the Paton Electric Welding Institute, Kiev,
Metallurgical Applications 781

Ukraine and is reported to have been used by him on an experimental scale.


The thermal conductivity of microlaminate composites has been recently
studied by Radhakrishna, Doerr, Deshpandey, and Bunshah,[22][23] who
studied the microlaminate materials systems Ni-NiCoCrAlY and Ti-CoCrAlY
with up to 480 alternate layers. They showed that the thermal conductivity of
the microlaminate composites perpendicular to the laminate plane decreases
with increasing number of interfaces (layers) but in a non-linear fashion. The
drop in conductivity is associated with the interface. This would present an
alternate to the stabilized zirconia coating and has the advantage that is not
brittle.

9.0 FRICTION AND WEAR

Friction and wear are interrelated phenomena. Tribology is the science


of friction and wear. Friction may be defined as the force necessary to initiate
sliding between two contacting surfaces—surfaces arenot atomically smooth.
Contact is between asperities. Surface topography is thus an essential factor
in the study of wear. Tribological contacts are both elastic and plastic, the
latter leading to the formation of junctions or microwelds between asperities
on mating surfaces. Lubrication is the means used to attempt to separate
contacting surfaces by inserting a lubricant between them. The lubricant can
be a solid or liquid.
There are several types of wear.

9.1 Adhesive Wear

The various steps in adhesive wear are:


1. Formation of microwelds between asperities which are
deformed under load.
2. Shear of the two surfaces leading to fracture in or near the
microweld region causing the generation of wear debris
which can then cause abrasive wear.
3. Repeat steps (1) and (2).

9.2 Fretting Wear

Two loaded surfaces in contact undergo relative oscillating tangential


movement known as “slip” as a result of vibration or cyclic stressing. The
amplitude of slip is 2 - 20 µm. The mechanism is somewhat similar to adhesive
782 Deposition Technologies for Films and Coatings

wear and consists of:


1. Adhesion by microwelding causing material to be raised
above the level of the original surface.
2. Shearing of the raised regions.
3. Removal of material by delamination causing the formation of
wear debris.

9.3 Abrasive Wear

Abrasive wear may be described as damage to a surface by a harder


material. Other terms used to describe abrasive wear arescratching, scoring,
gouging. There are two types of abrasion wear:
1. Two body abrasion, where the harder surface cuts into the
softer surface such as in grinding, cutting, and machining
operations.
2. Three body abrasion, where a hard particle like a wear debris
is caught between softer surfaces, thus abrading them.

9.4 Fatigue Wear

Repeated stresses or stress cycles with two surfaces in contact cause


initiation of failure at subsurface levels, finally leading to delamination of the
surface.

9.5 Impact Erosion Wear by Solid Particles and Fluids

This type of wear occurs when solid particles impact against a target
material at speed. It is measured as a weight of material removed (Ε) by a
unit weight of impacting particles. When considering the performance of
target materials of different densities, it is more appropriate to use volumetric
erosion εν which is ε/ρ), ρ being the density.
The important parameters are the size, morphology, hardness, and
angle of impingement of the particles, and the hardness of the impacted
surface.
Brittle erosion can occur by micro-fracture with little or no deformation.
Ductile erosion can occur by: (i) cutting action, (ii) extrusion and
fragmentation
Special circumstances lead to the following additional types of wear.
Metallurgical Applications 783

9.6 Corrosive Wear

The type of wear is due to the dynamic interaction between the


environment and the mating material surfaces. It occurs in a two-step cyclic
manner:
1. The contacting surfaces react with the environment and
reaction products are formed on the surface.
2. The reaction products are attrited off the surfaces by crack
formation and/or abrasion in the contact interactions.

9.7 Electric Arc Induced Wear

Arcing between surfaces due to the presence of a high potential causes


wear by melting, corrosion, and even direct ablation of material. The large
craters caused by arcing, in subsequent sliding in oscillatory motion, lead to
shears and fractures, abrasion, corrosion, surface fatigue, and fretting. Thus
arcing can initiate several modes of wear and can cause catastrophic failure
in electrical machinery.

9.8 Solution Wear (Thermodynamic Wear)

This type of wear occurs due to the relative thermodynamic instability of


one material with respect to the other. It occurs predominantly at high
temperatures where chemical interaction is active, e.g., at cutting tool tips
contacting the work piece. Consequently, a diamond cutting tool is unstable
against a steel work piece because of the large solid solubility of carbon in iron
at elevated temperatures. Similarly, various ceramic coatings have different
thermodynamic stability vis-à-vis the specific material being cut. The theory
has been developed by Professor Bruce Kramer and appears to work well for
various carbide and nitride CVD coatings on cemented carbide substrates for
the machining of steel.

10.0 COATINGS TO REDUCE FRICTION AND WEAR

10.1 Friction

As discussed above, friction is the force necessary to initiate sliding


between two mating surfaces. It is proportional to the real area contact
between the two surfaces, keeping in mind that mating surfaces are in contact
along the asperities. Under load, if the real area of contact increases by
784 Deposition Technologies for Films and Coatings

deformation of the asperity contacts, friction will increase. For stronger


(harder) materials, the real contact area will be smaller than with weaker
materials. This is illustrated in Table 14.3 where the coefficient of friction
decreases when the surfaces are strong ceramics as compared to weaker
metals. Also, in the case of ceramic-ceramic contacts, there is no tendency
for microwelding, which occurs between metal-metal contacts, which would
increase the friction.

Table 14.3. Friction Coefficient Data at Ambient Temperatures Without


Lubrication

Friction Coefficient
Low Moderate
Humidity Humidity Deposition
Material Pair (0.5 - 20%) (~50%) Methodology Reference
METAL/METAL
1. SS-Type 304/SS Type 410 0.67 - - 1
2. Titanium/SS Type 410 0.75 - - 1
METAL/CERAMIC
1. Tool Steel/SiC - 0.23 CVD 2
2. Tool Steel/TiC - 0.25 CVD 2
3. Tool Steel/TiN - 0.49 CVD 2
4. SS Type 304/TiC 0.42 - ARE (PVD) 1
5. SS Type 304/TiN 0.75 - ARE (PVD) 1
6. Ti/TiN 0.45 - ARE (PVD) 1
7. Steel/BN, TiN 0.1 - 12 - - 4
CERAMIC/CERAMIC
1. TiC/TiN - 0.05 - 0.2 ARE (PVD) 3
2. TiC/TiN 0.18 - CVD 2
3. TiC/TiC 0.32 - CVD 2
4. TiN/TiN - 0.19 CVD 2
5. TiN/TiN - 0.65 ARE (PVD) 3
6. TiN/Ti2N + TiN - 0.1 - 0.3 ARE (PVD) 3
7. Al2O3/TiC - 0.19 CVD -

References:
1. Suri, A. K., Nimmagadda, R., and Bunshah, R. F., Thin Solid Films, 64:191 (1979)
2. Hintermann, H. E., Thin Solid Films, 84:215 (1981)
3. Jamal, R., Nimmagadda, R., and Bunshah, R. F., Thin Solid Films, 73:245 (1980)
4. Dimigen, H. and Hübsch, H., ICMC '83
Metallurgical Applications 785

10.2 Lubrication

Lubrication is a universally applied technique to decrease friction and


wear. In most engineering applications, oil is common lubricating fluid. Thin
films of soft materials are also good lubricants if they can deform easily by
shear. Well-known examples are pure face-centered cubic metals like silver
and gold, or hexagonal close-packed materials like graphite, molybdenum di-
sulfide (MoS2), tungsten di-selenide (WSe2), etc., which slip easily on the
basal plane. These solid lubricants are particularly useful in space-craft
operations of long duration (years) where a bearing would have to be activated
after a long period of time to move an instrument for example. If liquid
lubricants were to be used, the danger is that they may evaporate in the high
vacuum of space or be polymerized by the various radiation fluxes present.
This danger does not exist with solid lubricants which are usually deposited
by sputtering. It is important that the temperature of deposition be such that
the film is fully crystalline to enable it to shear,[23] as contrasted to an
amorphous deposit produced at lower deposition temperatures.

10.3 Wear

A recent book by Bhusan and Gupta[24] gives an extensive discussion


on coatings to resist wear. Most of these are hard coatings accompanied
preferably by a low coefficient of friction. Hard surfaces can be created by a
number of surface treatments:
Mechanical - work hardening
Thermal - heating and coating to produce phase changes,
e.g., martensite formation in studs, precipita-
tion in non-ferrous alloys.
Chemical - diffusion of various elements into the surface,
e.g., carburizing, nitriding, boriding, chromiz-
ing, aluminizing, etc.
Alternately, an overlay coating of a hard layer can be produced on the
surface by various deposition techniques such as hard facing (plasma spacing,
wire spray, detonation gun, etc.), chemical vapor deposition (CVD), physical
vapor deposition (PVD) such as evaporation and sputtering techniques, and
plasma-assisted physical vapor deposition (PACVD) such as activated
reactive evaporation (ARE), reactive sputtering (RS) and reactive ion plating
(RIP). The materials deposited by these overlay processes are metal, alloys,
cermets, ceramics, and multilayer composites on a macro and micro scale.
786 Deposition Technologies for Films and Coatings

The most prominent application of hard coatings for wear is in the life
improvement of cutting tools. The CVD process for deposition of hard coatings
was developed in the late 1940's and commercially applied to improve cutting
tool life nearly 20 years later. One of the problems that had to be overcome
to make CVD processing commercially feasible was the need to reharden and
temper the high-speed steel substrate after the CVD process was carried out
at temperatures ranging from 1000 to 1100°C (1830 to 2010°F). This spurred
the development of a low-temperature high-rate deposition process for hard
coatings. Such a process (the ARE process) was developed by Bunshah and
Raghuram in 1971 (Ref. 25). The application of TiC and TiN coatings onto M42
high-speed steel tools by Bunshah and Shabaik (Ref. 26) in 1975 was the first
demonstration of large increases in cutting-tool life. Bhusan and Gupta[24]
have compiled a table that summarizes tool wear-life improvement. Table 14.4
is an adaptation of Bhusan’s original table.

Table 14.4. Summary of Tool Wear-Life Improvement by Hard Coating


Deposition

Tools substrate Coating material/ Coating Deposition Improvement in wear Reference


treatment Thickness, method life
(µm)

HSS (M42) cutting TiC 5-8 ARE Three to eight times Bunshah et al.
tool (1975,1977)

Cemented carbide TiN, TiC 5 IP, CVD IP comparable to Kobayashi and Doi
cutting tool CVD (1978)

HSS (M-10) drill TiC, TiN 2 ARE Twenty times Nimmagadda et al.
(1981)

Cemented carbide TiN 5 MS Several Times Ramalingham and


cutting tool Winer (1980)

Cemented carbide TiC, TiN 8 - 10 CVD Several times Hintermann (1981,


cutting tool 1984)

Cemented carbide HfN, TiC/Al2O3,


HfN, TiC/Al 2 O3 , - CVD HfN most superior Oakes (1983)
cutting tool Al2O3, TiC/TiN
Al2O3, TiC/TiN

HSS (M-10) drill TiN 1-2 MS Fifty times Sproul and Rothstein
(1985)

Cemented carbide (Ti, Al)N, TiN/TiC - CVD Three times better Knotek et al. (1987)
cutting tool with (Ti, Al)N

HSS (M-10) drill (Ti, Al)N, TiN - IP Three times better Knotek et al. (1987)
with (Ti, Al)N

Cemented carbide TiN, HfN, ZrN 8 - 18 CVD, IP Hardness of IP, MS, Quinto et al. (187)
cutting tool ARE Coatings at
Room Temperature
Superior to CVD
Metallurgical Applications 787

Other important developments include diamond and diamond like carbon


coatings, cubic boron nitride coatings and multilayer composites on a
microscale, a development which is spurred on by the realization of large multi-
target unbalanced magnetron sputtering machines.

REFERENCES

1. Nowak, W. B., Surface and Coatings Technology, 49:71 (1989)


2. Egert, C. M., Corrosion, 44:36 (1988)
3. Horton, J. B., Corrosion Control by Coatings, (H. Leidheiser, ed.), p. 59,
Science Press, Princeton (1978)
4. Meyers, R. G. and Morgan, R. P., Trans. Vac. Met. Conference, p. 271
(1966)
5. Butler, J. F., J. Vac. Sci. Tech., 1:S52 (1970)
6. Schiller, S., Forster, H., and Jasch, G. J., Vac. Sci. Tech., 12:800
(1975); Schuller, S., Goedicke, K., and Metzner, C.,Plasma Activated
High Rate Electron Beam Evaporation for Coating Metal Strips, 12th
International Vacuum Congress, (Oct. 1992), to be published
7. Fannion, E. R., Reports McAir No. 77-012 and 77-014, McDonald
Douglas Corp, St. Louis (1977)
8. Antler, M., Corrosion Control by Coatings, (H. Leidheiser, ed.) p.115,
Science Press, Princeton (1978)
9. Novak, W. B., and Wong, G., J. Vac. Sci. Tech., A5(4):2164 (1987)
10. Novak, W. B. and Seyyedi, J., Fundamental Aspects of Corrosion
Protection by Surface Modifications, p. 89, The Electrochemical
Society, Pennington, NJ (1984)
11. Novak, W. B., Burns, L. E., and Harris, V. G., J. Vac. Sci. Tech.,
A7(3):2350 (1989)
12. Novak, W. B., Materials Science and Engineering, 23:301 (1976)
13. Motojima, S. and Kohno, M., Thin Solid Films, 137:59 (1986)
14. Wiiala, U. K., et. al.,Surface and Coatings Technology, 41:191 (1990)
15. Beverskog, B., et. al.,Surface and Coatings Technology, 41:221 (1990)
16. Agarwal, P., Nath, P., Doerr, H. J., Bunshah, R. F., Kuhlnam, G., and
Koury, A. J., Thin Solid Films, 83:37 (1981)
788 Deposition Technologies for Films and Coatings

17. van Leaven, L., Alias, M. N., and Bronon, R.,Corrosion Behavior of Ion
Plated and Ion Implanted Films, - to be published
18. Walters, R. P., Surface and Coatings Technology, 39/40:655 (1989)
19. Yoshioka, T., Ukegawa, H., Kawai, H., Fujita, N., and Iragashi, T., paper
presented at the International Conference on Metallurgical Coatings,
San Diego (1988)
20. Goward, G. W., J. of Metals, 22:31 (1970)
21. Stephan, M., Dietrich, W., Feurstein, A., and Hoffmann, O. H.,
Metallurgy, 5:2 (1981)
22. Radhaknshna, M. C., Doerr, H. J., Deshpandey, C. V., and Bunshah,
R. F., Surface and Coatings Technology, 36:143 (1988)
23. Radhaknshna, M. C., Doerr, H. J., Deshpandey, C. V., and Bunshah,
R. F., Surface and Coatings Technology, 39/40,153 (1989)
24. Goward, G. W., J. of Metals, 22:31 (1970)
25. Stephan, M., Dietrich, W., Feuerstein, A., and Hoffmann, O. H, Metall,
5:2 (1981)
26. Radhakrishna, M. C., Doerr, H. J., Deshpandey, C. V., and Bunshah,
R. F., Surface and Coatings Technology, 36:143 (1988)
27. Radhakrishna, M. C., Doerr, H. J., Deshpandey, C. V., and Bunshah,
R. F., Surface and Coatings Technology, 39/40:153 (1989)
28. Spalvins, T., Thin Solid Films, 53:285 (1978)
29. Bhusan, B. and Gupta, B. V., Handbook of Tribology, McGraw-Hill,
(1991)
30. Bunshah, R. F. and Raghuram, A. C.,J. Vac. Sci. Tech., 9:1385 (1972)
31. Bunshah, R. F. and Shabaik, A. H.,Research and Development, 26:46
(1975)
15

Characterization of Thin Films


and Coatings

Gary E. McGuire

1.0 INTRODUCTION

Characterization techniques for the analysis of thin films and coatings


encompass a broad range of spectroscopies. Each one has a special niche
which is based on the information it provides that is not available from other
analytical techniques. To fully characterize a material requires a combination
of these tools. There are hundreds of different characterization techniques but
only a small fraction of them are widely used as general purpose analytical
techniques.
In this chapter some of the more widely utilized techniques for charac-
terizing thin films and coatings will be described. The discussion will address
surface analysis, microscopy and optical techniques. The basic principles of
each technique will be reviewed and applications will be described which
illustrate the use of the tool. Characterization strategies will be considered in
light of the type of information that may be obtained.

2.0 SURFACE ANALYSIS TECHNIQUES

2.1 Auger Electron Spectroscopy

Auger electron (AE) excitation is usually accomplished with an


energetic electron beam. Figure 15.1 shows an energy level diagram which

789
790 Deposition Technologies for Films and Coatings

depicts the AE process. Incident electrons with sufficient energy create a


core hole through the excitation of an ionizing photoelectron.[1] The atom, left
in an excited state, de-excites through the emission of soft x-rays or Auger
electrons, both of which are characteristic of the energy levels involved. The
kinetic energy of the Auger electron illustrated in Fig. 15.1 may be described
as

Eq. (1) E KL1L 2,3 = E K − EL1 − EL 2,3 − ∅

where EK is the energy of the ionized core level, E L1 is the energy of the level
from which the electron originates to fill the initial core hole,E L2,3 is the energy
level from which the Auger electron is emitted and Ø is the work function.
Except for the lighter elements, multiple characteristic Auger transitions occur
due to the various core electrons that undergo electron stimulated emission
and the multiple combinations of outer energy levels available for de-excitation
and Auger emission. The characteristic AE is usually described by the three
energy levels involved in its emission as in Eq. 1.

Figure 15.1. Energy level diagram describing the process for the emission of Auger
and photoelectrons.
Characterization of Thin Films and Coatings 791

The kinetic energy of the AE is independent of the excitation source.


Electron beams are the preferred excitation source because they can be
focused to a small spot and deflected to, or rastered over, a region of
interest on a sample. Electron beams in the 1 - 20 kV range have been
utilized, however, the maximum cross-section for excitation is usually 2.5
- 3 times the energy of the core shell.[2] Higher electron beam energies
are more favorable in order to focus the beam to a small spot size. Spatial
resolution of 25 - 50 nm has been achieved, however spatial resolution is
gained by sacrificing beam current and subsequently sensitivity. High
brightness electron sources such as LaB6 or field emitters are used to
minimize the loss in sensitivity.
The AE transition is a small feature sitting on a large background of
inelastically scattered electrons.[3] The most prominent features in the AE
spectrum are the backscattered electrons from the primary beam and low
energy secondary electrons. The data is presented in the N(E) versus E mode
and background subtracted to enhance the weak Auger signal. Historically
this was done using a lock-in amplifier and presenting the data in the dN(E)/
dE versus E format.
Figure 15.2 shows a schematic diagram of an Auger spectrometer. The
optics for the primary electron beam are coincident with the cylindrical mirror
analyzer. The focal point of the primary electron beam is designed to be
identical to that of the electron spectrometer. When a sample is positioned
at the focal of the electron spectrometer, Auger electrons are excited at the
surface by the primary beam and pass through the acceptance slits into the
spectrometer. A negative potential applied to the outer cylinder of the analyzer
deflects the electrons through the exit slit onto an electron multiplier. By
sweeping the voltage on the outer cylinder, the electron energy spectrum may
be scanned. A secondary electron detector is incorporated in the chamber to
facilitate locating the primary beam on the area of the sample to be analyzed.
The shallow attenuation length or inelastic mean free path of electrons
as a function of energy is the factor which gives all of the electron spec-
troscopies their surface sensitivity.[4] In the range of interest, from 0 - 2000 eV,
the inelastic mean free path (IMFP) is only a few monolayers, Fig. 15.3. The
IMFP dependence on electron energy in this range varies considerably from
material to material. These variations are associated with differences in the
electron energy loss functions among the materials.[5] These variations lead
to uncertainty in the quantification of the data.
792
Deposition Technologies for Films and Coatings
Figure 15.2. Schematic diagram of a cylindrical mirror analyzer Auger spectrometer.
Characterization of Thin Films and Coatings 793

Figure 15.3. Plot of electron inelastic mean free path versus energy which
illustrates the basis for the surface sensitivity of the electron spectroscopies.
(Reprinted with the permission of the publisher, John Wiley & Sons, Ltd.)

Characteristic Auger transitions may be observed for all elements with


three or more electrons. As a result, AES is often used to survey the surface
composition of materials. For example, Fig. 15.4 shows an AES spectrum of
the surface of a Si wafer coated with an Al - 4% Cu (atomic weight) after etching
in a CCl4 plasma. The plasma etch removes the Al but leaves a Cu residue
since Cu-plasma reaction products are not as volatile as those of Al. The Cu-
rich residue is only a few monolayers thick and, as a result, can only be
detected by surface analysis techniques such as AES.
Utilizing the AES surface sensitivity with ion sputtering provides a
measurement of the elemental composition as a function of depth (depth
profile). An ion gun is utilized to bombard the surface with a flux of inert gas
794 Deposition Technologies for Films and Coatings

ions in the 1 - 5 keV range, removing controlled amounts of material due


to the transfer of momentum from the impinging ions to the surface atoms.
By monitoring the Auger signal intensity of selected elements as a
function of sputtering time, a plot can be generated which represents the
concentration as a function of depth. Figure 15.5 shows the in-depth
profile of a sample consisting of multiple 50 nm layers of Ni and Cr. [6] The
depth resolution between the Ni and Cr layers is excellent and is achieved
by using a low primary beam energy to minimize knock-on effects and
sample rotation to reduce ion induced surface roughness. A variety of ion-
induced artifacts may occur, however the additional information gained as
a result of an in-depth profile with a resolution of 20 - 50 Å usually
outweighs the disadvantages.

Figure 15.4. Auger spectrum of a silicon surface after removing a copper-doped


aluminum layer using a CCl4 plasma.
Characterization of Thin Films and Coatings 795

Figure 15.5. AES depth profile of a sample of multiple 50 nm layers of Ni and Cr.
(Reprinted with the permission of the publisher, John Wiley & Sons, Ltd.)

Auger spectra usually contain features which are characteristic of the


surface chemistry of the material under investigation as a result of the
participation of the valence band electrons in the Auger process. These
features have been studied for many systems and may be used as a means
of identifying the chemical oxidation state. Figure 15.6 illustrates the change
in the Ga L3M4,5M4,5 Auger electron kinetic energy and line shape in two
different chemical environments.[7] The Ga Auger transition is shifted by 4.9
eV for the oxide formed on GaAs by anodic oxidation relative to the peak for
the underlying GaAs substrate. A similar shift of 5.8 eV is observed for the As
L3M4,5M4,5 Auger transition for As in the anodic oxide relative to the transition
for As in GaAs. Chemical shifts of this magnitude have been observed for most
elements. Since the spectral features are complex and the magnitude of the
chemical shift relatively small, it is not simple to determine the composition
of multicomponent systems.
The primary electron beam can be focused to less than 200 Å. By
rastering the beam over the sample surface, elemental distribution maps may
be obtained of the surface composition. This is accomplished by fixing the
pass energy of the spectrometer so that only one Auger transition is being
monitored while the beam is scanned over the surface. If more than one
element is of interest the pass energy is adjusted for each elemental map. One
typically looks for inter-relationships in the maps as an indication of surface
structure, compound formation, corrosion, etc.[8] When the Auger transitions
exhibit features which are indicative of certain oxidation states, these
transitions may be mapped to obtain the chemical state distribution.
796 Deposition Technologies for Films and Coatings

Figure 15.6. Typical relationship between minimum detectable concentration and


primary beam current and diameter at 10 kV for AES.

AES has a detection limit of approximately 0.1% atomic or 1018 atoms/


cm3 with a sensitivity variation of 50 - 100 across the Periodic Table. Several
handbooks of Auger data[9] provide relative sensitivity factors for the elements
which may be used for quantitative analysis. A new journal,Surface Science
Spectra, archives AES and XPS spectra that have been peer reviewed. Figure
15.6 illustrates the typical relationship between minimum detectable concen-
tration and primary beam current and diameter at 10 kV for AES.[10] At higher
resolution the beam current is restricted resulting in lower sensitivity. Even
though AES has relatively poor sensitivity, it is one of the more popular surface
and thin film analysis techniques.
Characterization of Thin Films and Coatings 797

AES may be utilized on a wide variety of materials but, due to the use
of an electron beam for excitation, it suffers limitations. The electron beam,
and ion beam used for sputtering, may induce sample decomposition. This
problem is accentuated by the high current densities that occur with small
probe diameters. Insulating materials may be difficult to evaluate due to
sample charging effects. The imbalance of currents from the primary beam,
the secondary electrons, and the sample result in a surface potential which
distorts the Auger electron energy.

2.2 Photoelectron Spectroscopy

Photoelectron spectroscopy is a technique which has many similarities


to AES.[11] The same energy level diagram (Fig. 15.1) may be used to describe
the photoemission process. Excitation of the ionizing photoelectron may be
accomplished through the use of a variety of energetic photons or charged
particles. The primary focus in this section will be monochromatic x-ray
excitation of photoelectrons (XPS). Use of a monochromatic excitation source
is essential to this spectroscopy since the photoelectron’s kinetic energy is
directly dependent on the energy of the excitation source. By knowing the
energy of the x-ray (hv) with a high degree of accuracy and measuring the
kinetic energy (KE) of the emitted photoelectron, the binding energy (BE) of
any electron energy level less than the photon energy can be determined from
the relationship:

Eq. (2) BE = hv - KE + Ø

where Ø is the work function.


A variety of electrostatic electron energy analyzers have been produced
commercially. The most widely used come from the family of spherical sector
analyzers illustrated schematically in Fig. 15.7. The lens in this case is simply
a transfer lens which transfers electrons from the analyzed area on the sample
onto the entrance slit of the analyzer. Removing the sample from close
proximity to the entrance slit of the analyzer in this way provides much greater
working space around the sample. Most spectrometers make use of a
position-sensitive, multiple-array detector to enhance the count rate.
An x-ray source with either an Al or Mg anode, mounted in proximity
to the sample is used for excitation. The x-rays flood a broad area of the
sample since they, unlike the electron source in AES, can not be easily
798 Deposition Technologies for Films and Coatings

focused. The acceptance angle of the spectrometer in combination with


the transfer lens determines the area of analysis which is typically a few
millimeters in area. Figure 15.7 illustrates an x-ray source with a
monochromator, although x-ray sources with and without monochroma-
tors are in widespread use. The monochromator is based on the diffraction
of Al x-rays off of a bent quartz crystal resulting in a narrower linewidth (0.4
eV versus 0.9 eV), focusing of the x-rays (<150 µm), and elimination of the
satellite x-ray lines.

Figure 15.7. Schematic diagram of an XPS system utilizing a bent quartz crystal x-
ray monochromator in conjunction with an electrostatic lens and spherical sector
analyzer.
Characterization of Thin Films and Coatings 799

The spectra are usually plotted in the N(E) versus BE format. Each
element exhibits a unique set of photoelectron (PE) transitions corresponding
to its atomic energy levels. The PE transition energies are a function of atomic
number so that the energy levels of adjacent elements in the Periodic Table
are shifted in binding energy.[12] The spectral features are Gaussian-like,
sitting on a low background. In addition to elemental identification, the
strongest attribute of XPS is its ability to distinguish different oxidation states.
Figure 15.8 shows the XPS spectrum of a bare Si wafer. The two peaks
associated with the Si2p transition are from elemental Si and SiO2 of the 20
- 30 Å of native oxide. So, in addition to illustrating the chemically-shifted
peaks, this figure also illustrates the surface sensitivity of XPS. The surface
sensitivity, as in AES, is controlled by the inelastic mean free path of the
electron as illustrated in Fig. 15.3, rather than the path length of the x-rays
used for excitation.

Figure 15.8. XPS spectrum of a Si surface showing two Si2p peaks, one associated
with elemental Si and the other associated with the SiO2 of the native oxide.
800 Deposition Technologies for Films and Coatings

The ability to distinguish different oxidation states, as illustrated by the


chemical shift of the Si2p transition of SiO2 relative to that of Si, is one of the
strengths of XPS. The shift in the core level binding energy is due to changes
in the valence electron density. Chemical shifts as large as 12 eV have been
observed; however, since there are many compounds in whichthe element of
interest is in similar oxidation states, the binding energies are not unique.
This is illustrated in Table 15.1 for the Cr2p3/2 transitions for a series of
chromium compounds. Similar chemical shifts may be observed for both
cations and anions. Table 15.2 lists the relative chemical shifts of S, Se and
Te compounds[13]for anionic species X−2 , XO3 −2 and XO4−2. In addition, there
are other spectral features which provide additional chemical information.[14]

Table 15.1. Chemical Shifts in the Cr2p 3/2 Transition of Chromium


Compounds
Characterization of Thin Films and Coatings 801

Table 15.2. XPS Chemical Shifts of Anion Compounds

XPS, like AES, can be combined with ion sputtering in order to generate
in-depth profiles. Since the area of analysis for XPS is larger than for AES, the
ion beam must be defocused or rastered over a larger area in order to obtain
an analysis area of uniform depth. As a result, the sputter rate is much slower
which, especially when coupled with the longer data acquisition times of XPS,
results in longer times to generate concentration versus depth profiles. By
monitoring the chemically shifted peaks it is possible to generate chemical
oxidation state versus depth profiles. This is extremely valuable for multicom-
ponent systems. For example, Table 15.3 lists the oxide formed during the
thermal oxidation of various compound semiconductors. Typically one of the
components of the system will oxidize more readily; based on the heats of
formation, the predominant oxide may be predicted. Frequently the other
component is concentrated at the interface or lost due to evaporation. The
composition of the oxide, especially as a function of depth, has been found to
be strongly dependent on the method and conditions of formation.
Since the photoelectron spectra of many elements exhibit only small
chemical shifts for a series of compounds, it is frequently necessary to
examine the other features of the spectrum. One of these features which
frequently exhibits useful chemical information, even when the photoelectron
transitions do not, is the corresponding Auger transition. Since Auger
emission is a multi-step process in which two electrons are emitted—the
Auger and photoelectron—the electron shells surrounding the atom have more
802 Deposition Technologies for Films and Coatings

time to undergo relaxation. This results in larger chemical shifts for the Auger
transition as compared to the corresponding photoelectron transition. Table
15.4 compares the binding energies of photoelectron and Auger transitions for
a series of metals and their oxides.[12] In all cases, the Auger transition
exhibits a factor of two larger chemical shift over that of the photoelectron
transition. However, Auger spectra are more complex and exhibit broader line
widths which limits the use of the chemical shift information they contain. In
addition, Auger transitions are not always excited due to the limited energy
range of the typical Al or Mg x-ray source.
The detection limit for XPS is approximately 0.5% atomic or 5 x 1018
atoms/cm3 with a sensitivity variation of 102. There are several data sets
available which provide relative sensitivity factors based on peak area.[15]
The x-rays used for excitation do less damage to the surface than the
electron beams used for AES. Sample charging is minor since only the
photoemission and sample return currents must be balanced.

Table 15.3. Chemical Compound Formation During Thermal Oxidation of


Compound Semiconductors
Characterization of Thin Films and Coatings 803

Table 15.4. Chemical Shifts in X-ray Excited Auger Spectra

2.3 Secondary Ion Mass Spectroscopy

Secondary ion mass spectroscopy (SIMS) is the mass analysis of


secondary ions generated by ion sputtering. As illustrated in Fig. 15.9,
bombarding the surface of a solid with an energetic ion beam generates a
variety of secondary transitions, including the emission of electrons, photons
and ions. Detection of any of these secondary events could serve as the basis
for an analytical probe, however, SIMS is optimized for the detection of positive
and negative secondary ions.
804 Deposition Technologies for Films and Coatings

Figure 15.9. Schematic diagram of secondary particles generated by an incident


ion beam.

There are several different types of SIMS instruments in widespread use.


They are based on a magnetic sector or quadrupole mass spectrometer.
Figure 15.10 is a schematic diagram of a quadrupole mass spectrometer-
based instrument which contains all the essential features of a SIMS system.
Many SIMS instruments have two ion sources; a duoplasmatron ion source
which generates ions from a gas source such as Ar or O2 and a liquid metal
ion source which generates ions using emission of low melting-point metals.
The primary beam is mass analyzed to separate the positive and negative ions
and the neutrals which are produced in the ion source. A condenser lens is
used to focus the ions and charge deflection plates are used to position the
beam or raster it over the sample surface. A simple electrostatic analyzer is
used prior to the mass analyzer in order to select a narrow energy distribution
of the secondary ions. A quadrupole mass spectrometer is used for low cost,
low to intermediate mass resolution and high speed peak switching. Magnetic
sector mass spectrometers are used for high mass resolution and high
collection efficiency but are slow at peak switching. Instruments frequently
make use of a secondary electron detector to position the primary ion beam
and an electron gun for charge compensation.
Characterization of Thin Films and Coatings 805

Figure 15.10. Schematic diagram of a quadrupole mass spectrometer based


SIMS.

Since SIMS uses ion bombardment to generate the secondary ions, it


is intrinsically a depth profiling technique. In order to insure that the ions
originate from a uniform depth it is necessary to raster the Gaussian-shaped
beam in order to achieve a uniform ion flux. The ion beam is rastered over an
area slightly larger than the area of analysis in order to avoid accepting a signal
from the sloping sidewall. The signal is gated such that the signal is only
accepted when the primary beam is away from the crater wall.
806 Deposition Technologies for Films and Coatings

One of the most important aspects of SIMS analysis is the success-


ful generation of secondary ions. One aspect of this is the selection of the
primary beam energy. The sputtering yield, atoms removed per incident
ion, is dependent on the incident ion energy. SIMS ion sources are usually
designed to operate in the 2 to 20 keV energy range. There is no benefit
in going to higher accelerating voltages since the sputtering yield is flat or
decreases above 20 keV. Operation at lower primary beam energies
results in slower sputtering rates and decreased ion yields but results in
improved depth resolution. For shallow doping profiles, cascade mixing
and surface roughening may limit the depth resolution of SIMS analysis.
Several studies have demonstrated a practical lower limit of approximately
3 keV before the ion beam broadens and limits the depth resolution [17] as
illustrated in Fig. 15.11. The angle of incidence of the primary ion beam
as well as sample rotation, which was discussed in the section on depth
profiling using AES,[18] play a role in depth resolution.
Only a few percent of the atoms removed by sputtering are ionized.
The remainder are neutral atoms or atom clusters. Proper selection of the
primary ion can enhance the ion yield. Positive primary ions enhance the
yield of negative secondary ions while negative primary ions enhance the
yield of positive secondary ions. The favored primary ions are O − and Cs+
for their high yield of positive and negative secondary ions, respectively.
The secondary ion yield is also a function of the electronegativity of
the elements in the sample. For example, when a negative primary ion
beam is used, the relative positive ion yield will be greatest for those
elements with the lowest electronegativity. Figure 15.12 illustrates the
variation in secondary ion intensity as a function of atomic number for O −
bombardment. The secondary ion yield correlates with the periodic nature
of the electronegativity of the elements.[19] Conversely, when a positive
primary ion beam is utilized the relative negative ion yield will be greatest
for those elements with the highest electronegativity.
The SIMS spectrum is a plot of the secondary ion intensity versus the
mass-to-charge ratio. As can be seen from Fig. 15.13, the spectrum from
even high purity elements like Si can be very complex. The spectrum
results from the detection of singly and multiply-ionized atoms and multi-
atomic species formed during ion sputtering. The ion intensity is usually
plotted on a log scale due to the large dynamic range, 10 6, of the data.
Characterization of Thin Films and Coatings 807

Figure 15.11. The energy dependence of the depth resolution of a quardrupole-


based SIMS is illustrated for Cs ion beam profiling of As-implanted Si. (Reprinted
with the permission of the Amer. Vac. Soc.)
808
Deposition Technologies for Films and Coatings
Figure 15.12. Plot of the relative positive secondary ion yield versus atomic number for 13.5 keV oxygen ions. (Reprinted
with the permission of the journal, Analytical Chemistry.)
Characterization of Thin Films and Coatings
Figure 15.13. Plot of the relative secondary ion intensity versus the mass-to-charge ratio resulting from oxygen ion

809
bombardment of high purity silicon.
810 Deposition Technologies for Films and Coatings

The bulk detection limit of SIMS is 1014 - 10 15 atoms/cm 3 for many


elements. This is a factor of 104 - 105 more sensitive than AES or XPS.
However, SIMS data is more difficult to quantify because the ion yield is
matrix dependent. As a result, other techniques such as Rutherford
backscattering spectroscopy (RBS) are used to normalize the SIMS data.
This is most often done for implant profiles where the SIMS profile may vary
from 1020 to 1015 atoms/cm3. RBS is used to calibrate the high concentration
portion of the SIMS profile. The depth resolution of these profiles is less than
50 Å, depending on the analysis conditions. SIMS depth profiles up to several
micrometers may be obtained with this depth resolution but, without precau-
tions such as sample rotation, cumulative ion mixing may degrade the depth
resolution. The depth scale of a SIMS profile requires secondary calibration
using a mechanical stylus technique, ellipsometry, or an interferometric
technique.
SIMS is one of a few analytical tools capable of distinguishing isotopes.
This has resulted in some well designed experiments that take advantage of
this capability. For example, Coleman et al.[20] utilized SIMS to investigate
the anodic oxidation of GaAs. By using isotopically labeled H2O, they were
able to distinguish the mechanism by which anodic oxidation proceeds.
Figure 15.14 illustrates the depth profiles that were obtained from a GaAs (001)
wafer anodized in H2O18 then H2 O16 and another sample anodized in the
opposite sequence. From this study the authors were able to show that
oxygen is incorporated into the growing oxide at the oxide-electrolyte
interface as opposed to the oxide-semiconductor interface. Mass transport
occurs through the interstices of the growing oxide.
SIMS is effectively used in the analysis of a broad range of materials;
however, it does suffer from several limitations in addition to the matrix-
dependent ion yield. One of these is charge-induced migration of easily
ionized elements such as Na, Li or Cl. The charge that builds up on insulators
during ion bombardment may reach sufficient field strength to cause charge-
induced migration. Since both positive and negative primary ion beams are
used for analysis, charge-induced migration may result in diffusion and
accumulation at the surface or at an interface. This effect may be minimized
by lowering the sample temperature or by neutralizing the surface charge.
Charge neutralization may be accomplished by positioning a hot filament in
proximity to the sample or by exposing the surface to low energy electrons
from an electron gun. The degree of success in neutralizing the surface
charge, however, greatly influences the secondary ion yield.
Characterization of Thin Films and Coatings 811

Figure 15.14. Depth profile of the isotopic distribution of oxygen in an anodic oxide
grown on GaAs (001). Curve (A) is for GaAs (001) anodized in H2O18 then H2O16.
curve (B) is for anodization first in H2O16 then H2O18. (Reprinted with the permission
of the Electrochem. Soc.)

Since the secondary ion yield is so dependent on a number of


different factors, it limits the application of SIMS. This has led to the
development of techniques which enhance the ion yield in order to
reduce the matrix dependence and improve the sensitivity. By adding a
photon source with sufficient energy to ionize the material removed
during the sputtering process, the ion yield can be increased signifi-
cantly. This approach is referred to as sputter-assisted laser ionization
812 Deposition Technologies for Films and Coatings

(SALI). [21] Another technique, resonance ionization spectroscopy (RIS),


uses a laser beam which is tuned to the frequency necessary to ionize the
atoms of interest.[22] This provides a means of selectively analyzing for one
element at a time. Many elements require multiple photon pulses to excite
the atom to an excited state then finally to ionization. It is important to choose
resonate states that can be easily excited and that have large photoionization
cross-sections.
RIS uses ion sputtering to remove material from the surface analogous
to SIMS. However, the secondary ions generated by ion bombardment are
extracted without analysis. The laser beam is pulsed to excite the remaining
neutral material which constitutes approximately 95% or more of the material
removed by sputtering. Using this approach, detection limits of down to 1010
atoms/cm3 have been reported for Na in Si.[23]

2.4 Rutherford Backscattering Spectroscopy

Rutherford backscattering spectroscopy (RBS) is the energy analysis of


ions that are backscattered from a surface. Typically ions with low mass, such
as H+ or He+, are accelerated toward the sample at a potential of 0.5 - 2.0 MeV.
As shown in Fig. 15.15, the target, M2 , recoils while the primary ion, M1,
scatters at an energy E1 at an angle Ø. The scattering energy, E1, is easily
calculated from the relationship,

Eq. (3) E1 = K(M1, M2, Ø) Eo

where
2
 M cos ∅ + M 2 − M 2 sin 2 ∅ 
 1 2 1 
K = 
 M1 + M2 
 

The scattering cross-section is a smoothly varying function of the target


mass as shown in Fig. 15.16. From this curve it is obvious that the scattering
efficiency is very low for those elements with low atomic mass. In addition,
it is difficult to distinguish elements which have similar masses when the
elements have high atomic masses.[24]
Figure 15.17 is a schematic diagram of the equipment necessary to
perform RBS. The accelerator must be capable of generating MeV ions of the
light elements. Modern instruments make use of compact tandetron accel-
erators which allow the construction of RBS systems which are not signifi-
Characterization of Thin Films and Coatings 813

cantly larger than other surface analysis equipment. The analysis of the
backscattered ions may be achieved through the use of an electrostatic
analyzer or a solid state detector. The solid state detector, the preferred
detection system, is positioned in front of the target at an angle of approxi-
mately 30° from the incoming primary beam. A thin mylar sheet is placed in
front of the detector to attenuate low energy secondary ions and secondary
electrons.

Figure 15.15. Schematic diagram illustrating a Rutherford backscattering


collision.
814
Deposition Technologies for Films and Coatings
Figure 15.16. Plot of the Rutherford backscattering cross-section versus target atomic mass.
Characterization of Thin Films and Coatings
815
Figure 15.17. Schematic diagram of a Rutherford backscattering spectrometer.
816 Deposition Technologies for Films and Coatings

The spectra are plots of scattered ion intensity versus energy. An RBS
spectrum is the sum of a family of scattering curves from each atomic mass
in the target. As shown in Fig. 15.18, KEo represents inelastic scattering from
the front surface of the target.[24] At a depth X, the primary ion loses additional
energy through electron scattering, both going into and escaping from the
solid. Since Rutherford scattering occurs at all depths, a curve is generated
which is the sum of all these events. Each atomic mass in the target generates
a separate curve based on its scattering cross-section.[25]

Figure 15.18. A plot of the Rutherford backscattering yield versus the energy of the
backscattered ion with an accompanying illustration showing the scattering
location in the sampled depth. (Reprinted with the permission of the publisher,
Acad. Press.)
Characterization of Thin Films and Coatings 817

Figure 15.19 illustrates the application of RBS in the analysis of the


silicides formed during the interaction of Ni and Si. [26] The dashed line
represents the as-deposited Ni on Si case, where He + scattering from Ni
and Si results in distinct He+ scattering energies. Upon heating at 300°C
for 90 minutes, Ni2 Si forms for which the scattering curve is represented
by open circles. The signal from Ni has decreased in intensity and
broadened while the front of the Si scattering curve has moved toward the
Ni curve indicating the formation of silicide. Additional heating results in
a further decrease in intensity and broadening of the Ni curve and an
increase in the Si signal associated with the silicide. Since the scattering
cross-sections for Si and Ni are known, the stoichiometry for the different
phases of silicide can be calculated without the use of standards. There
are many examples like this in the literature where a heavy metal in a
matrix of a low atomic mass element lends itself to RBS analysis.

Figure 15.19. RBS spectra of the phases of nickel silicide formed following the
deposition and annealing of nickel on silicon. (Reprinted with the permission of the
publisher, Akademie-Verlag.)
818 Deposition Technologies for Films and Coatings

Since RBS is essentially a non-destructive quantitative analysis


technique, it is frequently used to calibrate other surface analysis
techniques. It, however, has a sensitivity limit of about 10 18 atoms/cm 3
in a Si matrix. [27] This is comparable to the sensitivity limit for AES and
XPS but is much less than that of SIMS.
Of the surface analysis techniques, RBS is unique in its ability to
distinguish whether a dopant occupies a substitutional or interstitial site
in a crystalline lattice. When the primary ion beam is oriented along the
crystalline planes, the ions penetrate long distances by channeling along
the open planes. Scattering occurs at crystal imperfections and intersti-
tial impurity sites. Figure 15.20 compares the RBS spectra from Si
implanted Si(100) samples which were positioned such that random
scattering and channeling occur.[28] The virgin sample exhibits minimal
scattering except in the random orientation, indicating the quality of the
crystal. After implantation, the crystal has undergone extensive damage
which is evident in the increased scattering along the channeling direction.
Subsequent heating at 550°C and 850°C anneals out much of the damage,
however, the crystal quality of the virgin sample is not recovered.

Figure 15.20. RBS spectra for Si (100) in the random and < 110 > aligned direction
before and after 80 keV 30Si+ implant and subsequent anneal at 550° and 850°C.
(Reprinted with the permission of the Electrochem. Soc.)
Characterization of Thin Films and Coatings 819

The same equipment used to do RBS can be used for nuclear reaction
analysis (NRA).[24] Instead of Rutherford scattering, the primary ion must
penetrate the nucleus of the target atom and induce a nuclear reaction as
depicted in Fig. 15.21. The nuclear reaction cross-section as a function of
incident energy must be known in order to select an energy which will result
in adequate yield. The energies required for NRA are frequently higher than
those used for RBS. Table 15.5 lists some nuclear reactions that are used for
thin film analysis. NRA compliments RBS in that many of the useful nuclear
reactions are for low atomic number elements for which RBS has low
sensitivity. Since the nuclear reaction cross-sections are well known, NRA,
like RBS, is quantitative without the use of standards. This is especially
beneficial for elements like H which are difficult to detect and quantify by other
analytical techniques. NRA is also used to calibrate other surface analysis
techniques like SIMS. For example, Fig. 15.22 shows the data from NRA and
SIMS analysis of B implanted into Si at 10 keV. NRA is not as sensitive as
SIMS but it is less matrix dependent and as a result can be used to calibrate
the higher concentration portion of the profile.

Figure 15.21. Schematic diagram illustrating an ion-induced nuclear reaction.


820 Deposition Technologies for Films and Coatings

Table 15.5. Nuclear Reactions Useful for Thin Film Analysis


Characterization of Thin Films and Coatings 821

Figure 15.22. Depth profile analysis of boron implanted into silicon at 10 keV using
NRA (circle) and SIMS (solid line). (Printed with the permission of M. L. Swanson
and N. R. Parikh.)
822 Deposition Technologies for Films and Coatings

3.0 IMAGING ANALYSIS TECHNIQUES

3.1 Scanning Electron Microscopy

Scanning electron microscopy is surface imaging of solids using


electron-beam-generated secondary electrons. Figure 15.23 illustrates
the electron beam interaction with a solid. The primary beam may be
focused to a spot < 50 Å in diameter.[29] Upon interacting with the solid,
secondary electrons are generated which are utilized to image the
surface. As the high energy primary electrons penetrate the solid, they
undergo scattering which increases the interaction volume. Some of the
primary electrons will be backscattered toward the surface with little or no
loss in energy. Energetic primary electrons ionize atoms in the solid
producing x-rays which are characteristic of the elements that are
present. With suitable detectors, the x-rays may be detected to provide
elemental analysis.
Secondary electrons are low energy even though the primary electron
beam is several keV or higher. Figure 15.24 shows the average energy
distribution of secondary electrons from metals. [30] The peak in the
energy distribution is below 5 eV. In order to efficiently collect the
secondary electrons, a high potential bias is applied to a scintillator tube
which is positioned in proximity to the sample. The signal is converted to
light and fed out through a light pipe to a photomultiplier tube as shown in
Fig. 15.25. SEM images at less than 20 Å resolution have been obtained
with several hundred thousand times magnification. SEM’s provide higher
magnification with greater depth resolution than optical microscopes.
SEM images may become distorted by the surface potential that builds
up on insulators or edge effects at sharp contours. Insulators may be
coated with a thin (~100 Å) conductive layer to dissipate the surface
charge.
Backscattered electrons have the same energy as the primary elec-
trons. The electron backscattering coefficient, like that of ions in RBS, is a
well known, smoothly varying function of atomic number as shown in Fig.
15.26. Since the backscattering yield varies more than the secondary
electron yield across the Periodic Table, backscattered electrons yield better
image contrast in many situations.[31] The high energy backscattered
electrons sample much greater depths than low energy secondary electrons.
Characterization of Thin Films and Coatings 823

Figure 15.23. Diagram illustrating the interaction of the primary electron beam with
a solid surface in the production of secondary and backscattered electrons, x-rays,
and other secondary radiation.
824 Deposition Technologies for Films and Coatings

Figure 15.24. Plot of the average intensity of secondary electrons from metals as
a function of energy.

Figure 15.25. Schematic diagram of a scintillator tube used for the detection of
secondary electrons.
Characterization of Thin Films and Coatings 825

Figure 15.26. Plot of the electron backscattering coefficient versus atomic number.

One of the most common analytical attachments to the SEM is the


energy dispersive x-ray spectrometer (EDX). The high energy primary electron
beam causes emission of a core electron which leaves the atom in an excited
state. The atom undergoes de-excitation by x-ray emission, as described
previously for Auger electron emission. The x-rays are characteristic of the
elements from which they originate. The emitted x-rays are detected by a
solid state detector which is positioned in the vicinity of the sample, Fig.
15.27. The detector is a Li-doped Si crystal which is biased at high
voltage. X-rays interacting with the detector create electron-hole pairs
which are swept through the detector due to the high voltage bias. The
charge pulse is converted to a voltage pulse by a charge-sensitive
826 Deposition Technologies for Films and Coatings

preamplifier. The useful energy range for EDS systems is from 1.0 to 220
keV which limits the analysis to elements with Z > 9. EDS detectors with thin
protective layers or no protective layer, when used in ultra-high vacuum
systems, permit analysis of the lighter elements down to B. The analysis
depth is dependent on the path length of the x-rays, not the primary electron
beam. As a result, EDS signals may originate from depths of 0.5 µm or more.

Figure 15.27. Diagram illustrating the detection of electron-beam-excited x-rays in


an SEM using a solid state lithium-doped silicon detector.

The major advantage of EDX is its ability to operate in the pulse-


counting mode and detect the characteristic x-rays for all elements above F
in the Periodic Table. A complete spectrum may be obtained in a much
shorter time with EDX than with a wavelength dispersive x-ray (WDX)
analyzer. An EDX analyzer has a resolution of approximately 150 eV,
whereas a WDX analyzer has a resolution of 5 eV. The Li-doped Si detector
Characterization of Thin Films and Coatings 827

used with EDX requires liquid nitrogen cooling to keep the Li from diffusing
and rapidly degrading the detector’s performance.[30]
A schematic diagram of a wavelength-dispersive detector is shown in
Fig. 15.28. The electron beam excited x-rays interact with a crystal which
disperses the x-rays. As the crystal is rotated, the different wavelength x-rays
enter the detector. A variety of crystals are used in order to optimize the energy
resolution and collection efficiency of the broad range of x-ray energies for
elements Z≥ 6. A spectrum of x-ray intensity versus wavelength is generated
from which the characteristic x-ray lines may be identified. The detector may
also be operated at a fixed wavelength, so that the detector output represents
an intensity map of the sample surface for one characteristic x-ray when the
electron beam is rastered. The most commonly used detector for the WDX
spectrometer is a gas flow proportional counter. When an x-ray enters the tube
through a thin window and is absorbed, it causes a photoionization-induced
cascade which gives rise to a charge pulse.

Figure 15.28. Diagram illustrating the detection of electron beam excited x-rays with
a wavelength dispersive detector.

For bulk samples more than a few micrometers thick, spatial resolution
for elemental analysis does not improve for beam diameters much less than
one micrometer since the volume of x-ray production is determined by electron
beam scattering.
It is possible to obtain images of magnetic domains in an SEM.
Ferromagnetic materials are composed of small subgrain-sized regions
828 Deposition Technologies for Films and Coatings

called domains. The magnetic moment of these domains may be along a


certain crystallographic axis. In some crystals, the magnetic moment at a
surface will often have a component normal to the surface. A secondary
electron ejected from the surface of a uniaxial crystal will experience a force
proportional to the surface magnetic moment. Because the local magnetic
flux changes sign over each domain affecting the secondary electron signal,
images of domains can be obtained using a secondary electron detector (type
I magnetic contrast).
In most ferromagnetic crystals, there is more than one preferred axis. In
these crystals, closure domains form at the surface that have their magnetic
moment lying along the axis most closely parallel to the surface. Inside the
metal, there is an abrupt change in the magnetization direction at a domain
boundary. Therefore, a primary electron will experience a force in different
directions from domain to domain. This gives rise to changes in the
backscattering yield as the primary beam sweeps across a domain boundary
(type II magnetic contrast). Type I magnetic contrast can be performed at
resolutions to 1 µm while type I magnetic contrast is limited to 0.2 µm.[32]

3.2 Transmission Electron Microscopy

The transmission electron microscopy (TEM) utilizes an electron beam


much like the SEM but at higher accelerating potential. A higher accelerating
potential is utilized since only electrons that are transmitted through thinned
specimens are imaged. The accelerating potential required depends on the
sample thickness and atomic mass but is typically 100 - 400 kV. The TEM
has superior resolution (0.15 nm) to the SEM resulting from the very small
wavelength of high-energy electrons and the limited sample volume for electron
scattering.
In the conventional TEM mode, in which the entire region of the specimen
is flooded with incident electrons, the images can be viewed directly on a
fluorescent screen or recorded on photographic film. The information obtained
by electron microscopy is derived from either elastic or inelastic scattering
processes. Electrons that do not undergo any scattering or elastic scattering
with little change in trajectory will form the transmitted beam (bright field
mode). Elastically scattered electrons with a significant change in trajectory
form the diffracted beam (dark field mode).
Modern analytical electron microscopes are often equipped with a wide
variety of signal detectors. In the scanning TEM mode (STEM), any of these
signals (transmitted electrons, diffracted electrons, backscattered electrons,
Characterization of Thin Films and Coatings 829

secondary electrons and characteristic x-rays) can be used to modulate the


input signal to a cathode ray tube (CRT) to form an image (Fig. 15.29).

Figure 15.29. Diagram of the analytical attachments and modes of operation of a


STEM for evaluating thinned specimens. (Reprinted with the permission of the
publisher, Marcel Dekker, Inc., Ref. 36.)

Samples are thinned to approximately 50 - 300 nm through a combina-


tion of chemical and/or mechanical polishing and ion milling.[33] The speci-
men is mounted on a wire grid for ease of handling. Sample may be thinned
vertically or horizontally. Thin films may be prepared by mechanically or
chemically removing the substrate.
Figure 15.30 is a cross-sectional TEM micrograph of an epitaxial CoSi2
grown using a bimetallic layer process. [34] The CoSi2 /Si system is of interest
since it has one of the lowest resistivities of the silicides and has a CaF2
structure with a lattice parameter of about 1.2% less than that of Si, allowing
CoSi2 to grow epitaxially on Si. Even though the lattice mismatch between
Si and CoSi2 is 1.2%, this is considered relatively large, and relaxation of the
CoSi2 lattice is expected to occur by introduction of misfit dislocations. The
dislocations are associated with atomic steps at the interface. Cross-
sections like this may be utilized to evaluate various epitaxial growth
techniques, implantation damage, deposited films, and contact formation.
830 Deposition Technologies for Films and Coatings

Figure 15.30. Cross-sectional TEM micrograph of epitaxial CoSi2 grown on Si


(100).

Figure 15.31 provides a different perspective of a silicide film on Si, a


plane view. Polycrystalline silicides, such as CoSi2 begin to thin along grain
boundaries when annealed at elevated temperatures.[35] The film tends to
break up into islands, agglomerate, when annealed at sufficiently high
temperatures even for relatively brief periods. The agglomeration leads to films
with high resistivity which defeats the purpose of using the low-resistivity
silicides as contacts.
When an electron beam interacts with a thin film of a crystalline material,
some electrons will undergo elastic scattering with essentially no loss in
energy but significant change in trajectory. The directions in which electrons
are elastically scattered is determined by the orientation between the atomic
planes in the specimen and the incident beam. Coherent elastic scattering
in the forward direction produces the conventional electron-diffraction patterns
in TEM. The angles through which the electrons are scattered are given by
Bragg’s law. Diffraction of electrons is identical to the diffraction of x-rays by
a crystal except for the wavelength of the diffracting radiation.
The standard method for generating diffraction patterns using con-
ventional TEM is by selected area diffraction (SAD) where an aperture is
used to limit the area of the specimen from which the diffraction pattern
is obtained. Figure 15.32 illustrates the nature of the electron diffraction
Characterization of Thin Films and Coatings 831

pattern that may be observed.[36] Single crystalline samples produce


ordered diffraction patterns which depend on the crystal structure of the
system being studies, qualitatively analogous to the Laue technique of x-ray
diffraction. From the pattern it is possible to deduce the indices of the crystal
plane giving rise to the diffraction spots. As the sample becomes more
disordered, the ordered diffraction pattern is accompanied by diffuse rings until
only the diffuse rings appear for randomly oriented samples. The ratio of ring
diameters, analogous to a Debye-Scherrer x-ray diffraction pattern, is used to
identify the crystal structure. The advantage of SAD over x-ray techniques
is the analysis of small specimens or individual grains.

Figure 15.31. Plane view TEM micrograph of the growth of polycrystalline CoSi2 on
the open Si regions between patterned SiO2 lines. Upon high temperature
annealing, the CoSi2 tends to agglomerate toward the center of the open region and
away from the silicide/oxide boundary.
832 Deposition Technologies for Films and Coatings

Figure 15.32. Diagram of the electron scattering that occurs from single crystalline,
polycrystalline, and randomly oriented films. (Reprinted with the permission of the
publisher, Marcel Dekker, Inc., Ref. 36.)

Compositional analysis in a TEM may be performed using x-ray


analysis or electron energy loss spectroscopy (EELS). A schematic
illustration of an EELS apparatus is shown in Fig. 15.33. Electrons in the
transmitted beam may suffer only inelastic scattering. EELS involves
analysis of the energy distribution of the inelastically scattered electrons
Characterization of Thin Films and Coatings 833

contained in the transmitted beam along with the unscattered electrons. A


magnetic sector spectrometer is used to energy-analyze the electrons based
on their radial trajectory in the magnetic field of the spectrometer. A magnetic
instrument is utilized since it is the only type of electron spectrometer with the
resolving power to handle the high electron energies necessary for STEM
analysis. The high energy primary electrons lose energy passing through the
sample due to ionization of the energy levels of atoms present. This results
in loss peaks at discrete energy levels. During EELS all the inelastically
scattered electrons are detected so that the signal intensity should be higher
than the corresponding x-ray intensity. In addition, the spatial resolution
should approach the diameter of the incident beam. The physics of energy-
loss favors strong EELS signal generation for light elements, which is
complimentary to EDS analysis which is insensitive to light elements.

Figure 15.33. Schematic diagram of a magnetic sector electron energy loss


spectrometer.
834 Deposition Technologies for Films and Coatings

X-ray analysis in the STEM is accomplished with essentially the same


equipment configuration as in the SEM. However, EDS in the STEM provides
much higher spatial resolution than in an SEM. The higher spatial resolution
is accomplished as a result of sample thinning. The electron beam interaction
with the bulk samples used in SEM analysis results in electron scattering
which results in secondary x-ray excitation from a sample volume much larger
than would be excited by the primary beam without scattering (Fig. 15.23).
When the sample is thinned to several thousand angstroms thickness, the
electron beam penetrates without significant scattering. Since the volume
scattering is minimized, x-ray analysis may be accomplished in a volume not
much larger than that defined by the diameter of the primary electron beam.

4.0 OPTICAL ANALYSIS TECHNIQUES

4.1 Ellipsometry

Ellipsometry is an optical technique which is widely used to characterize


the optical properties such as refractive index, thickness, surface roughness,
etc., of thin films. It is based on the interaction of linearly-polarized
monochromatic light with materials. When light passes from one medium into
another (in ellipsometry, typically from air into the sample) some of the light
is reflected and some passes into the material as shown schematically in Fig.
15.34.[37] The angles of the incident and reflected light are equal. The portion
of the light that enters the sample does not continue at the same angle but is
refracted to a different angle. The angle of refraction can be determined by
Snell’s Law where:

Eq. (4) N1 sin ∅1 = N2 sin ∅2

where N1 and N2 are the indices of refraction (complex numbers) of air (or
medium of the incident beam) and the substrate, respectively and Ø1 and Ø 2
are the angles of incidence and angle of refraction, respectively. For
dielectrics, Eq. 4 consists of only real numbers.
Ellipsometry invariably involves the reflection of light from a surface.
When a light beam is reflected at an interface, the reflection coefficient is
defined as the ratio of the amplitude of the reflected wave to the amplitude
of the incident wave for a single interface (Fresnel reflection coefficient).
Characterization of Thin Films and Coatings 835

Figure 15.34. Schematic of the planar structure assumed for ellipsometric analysis
showing a collimated monochromatic beam of light interacting with a surface at the
air/medium interface. The electric vectors of the plane polarized light are defined
as p waves (in the plane of incidence) and s waves (perpendicular to the plane of
incidence). (After Woollam et al.)[37]

Reflection coefficients are defined in terms of the electric vectors of the plane
polarized light; p waves in the plane of incidence and s waves perpendicular
to the plane of incidence. The Fresnel reflection coefficients are given by[38]

N2 cos ∅1 − N1 cos ∅2
Eq. (5a)
p
r12 =
N2 cos ∅1 + N1 cos ∅2

and

s = N1 cos ∅1 − N2 cos ∅2
Eq. (5b) r12
N1 cos ∅1 + N2 cos ∅2

where the superscript refers to waves parallel or perpendicular to the plane of


incidence and the subscripts refers to medium 1 and medium 2. In
ellipsometry the ratio of rs and rp is measured. Since these are both complex
numbers their ratio is complex and is expressed in terms of amplitude and
phase:
836 Deposition Technologies for Films and Coatings

rp
Eq. (6) s = tanΨexp ( j∆ )
r

whereΨ (Psi) and∆ (Delta) are the parameters determined by the ellipsometer
from which one of the parameters of interest, the index of refraction, can be
determined. Delta denotes the difference between the phase angle between
the parallel and perpendicular component of the incoming wave, δ1, and the
outgoing wave, δ2, respectively. Psi is the angle whose tangent is the ratio
of the magnitudes of the total reflection coefficients.
There are a variety of ellipsometer configurations; these include null
ellipsometers, modulation ellipsometers, and rotating element ellipsometers.
A typical null instrument, for example, is shown in Fig. 15.35. When the
analyzer is rotated about the light beam, a sinusoidally varying intensity will
be detected. When the polarizer is properly oriented so that the ellipticity is
just canceled by the reflection, the light being detected will be linearly
polarized and the signal intensity will be at its maximum and minimum. The
proper orientation is found by adjusting alternately the polarizer and the
analyzer until the true extinction is found.

Figure 15.35. Schematic diagram of a rotating-element ellipsometer in which the


polarizer and analyzer rotate alternately until the null is found.
Characterization of Thin Films and Coatings 837

Ellipsometry has been used to determine the refractive index and


thickness of numerous materials, in both single and multiple layers. In many
instances the ellipsometry data is compared with other data or used to
calibrate other techniques. For example, Fig. 15.36 shows a plot of the TiO2
thickness as determined by ellipsometry versus the corresponding values
determined by AES for a thermally oxidized TiNx film.[39] To determine the
thickness of the oxide film, it is necessary to determine the optical properties
of the underlying TiNx; thermal annealing (as in oxidation) will change the
optical properties of the TiN layer. AES analysis was used in an iterative
approach to determine the ∆ and Ψ values of the oxide film.

Figure 15.36. Plot of the TiO 2 film thickness for a thermally oxidized TiN x measured
by ellipsometry versus that measured using AES. (Reprinted with permission of
the publisher, the Amer. Inst. of Phys.)

The basic equations developed for ellipsometry assume plane parallel


surfaces. However, spectroscopic ellipsometry can be used to provide
insight regarding microscopically rough surfaces. In film growth, several
possibilities exist: the substrate/film interface can be rough, and/or the
838 Deposition Technologies for Films and Coatings

film/ambient interface may be rough. Without the use of other ancillary


techniques, the roughness can not be determined precisely. Some simpli-
fying assumptions that may be made in order to determine film thickness
include: measure the∆/Ψ values of a film-free rough surface, use these values
to calculate an index for the substrate, and assume that the film growth results
in a single film with plane parallel interfaces; or use the true index values of the
bulk material determined by some other means and assume that the film
growth yields a single film with parallel interfaces. The error in thickness
determined using either set of assumptions is less than the amplitude of the
roughness.[38]
With the advent of the use of plasmas or ion beams in microelectronic
processing, there has been concern for the damage the energetic ions or
neutrals may cause to the substrate. The damage may be monitored
nondestructively using spectroscopic ellipsometry[41]which provides informa-
tion regarding the damage profile, thickness, and the degree of crystallinity as
well as the presence of an oxide and microroughness. These studies were
conducted for variable energy at constant dose and for varying dose at
constant energy. For low energy ion implantation, the damage was modeled
as a silicon film amorphized by ion implantation on the surface of a crystalline
substrate. For higher energy implants, the amorphous Si layer became buried
beneath a damaged crystalline film.[42]

4.2 Fourier Transform Infrared Spectroscopy

The significant improvements in infrared spectroscopy brought about by the


introduction of computerized Fourier transform infrared (FTIR) spectrometers have
resulted in a dramatic expansion in the application of this technique. One version of
FTIR spectrometer is shown schematically in Fig. 15.37. Radiation from the IR source
passes through a beam splitter onto a fixed and movable mirror. The IR radiation
is recombined in an interference pattern which is determined by the position
of the movable mirror. Infrared radiation transmitted through the sample (as
shown in Fig. 15.37) or reflected from the surface is detected and a plot of IR
absorption versus wavelength is generated.
FTIR is used for both bulk and thin film analysis. When used for
bulk analysis, FTIR is one of the most sensitive analytical techniques
for selected materials. Table 15.6 provides the strongest absorption
lines, frequencies, and sensitivities of selected impurity elements in
Si. [43] In order to achieve these sensitivities, it is necessary to cool the sample
to liquid helium temperature and use a relatively thick specimen (5 mm) since
Characterization of Thin Films and Coatings 839

the sensitivity is proportional to the optical path length. Since C and O are
not electrically active in Si, no significant gain in sensitivity for these
impurities is obtained by lowering the sample temperature. Thinner speci-
mens may be used with a proportionate drop in sensitivity. Quantitative
analysis is based upon the measured IR absorption at a characteristic
wavelength compared to standards or a known absorption coefficient. Since
impurities such as O and C can occupy different sites in the Si lattice, they
exhibit several IR absorption bands. The frequencies of these bands have
been used to determine the presence of interstitial and precipitated O and the
intensities provide a measure of the concentration.[44]

Figure 15.37. Schematic diagram of a Fourier Transform infrared spectrometer.

One unique aspect of FTIR is the capability for measuring epitaxial layer
thicknesses of Si. This is a challenge for most techniques since the film and
substrate are the same material. Epitaxial Si layers are typically lightly doped
and will transmit in the IR range of 2 - 50 micrometers. The substrate is heavily
doped and will reflect the IR radiation. Depending on the difference in doping
levels between the epitaxial Si and the substrate, multiple internal reflections
will occur before the radiation reaches the detector. At each reflection, the IR
will undergo a phase shift which will be different for the epi-air interface and the
epi-substrate interface. The resulting spectrum will show interference fringes
with a period which is related to the epitaxial film thickness.[45]
840 Deposition Technologies for Films and Coatings

Table 15.6. FTIR Absorption Line Frequencies and Sensitivities

Diamond-like amorphous carbon (DLC) films have a number of attrac-


tive properties such as hardness, chemical inertness, electrical insulation,
and infrared transparency. DLC films are believed to consist of a mixture of
sp2 and sp3 bonding structures. IR spectroscopy can easily distinguish these
two bonding structures by peak positions of characteristic absorption bands.
Table 15.7 shows C-H stretching absorption bands and their assignment for
DLC films.[46] Numerous other applications may be found in the literature
where FTIR has been used in both the transmission mode or reflection mode
to determine H content on plasma-deposited films, moisture adsorption and
others thin film properties.
The first FTIR microscope accessory was introduced in 1983. Since then
this capability has grown rapidly to provide analysis of areas as small as 5 x
5 micrometer in both the transmission and reflection mode. The FTIR
microscope sampling technique has been used to determine the B and P
concentrations in borosilicate[47] and phosphosilicate[48] passivation layers,
Characterization of Thin Films and Coatings 841

H concentration in silicon nitride passivation layers, and the carbon impurity


concentration in GaAs.

Table 15.7. C-H Stretching Bands Observed for Diamond-like Films

4.3 Photoluminescence Spectroscopy

Photoluminescence (PL) spectroscopy is a measure of the intensity of


radiation versus wavelength emitted as a result of radiative recombination of
electron-hole pairs or excitons from their thermal equilibrium states by optical
excitation.[49] An electron-hole pair excited from the ground state can
recombine radiatively through various kinds of recombination processes as
shown schematically in Fig. 15.38. The most simple recombination process
is a band-to-band recombination where a free electron excited in the conduc-
tion band recombines radiatively with a free hole excited in the valence band.
Impurities which introduce traps, donors or acceptor levels in the band gap
provide alternate paths for de-excitation. When an electron or hole is captured
by a trap center and then the trapped carrier recombines radiatively with the
remaining carrier, this is called band-to-impurity recombination. When both
the excited electron and hole are captured by different trap centers and then
the trapped electron and hole recombine radiatively, this is known as donor-
acceptor pair recombination.
842 Deposition Technologies for Films and Coatings

Figure 15.38. Diagram of the possible photoluminescence transitions.

At low temperatures a generated electron-hole pair becomes an


exciton. An exciton is a complex with an electron and hole bound together
by a Coulomb attraction which can move freely as a quasiparticle in a
semiconductor crystal. These free excitons decay in the ground state
through free-exciton (EF) recombination accompanied by luminescence.
Impurity-exciton complexes are formed when free excitons are bound to
impurity centers. Bound excitons (EB) radiatively decay at just below the
free-exciton energy.
It is apparent that the primary application of PL is in the analysis of
semiconductors impurities and defects. The most effective application of PL
is the identification of shallow impurities. This is accomplished by measuring
the characteristic positions of the EB luminescence lines at low temperature.
The spectral positions will differ depending on the impurity, while the intensity
is related to the concentration. PL has been used in the analysis of elements
such as B, P, Al, As, and N in Si in the concentration range 1011 to 1015 atoms/
cm3. It has been used to study impurities such as C, Si, Mn, Mg, and Te in
GaAs to 1013 atoms/cm3.[50]
The PL intensity is not directly related to shallow-impurity concentra-
tion because of competing non-radiative decay processes for the EB. The
intensity also depends on the excitation level. It has been found empirically
that good correlation can be obtained between impurity levels deter-
Characterization of Thin Films and Coatings 843

mined by electrical measurements and the intensity ratio of the EB to EF


when recorded at moderate excitation levels. Measurement of the intensity
ratios minimizes the influence of variables dependent on the crystal growth
and process conditions. Tajima generated the calibration curves shown in
Fig. 15.39 for B and P in float-zone refined Si.[51] The concentration range
between 1011 to 1015 atoms/cm3 represents the practical range over which
PL may be applied to Si.

Figure 15.39. Calibration curves for the P and B concentrations in Si from


analysis of the EB and E F photoluminescence intensity ratios. (Reprinted with
the permission of the publisher, the Amer. Inst. of Phys.)
844 Deposition Technologies for Films and Coatings

Room temperature PL due to band-to-band recombination can be used


to characterize thermally induced defects in Si. Some thermally induced
defects in Si act as non-radiative recombination centers which trap excess
carriers. The presence of such non-radiative recombination centers leads to
a reduction in the PL intensity. It is believed that the thermally induced defects
are related to oxygen precipitates since a strong correlation was found
between the etch-pit density and the PL intensity.[52]
PL is a non-destructive technique which requires minimal sample
preparation. It is restricted to analysis of single crystalline wafers or epitaxial
layers. The sampling depth is approximately three micrometers, the optical
attenuation length. Through the use of laser excitation spatial resolution of one
micrometer can be achieved which may be used to map the PL intensity
distribution over a surface.

5.0 CONCLUSION

A wide variety of analytical techniques are available for the analysis of thin
films. The ones described in this chapter represent some of the most widely
used; however, there are many others that provide unique capabilities not
described here. This chapter is intended as a brief overview so references are
included which provide more detailed information about the analytical tools
described here, as well as other related techniques.
Characterization of Thin Films and Coatings 845

REFERENCES

1. McGuire, G. E. and Holloway, P. H., Electron Spectroscopy: Theory,


Techniques and Applications, (C. R. Brundle and A. D. Baker, eds.),
Vol. 4, Academic Press, New York (1981)
2. Gryzinski, M., Phys. Rev., A138:336 (1965)
3. Harris, L. A., J. Appl. Phys., 39:1419 (1968)
4. Seah, M. P. and Dench, W. A., Surf. Interface Anal., 1:2 (1979)
5. Tanuma, S., Powell, C. J., and Penn, D. R., J. Elec. Spect. Relat.
Phenom., 52:285 (1990)
6. Zalar, A., Surf. Interface Anal., 9:41 (1986)
7. Schwartz, G. P., Gualtieri, G. T., Kammlott, G. W., and Schwartz, B.,
J. Electrochem. Soc., 126:1737 (1979)
8. Thomas, M. T., Baer, D. R., Jones, R. H., and Bruemmer, S. M.,J. Vac.
Sci. Technol., 17:25 (1980)
9. Seah, M. P., Surf. Interface Anal., 9:85 (1986)
10. Hofmann, S.,Proc. 6th Int. Symp. High Purity Materials in Science and
Technology, (A. Drescher, ed.), 2:149-169, Akad. d. Wiss. d. DDR,
Dresden (1985)
11. Practical Surface Analysis: Auger and X-ray Photoelectron
Spectroscopy, (D. Briggs and M. P. Seah, eds.), John Wiley and Sons,
New York (1990)
12. Wagner, C. D., Riggs, W. M., Davis, L. E., Molder, J. F., and
Muilenberg, G. E., Handbook of Photoelectron Spectroscopy, Perkin-
Elmer Corp., Minnesota (1978); Moulder, J. F., Stickle, W. F., Sobol,
P. E., Bomben, K. D.,Handbook of X-ray Photoelectron Spectroscopy,
Perkin-Elmer Corp., Minnesota (1992)
13. Swartz, W. E., Wynne, K. J., and Hercules, D. M., Anal. Chem.,
43:1884 (1971)
14. Carlson, T. A.,Photoelectron and Auger Spectroscopy, Plenum Press,
New York (1975)
15. Wagner, C. D., Davis, L. E., Zeller, M. V., Taylor, J. A., Raymond, R.
M., and Gale, L. H., Surf. Interface Anal., 3:211 (1981)
16. Hofmann, S., J. Vac. Sci. and Technol., BIO, 316-322 (1992)
17. Lee, J. J., Fulghum, J. E., McGuire, G. E., Ray, M. A., Osburn, C. M.,
and Linton, R. W., J. Vac. Sci. Technol., A8:2287 (1990)
18. Stevie, F. A., J. Vac. Sci. and Technol., BIO, 323-328 (1992)
846 Deposition Technologies for Films and Coatings

19. Anderson, C. A. and Hinthorne, J. R., Anal. Chem., 45:1421-1438


(1973)
20. Coleman, D. J., Shaw, D. W., and Dobrott, R. D.,J. Electrochem. Soc.,
124:239-241 (1977)
21. Becker, C. H. and Gillen, K. T., J. Vac. Sci. Technol., A3:1347 (1985)
22. Arlinghaus, H. F., Spaar, M. T., Thonnard, N., McMahon, A. W., and
Jacobson, K. B., Optical Methods for Ultrasensitive Detection and
Analysis: Techniques and Applications, (B. L. Fearey, ed.), 1435:26,
SPIE (1991)
23. Mayo, S., Lucatorto, T. B., and Luther, G. G.,Anal. Chem., 54:553-556
(1982)
24. Chu, W. K., Mayer, J. W., and Nicolet, M. A., Backscattering
Spectrometry, Academic Press, New York (1978)
25. Feldman, L. C., Mayer, J. W., and Picraux, S. T.,Materials Analysis by
Ion Channeling, Academic Press, New York (1982)
26. Lien, C. D., Nicolet, M. A., and Lau, S. S., Phys. Stat. Sol., 81:123-128
(1984)
27. Helms, C. R., J. Vac. Sci. Technol., 20:950 (1982)
28. Narayan, J. and Holland, O. W., J. Electrochem. Soc., 131:2651-2662
(1984)
29. Principles of Analytical Electron Microscopy, (D. C. Joy, A. D. Romig,
Jr., J. I. Goldstein, eds.), Plenum Press, New York (1986)
30. Koshikawa, T. and Shimizu, R.,J. Phys. D: Appl. Phys., 7:1303 (1974)
31. Heinrich, K. F. J., X-Ray Optics and Microanalysis, (R. Castaing, P.
Deschamps, and J. Philbert, eds.), p. 1509, Paris (1966)
32. Newbury, D. E. and Yakowitz, H., Practical Scanning Electron
Microscopy, (S. I. Goldstein and H. Yakowitz, eds.), Plenum Press
(1975)
33. Materials Research Society Symposium Proceedings, (J. C. Bravman,
R. M. Anderson, and M. L. McDonald, eds.), 115, (1988)
34. Hsia, S., Tan, T. Y., Smith, P. L., and McGuire, G. E., J. Appl. Phys.,
70(12):7579-7587 (1991)
35. Osburn, C. M., Wang, Q. F., Kellam, M., Canovai, C., Smith, P. L.,
McGuire, G. E., Xiao, Z. G., and Rozgonyi, G. A., Appl. Surf. Sci.,
53:291-312 (1991)
36. Murr, L. E., Electron and Ion Mircoscopy and Microanalysis, Marcel
Dekker Inc., New York (1982)
Characterization of Thin Films and Coatings 847

37. Woollam, J. A., Snyder, P. G., and Johs, B., Characterization of


Semiconductor Materials, Vol. II, Noyes Publications, Park Ridge, NJ
(1993)
38. Tompkins, H. G.,A Users Guide to Ellipsometry, Academic Press, San
Diego, CA (1993)
39. Tompkins, H. G., J. Appl. Phys., 70:3876 (1991)
40. Aspnes, D. E., Theeten, J. B., and Hottier, F., Phys. Rev., B20:3292
(1979)
41. Burns, T. E., Chongsawangvirod, S., Andrews, J. W., Irene, E. A.,
McGuire, G. E., and Chevacharoenkul, S., J. Vac. Sci. Techn., B9:41-
49 (1991)
42. Hummel, R. E., Xi, W., Holloway, P. H., and Jones, K. A., J. Appl.
Phys., 63:2591 (1988)
43. Baber, C. S., Thin Solid Films, 72:1332 (1980)
44. Chen, C. S. and Schroder, D. K., Appl. Phys., A42:257 (1987)
45. Schumann, P. A., Jr. and Schneider, C. P., J. Appl. Phys., 45:3532
(1970)
46. Dischler, B., Bubenzer, A., and Koidl, P.,Solid State Commun., 48:105
(1983)
47. Krishnan, K. and Kuehl, D. T.,ASTM Spec. Tech. Publ., 850:325 (1984)
48. Zearing, D. J. and Coates, V. J., Proc. SPIE, 276:249 (1981)
49. Nishino, T., Nakayama, H., and Hamakawa, Y.,Japan Annual Reviews
in Electronics, Computers, and Telecommunications: Semiconductor
Technologies, (J. Nishizawa, ed.), 8:295, North Holland, NY (1985)
50. Smith, K. K., Thin Solid Films, 84:171 (1981)
51. Tajima, M., Appl. Phys. Lett., 32:719 (1978)
52. Katsura, J., Nakayama, H., Nishino, T., and Hamakawa, Y., Jpn. J.
Appl. Phys., 21:712 (1982)
16

Jet Vapor Deposition

Bret L. Halpern and Jerome J. Schmitt

1.0 INTRODUCTION

Modern coating technology must accomodate demands for increasing-


ly complex materials, more economical throughputs, and tighter environmen-
tal constraints. Issues of versatility, speed, cost and cleanliness challenge
established physical and chemical vapor deposition methods, and require
innovative alternatives. A powerful response to those challenges has emerged
in the Jet Vapor Deposition (JVD) concept, a patented, proprietary and general
approach to thin film deposition.[1]-[3]
JVD can generate thin and thick films of unlimited chemical identity at high rate
with negligible environmental threat.[4]-[9] The key innovation is a novel vapor source:
a “sonic jet in a low-vacuum fast flow” which transports condensible atomic, molecular
or cluster-laden vapor to a substrate. The potential of such a jet for efficient deposition,
first discerned by Halpern[1] and Schmitt,[2] has been swiftly evolving at Jet Process
Corporation, with useful implications at the levels of both manufacturing efficiency and
microscopic film quality control. The jet source is the fundamental element in a
“multiple jet, moving substrate” strategy[3] for depositing metals, semiconductors,
dielectrics, oxides, nitrides, and organics. These can be grown in many forms:
multicomponent, alloy, multilayer, “host-guest” and “cluster-embedded” films. The
growth rates are high, approaching microns per minute over areas of several hundred
cm2, even on room temperature substrates, with potential for scale-up. Novel coatings

848
Jet Vapor Deposition 849

are made as easily in high-throughput commercial production as in small-scale


basic research, with neither toxic precursors nor effluent. At this writing, Jet
Process Corporation has devised singular approaches to film property control,
based on gasdynamic and energetic ion effects, which have been made
possible by the unique “high” pressure conditions in JVD. In brief, JVD’s
linkage of high speed gasdynamics and low cost, low vacuum technology
enables synthesis of unusual, complex materials for new applications in
nonlinear optics, integrated electronics, and surface protection.
The “jet in low vacuum” is deceptively simple, but it has a combination
of fortunate characteristics that provide the core of JVD’s versatility; we trace
that relationship in this chapter. We present a semi-quantitative discussion
of jet structure and behavior and of vapor transport; we show how use of multiple
jets in concert with relative jet-substrate motion leads to synthesis of
multicomponent films. We then summarize several applications of JVD.

2.0 PRINCIPLES AND APPARATUS OF JVD

The most important elements in a JVD system are the jet source and
substrate motion mechanism; these are mounted in a low pressure deposition
chamber in which a fast flow of gas is sustained by a mechanical pump.[4][5]
The jet source is based on a nozzle, made of metal or glass, having
an exit diameter Dn from several mm to 2 cm. Helium or other inert gas
is supplied to the nozzle and exits from it as a jet. Ordinarily the nozzle
pressure P n is several torr, and the downstream pressure P d is a torr or
less, but the range may be wide: in a few applications both pressures can
be ten times higher. When the ratio Pn /P d > 2, the flow is “critical”, and
the jet emerges at its maximum velocity, the speed of sound; for He at
298°K, the exit velocity is ~105 cm/sec. The structure and operating
parameters of a generalized jet source are shown in Fig. 16.1.
The jet can convey any atomic, molecular or cluster vapor to a
substrate for condensation as a film. The vapor source is placed in the nozzle
within several nozzle-diameters of the exit, a region in which the He carrier
velocity is nearing the speed of sound. Vaporization can be effected by any
convenient technique, such as thermal evaporation, glow discharge
sputtering, microwave or DC discharge reaction, and laser ablation.
An atom of gold, for example, injected upstream into the He flow will be swiftly
850 Deposition Technologies for Films and Coatings

captured and transported downstream by the He jet. If a flat substrate is


placed perpendicular to the jet, a bright gold deposit quickly appears on it;
virtually all the gold atoms deposit in a circular zone comparable to the nozzle
exit area. The deposit is symmetric but nonuniform, being thicker toward the
center.

Figure 16.1. A generalized "jet in low vacuum" JVD source showing representative
operating conditions. Both jet velocity and density change at the Mach disc, but the
jet remains nearly cylindrical. At the substrate the jet transforms sharply to a thin,
radially flowing wall jet, but deposition remains localized. Flow is sonic at the nozle
exit, subsonic after the Mach disc.
Jet Vapor Deposition 851

In order to deposit uniform films over larger areas, either the substrate,
nozzle, jet, or some combination of them must be moved.[4][5] Although Jet
Process Corporation has implemented all these possibilities, this chapter will
consider only substrate motion. This is accomplished as in Fig. 16.2;
substrates are mounted on a “carousel” which can both spin rapidly around and
translate slowly along its axis; the carousel motion is computer controlled, and
various motions can be programmed. The jet is aimed radially at the carousel.
If the carousel is only spinning, a band of deposition appears around it; if the
carousel is also vertically “scanned” at constant speed, the band is broadened
to cover the entire carousel surface, and that of any substrate on it. The deposit
thickness is uniform because all areas are exposed for equal times to a
constant jet flux.

Figure 16.2. Different motions of the carousel yield different deposition patterns.
The combination of spin and oscillation produces uniform coverage over large
areas.
852 Deposition Technologies for Films and Coatings

These observations suggested that several jets can be aimed at the


carousel and their contributions “integrated” even at high overall growth rate.
Jets operated singly in a prescribed time sequence yield multilayer structures;
jets operated together give alloys or “doped” films, or, in a “reactive” mode,
compounds such as oxides and nitrides. This “multiple jet, moving substrate”
strategy , seen in Fig. 16.3, has proven very successful in research and
production at Jet Process Corporation; below we examine its underlying basis.

Figure 16.3. A variety of multicomponent, multilayer, host-guest and cluster-


embedded films can be made by operating jets simultaneously or in sequence.
Jet Vapor Deposition 853

3.0 DISCUSSION

3.1 Jet Structure, Behavior, and Vapor Transport

As background to multiple jet JVD synthesis, it is useful to describe the


structure of a single helium “jet in low vacuum.” The contours of the jet can
be illuminated by visible light emission from gas phase glow discharges or
chemiluminescent reactions. The structure of free jets has been amply
described,[10] but particularly useful features arise under JVD conditions.
As soon as the jet exits the nozzle, it expands into a zone of reduced
density in which nearly all atoms move in the same direction at the speed of
sound. This zone is collision free; it terminates at the Mach disc, located a
distance x ≈ 0.67 Dn (Pn/Pd)½ downstream of the nozzle of diameter Dn .[10] In
JVD the usual pressure ratios lie in the range 2 < Pn /Pd < 10, and the Mach
disc is located several nozzle-diameters, or several cm, downstream. Beyond
the Mach disc, the density rises sharply to the downstream background value,
the jet speed drops to ~ 104 cm/sec, and collisions again occur in the jet.
Despite this variation in density, our visualization experiments confirm that the
jet diverges little, and remains almost cylindrical over distances of tens of
centimeters downstream of the nozzle.
On impact with the substrate, the “free” jet flares radially into a “wall jet,”
resembling a laminar stream of water impinging on a plate. Our visualization
experiments show that the transition from free jet to wall jet is sharp; the
thickness of the wall jet is only a few mm for a 1 cm diameter free jet. Both
free jet and wall jet are laminar, and there are no turbulent regions.
The above observations show that JVD’s “jets in low vacuum” have a
relatively simple form, despite variations in density and speed before and after
the Mach disc. Given this background, we single out for discussion the
following features which are key to multiple jet, moving substrate synthesis:
1. The jet is fast, collimated, well defined and delivers vapor
efficiently; deposition is localized and film growth is fast.
2. The wall jet is thin; its radial flow does not greatly broaden the
deposit.
3. Substrate transverse velocity is high even for moderate
carousel rotation frequencies. The short residence time of
the substrate as it passes through a jet assures accumulation
of less than a monolayer and minimizes heating.
854 Deposition Technologies for Films and Coatings

The strong collimation of the jet is important in JVD. It can be


understood by examining the “random walk” of a helium atom at the jet
boundary after it emerges from the nozzle and travels with the jet at speed v
to the substrate a distance L downstream. During its transit time τ = L/v, a
helium atom diffuses radially a distance x given by:

x2 ≈ 2Dτ ≈ 2DL/v

where D is the diffusion coefficient; we take v as the jet speed downstream of


the Mach disc. For L ≈ 10 cm, v ≈ 104 cm/sec, and D≈ 600 cm2/sec (He at
1 torr), the diffusion distance is 6 mm; it diminishes at higher pressure. This
is consistent with visualization experiments: axial transport is much faster
than radial diffusion, and the jet remains a collimated, spatially distinct source
independent of other jets.
A similar conclusion holds for heavy species injected into the light
carrier jet. For example, in a JVD “wirefeed” jet, Au wire can be vaporized from
a “point” source lying on the nozzle axis. A gold atom injected on the jet axis
will have diffused only a few millimeters from the axis by the time it arrives at
the substrate.
The small thickness of the wall jet is also critical. When the gold atoms
arrive near the substrate, it might be expected that the wall jet would carry
many of them away, as well as broaden the deposit. However, these effects
are minimized because the wall jet is thin; gold atoms entrained in the wall jet
flow diffuse to the substrate and deposit before they are transported far, and
few are lost.
The small thickness of the wall jet can be understood by the following
rough argument. The jet impact zone can be regarded as a cylindrical “pillbox;”
the jet enters the top, and the wall jet exits through the cylindrical side. The
impact of a jet at 1 torr and 10,000 cm/sec results in negligible pressure
change compared to 1 torr; therefore, by Bernoulli’s principle, the speed of the
jet entering the pillbox and the speed of the wall jet leaving it must be nearly
equal. Continuity then determines the relative areas of the top and side of the
pillbox, and requires that the wall jet thickness be approximately one-quarter
of the jet diameter.
The large inertia of gold atoms entrained in the jet also favors
localized deposition. When the axial He jet transforms sharply into a
radial wall jet, heavy gold atoms “turn the corner” only with difficulty, and
tend to move straight toward the substrate.[11] We have not yet determined the
Jet Vapor Deposition 855

relative importanceof diffusion and inertia in the wall jet region. However, we
have measured the deposit profile of gold atoms injected along the jet axis and
find it to be Gaussian with a half-width less than the jet radius.[12] We have
also shown by microbalance measurements that 95% of the wire vaporized in
the nozzle is deposited on the substrate.[12] Accordingly, jet collimation and
localized deposition give JVD the “line of sight” characteristics of high vacuum
vaporization. The efficiency is far higher, however: all vaporized material goes
in one direction rather than many; it is confined to the jet, and most of it
deposits in the impact zone.
The independence of the jets is an invaluable feature of JVD. Not only
are the jets spatially distinct, but the operation of one does not alter the
upstream nozzle conditions of any other. As long as Pn/Pd exceeds ~2, Pn
remains constant despite changes in Pd . The jets all emerge at the speed of
sound, and neither “information” nor mass can propagate upstream. An
example of the benefits: we vaporize many metals in a “glow discharge sputter
jet” and oxidize the growing metal film with O2 or O atoms injected down-
stream. The metal sputtering target in the nozzle is shielded from the oxidants
downstream by the sonic gas flow out of the nozzle; a comparable process in
high vacuum PVD is difficult to carry out. Controlled deposition of
multicomponents is a direct consequence of jet independence.
The wide range of workable jet pressures in JVD is useful in syntheses
involving metal atoms: we have used JVD to deposit single atoms or clusters.
At the lower range (several torr), only single metal atoms deposit. Cluster
formation must be initiated by three body collisions involving two metal atoms
and a helium atom. While we cannot rule out contributions of heterogeneous
processes in the nozzle,[13] three body collisions are highly improbable in the
jet: the metal atom and He concentrations are too low, and the transit times
from nozzle to substrate are too short. The time for a metal atom to undergo
a three body collision, using a typical three body recombination rate con-
stant,[14] is

τ3B ≈ 1032/(M)(He) ≈ 0.1/PmPHe

where (M) and (He) are gas phase concentrations (#/cm3) and Pm, PHe the
pressures in torr. For Pm = 0.01 torr and PHe = 1 torr, τ3B ≈ 10 seconds; this
is much longer than the transit time from nozzle to substrate. Only at much
higher pressures of several tens of torr does cluster growth becomes dominant
in the jet.
856 Deposition Technologies for Films and Coatings

3.2 Substrate Motion

Carousel and substrate motion makes it possible to react materials


from different jets. For reaction to be efficient, “micromixing” must be carried
out at the sub-monolayer level. For example, to make lead zirconate titanate
(PZT) using three metal jets, we require that less than one monolayer of any
metal be deposited on any substrate during its time of passage through a jet.
Given the diameter D of the jet, the height H of the carousel, the monolayer
thickness d, and the thickness rate of change dz/dt over the entire carousel,
we can calculate the approximate carousel rotation frequency fmonolayer that
assures monolayer per pass coverage:

fmonolayer ≈ (dz/dt) (H/D)(1/δ)

In the case of PZT we have dz/dt = 5000 A°/hr, H = 10 cm, D = 1 cm, and δ
= 3A°; the required rotation frequency is f ≈ 5 Hz. Micromixing is assured by
this easily attained frequency.
Micromixing is indispensible in JVD. It enables reaction of many
components to yield complex materials, results in better film uniformity, and
can reduce required substrate temperatures as well as annealing times after
deposition. It assures that transport limitations in the growing solid are as
absent as they are in the high speed jet. Micromixing and multiple jet, moving
substrate synthesis are exploited in a number of JVD applications described
later.
Common to many JVD applications is an environmentally sound
strategy: volatilization of the elemental metal, deposition of metal atoms from
one or more jets, and conversion of the growing film to oxide or nitride, layer
by layer, with a flux of O or N. Since most JVD metal sources are based on
techniques such as glow discharge sputtering or direct vaporization, capable
of depositing nearly every metal in the Periodic Table at high rate, no toxic
metal precursors or harmful exhausts need ever be involved.
The “jet in low vacuum” strategy also has a decisive manufacturing
advantage: it is economical to implement. Even relatively small, inexpensive
mechanical pumps will maintain critical flow conditions, providing high speed
collimated jets in a small footprint, flexible apparatus. The batch process
turnaround times in a JVD chamber are matters of minutes, negligible in
comparison with non-load locked high vacuum systems. The carrier gas flows
represent only a small material cost, and in the range of several torr, even
<<1% entrained condensible vapor corresponds to an economically high
deposition rate.
Jet Vapor Deposition 857

4.0 EXAMPLES OF JVD FILMS AND APPLICATIONS

4.1 Cu, Au Multilayer Electrodes; Al, Al2 O3 Microlaminates.

Cu and Au can be thermally vaporized and deposited at high rates using


JVD “wirefeed” sources such as that in Fig. 16.4. Areas approaching 1000 cm2
can be uniformly coated to a depth of one micron in one minute by means of
Cu and Au jet sources that dissipate only 60 watts. Structures containing
alternating layers of Cu and Au are made with two jet sources, operated
alternately for appropriate times.

Figure 16.4. Wirefeed jet vapor source. The wire feed rate is computer controlled;
this allows the deposition rate to be determined and varied. For some metals, the
tungsten filament must have a protective sheath to avoid alloying.
858 Deposition Technologies for Films and Coatings

Control of Cu/Au layer thickness via the wirefeed approach is precise.


We verified this by depositing one hundred alternating layers of Cu and Au,
each intended to be 50 angstroms thick. Total thickness and uniformity were
confirmed by stylus profilometry; the accumulated thickness was measured
at 15 points over an area of 6 cm2 to be 4996 A ±10 A. Interface sharpness
was verified, and layer thickness confirmed by low angle x-ray scattering.[15]
Jet Process Corporation utilizes this high rate, multilayering capability
in production runs for AT&T Bell Laboratories in a U.S. Navy application. The
substrates are 50 mm diameter piezoceramic wafers less than 1 mm thick;
these require a 1.5 micron Cu electrode, flashed with 50 nm Au, on both sides
of the wafer, with a 0.25 mm border, free of metal and cleanly defined, at the
wafer perimeter. Wafers are mounted on the carousel in accurately machined
receptacles which serve to support the wafer, orient it toward the jets, and
define the perimeter border.
Wafers are processed at the rate of > 750 per week using a single JVD
deposition chamber operated by one person. In this application JVD displaced
an electrochemical technique both on grounds of quality and environmental
concerns. Given that Au comprises a considerable part of the operating
expense, the localization and efficiency of JVD is a notable advantage.
We used a variation of this technique to deposit 100 micron thick
“microlaminates” consisting of 50 nm layers of Al alternating with 5 nm of Al
oxide.[9] This was done in ten minutes by means of a steady, high rate jet of
Al (~0.1 cc/min of metal) into which oxygen was pulsed (slightly downstream
of the nozzle) at appropriate intervals. Microlaminates having nanoscale
component layers are expected to show enhanced strength and mechanical
properties; JVD nanocomposites exhibited a hardness of ~ 2.5 GPa,[9] equal
to that of microlaminates made by sputtering,[16] a far slower ultrahigh vacuum
process.

4.2 PZT: Ferroelectric FRAM Nonvolatile Memories

Lead zirconate titanate (PZT) is a candidate for thin film memories. PZT
can store charge at high density, and retain it in zero applied field; films of
several thousand angstroms can switch states within the 5 volt range of
computer power supplies. JVD is one of several processes (sol-gel, vacuum
sputtering) now competing for this future market. In addition, PZT thin films
are potentially useful for pyroelectric infrared detectors.
Jet Vapor Deposition 859

JVD employs four jet sources to supply Pb, Ti, Zr and oxygen to Pt-
coated, heated Si wafers mounted on the spinning carousel, as seen in Fig.
16.3. The Pt barrier layer is also deposited by JVD. These jet sources build
up a one micron film of PZT in less than one hour,[6] to give high quality PZT
films at high rate. The ferroelectric perovskite phase appeared on deposition;
after annealing, the film was entirely 100 oriented perovskite. Key parameters
for effective PZT memory cells, and the value measured for JVD PZT films, are
listed below:
remanent polarization 6 - 20 microcoulombs/cm2
coercive field 60 kilovolts/cm2
switching endurance > 1011 cycles
dielectric constant > 1300
These values equal or exceed those obtained with more conventional meth-
ods.

4.3 Electronic Grade Silicon Nitride

Silicon nitride films of remarkable electronic quality were generated


using a microwave discharge equipped jet source that produces Si atoms, Si
bearing molecular fragments and N atoms. [7] A hydrogen atom jet, run for
several minutes, removes thermal oxide from a silicon wafer; slow deposition
on that substrate gave a nitride whose electrical behaviour in a metal-nitride-
semiconductor (MNS) capacitor was superior to that of any previously
reported.[7] In many respects, such as breakdown strength, radiation
hardness, and interface trap density, these nitride films were equal orsuperior
to the best thermal silicon dioxide grown at high temperatures (T > 1000°C).
The etch rate in buffered oxide etch was a low 10 A/min; the index of refraction
2.03 at 632.8 nm, close to that for stoichiometric Si3N4 . But the outstanding
fact is that this SiN was produced on a room temperature substrate.
JVD silicon nitride shows great promise as a gate, as well as for
passivation. The reason for this high quality is not fully understood; it is
possible that excited species are transported at high speed from discharge to
substrate where they liberate energy at the growing film surface to annihilate
imperfections in it at a sufficiently low deposition rate.

4.4 Fiber Coating for Composite Materials

Coating of ultrafine fibers and multifilament tows is important for fiber-


reinforced composite materials; JVD exploits jet collimation in several
860 Deposition Technologies for Films and Coatings

unique approaches to the problem. Jet conditions can be controlled to obtain


uniform coating despite jet directionality; jet momentum is sufficient to disrupt
and agitate fiber bundles and overcome shadowing of one fiber by another. In
this way we have achieved uniform coating of 12.5 micron alumina fibers, singly
and in bundles of several hundred, with Cu and Al metal several thousand
angstroms thick, as verified by SEM. We have adapted “reel to reel”
techniques to JVD for fiber coating.

4.5 Coating of Thermally Sensitive Membranes

The low substrate temperature capability of JVD is being exploited in the


coating of Au and Pt as fine line electrodes on a 9-micron PVDF piezoelectric
membrane. PVDF is wrapped around the carousel and covered with a 0.001"
foil mask which defines the electrode pattern (0.25 mm wide line 10 cm long).
The jet deposits a 2000 angstrom film through the pattern as the carousel
spins. A similar line is deposited on the other side of the PVDF, perpendicular
to the first electrode; the 1 mm x 1 mm intersection zone defines a capacitor
whose output can be used to detect impinging sound waves.[17] PVDF is
thermally sensitive, and can be depoled at T ~ 350°C; the low temperature
capability of JVD is therefore critical. JVD also deposits the noble metals only
on the mask/substrate area where they are needed.

4.6 “Ceramic Host–Organic Guest” Films

We have trapped complex “guest” organic molecules such as Rhodamine


B and Methyl Red in a range of “host” ceramic films: SiO2, SiNx, Al2 O3 , and
MgO. Co-deposition takes place at room temperature and with no degradation
of the organic guest; for example, trapped Rhodamine still fluoresces under
ultraviolet light. The guest concentrations are high; a one micron film of Methyl
Red in silicon dioxide appears deep crimson, implying doping levels approach-
ing a percent.
Such host-guest films can have optical-electronic and thin film sensor
applications. In a collaboration with Professor R. Zanoni and colleagues at
Oklahoma State University, we demonstrated deposition, patterning and
photobleaching of Methyl Red /ceramic host-guest films to make thin film wave
guides. We have also observed that guest Methyl Red in microporous
silicon dioxide changes color from red to yellow when exposed to vapors
of HCl or NH3; Methyl Red is a well-known acid-base indicator, suggesting
Jet Vapor Deposition 861

application of JVD to sensors. Ceramic host–organic guest films can be made


by mechanical or sol gel methods,[18] but these are multi-step processes,
limited to soluble species, often including time-consuming thermal treatment.
In JVD the host-guest combination is generated in minutes, at room tempera-
ture, and by a vapor deposition technique compatible with existing semicon-
ductor microelectronic processing.

4.7 Polymer Deposition: Parylene

Parylene [poly(para-xylylene)] has properties such as high electric


breakdown strength, impermeability to water, and biocompatibility which
make it attractive for protective functions. Parylene can be vapor deposited by
a unique mechanism in which di-para xylylene is cracked at high temperature,
and convected slowly to a cold surface where polymerization then takes place;
this is the “conventional” Gorham process.[19] Parylene’s main weakness is
that it adheres poorly to surfaces when deposited in this way. However, we
have observed that adherence and hardness were greatly improved by
deposition from a sonic JVD source. In addition, a 2-micron JVD parylene film
on a Pt wire survived 93 days in a soak test at 5 volt applied potential.[20] These
results suggest that JVD parylene has an enhanced ability to withstand
rigorous electrical and mechanical conditions.

5.0 SUMMARY

The use of single or multiple “jets in a low vacuum” coupled with


“mobile substrates” makes Jet Vapor Deposition a flexible technology for
a wide range of film applications. JVD links high speed gasdynamics with
low cost, “low vacuum” equipment to give synthetic versatility at economic
throughputs. Jets operating in the JVD pressure regime are collimated,
intense sources of localized deposition. Jets are independent and non-
interfering; a “multiple jet, moving substrate” strategy permits fluxes from
different jets to be “micromixed” on moving substrates. Much of JVD’s
versatility arises by coordinating several spatially separated, independent
jets to give multicomponent, multilayer, and alloy structures, synthesized
from component metals, semiconductors, dielectrics and organics. The
possible material combinations are numerous and unconstrained by the
identity of the components; the synthesis of known and potentially useful
film materials is being systematically explored and commercially applied at
862 Deposition Technologies for Films and Coatings

Jet Process Corporation. The range of applications is already wide and the
technique is maturing rapidly.

REFERENCES

1. Halpern, B. L., J. Colloid Interface Sci. 86:337 (1982)


2. Schmitt, J. J., U.S. Patent No. 4,788,082 (11/29/1988)
3. Schmitt, J. J. and Halpern, B. L., U.S. Patent 5, 256,205 (10/26/1993)
4. Halpern, B. L., Schmitt, J. J., Golz, J. W., Johnson, D. L., McAvoy, D.
T., Zhang, J. Z., and Di, Y., Proceedings of 35th Annual Technical
Conference, Society of Vacuum Coaters (March 22-27, 1992)
5. Halpern, B. L., Schmitt, J. J., Di, Y., Golz, J. W., Johnson, D. L.,
McAvoy, D. T., Wang, D., and Zhang, J.-Z.,Metal Finishing,(December
1992)
6. Huang, C.-L., Chen, B. A., Ma, T. P., Golz, J. W., Di, Y., Halpern, B.
L., and Schmitt, J. J., Ferroelectrics (March 1992)
7. Wang, D., Ma, T. P., Golz, J. W., Halpern, B. L., and Schmitt, J. J.,
IEEE Electron Device Lett., 13:482 (1992)
8. Zhang, J.-Z., McAvoy, D. T., Halpern, B. L., and Schmitt, J. J.,
Connecticut Symposium on Microelectronics and Optoelectronics
(March 18-19, 1993)
9. Hsiung, L. M., Zhang, J.-Z., McIntyre, D. C., Golz, J. W., Halpern, B.
L., Schmitt, J. J., and Wadley, H. N. G., Scripta Metall.Mater., 29:293
(1993)
10. Anderson, J. B., in:Molecular Beams and Low Density Gas Dynamics,
(P. P. Wegener, Ed.), Chap.1, Marcel Dekker, New York (1974)
11. Fernandez de la Mora, J., Halpern, B. L., and Wilson, J. A., J. Fluid
Mech., 149:217 (1984)
12. Golz, J., Johnson, D., Halpern, B. L., and Schmitt, J.J., in preparation
13. Knauer, W., J. Appl. Phys., 62:841 (1987)
14. Kerr, J. A. and Moss, S. J., CRC Handbook of Bimolecular and
Termolecular Rate Constants, Vol. II, Table 197, CRC Press, Inc., Boca
Raton, FL
15. Spaepen, F., Professor, private communication
16. Alpas, A. T., Embury, J. D., Hardwick, D. A., and Springer, R. W., J.
Materials Sci.,25:1603 (1990)
Jet Vapor Deposition 863

17. Everbach, C., Professor, private communication


18. Avnir, D., Kaufmann, V. R., and Reisfeld, R., J. Non-Cryst. Solids,
74:395 (1985)
19. Beach, W. F., Lee, C., Bassett, D. R., Austin, T. M., and Olson, R.,
Encyclopedia of Polymer Science and Engineering,2nd edition,17:990,
John Wiley & Sons (1989)
20. Edell, D., private communication
864 Deposition Technologies for Films and Coatings

Index

A Activated reactive evaporation


(ARE) 52
Abrasion resistance 555 Activation
Abrasive energy barrier 491
cleaning 121 of a surface 148, 537
Abrasive wear 782 overpotential 515
Absolute characterization 672 Activation barrier 711
Absorption bands Activity
C-H stretching 840 of gaseous species 406
AC Adatom
asymmetric 532 diffusion lengths 723
discharges 464 diffusivities 747
on DC 530 migration 734
Accelerated adhesion testing 686 mobility 38, 741
Accelerating potential nucleation 677
in TEM 828 recoil 746
Acceleration factor 674 surface diffusivity 710
Accelerator Adatoms 438
tandetron 812 Additives 526
Acid Adhesion 409, 682
hydrochloric. See HCl loss of 685
hydrofluoric. See HF of a deposited film 376
Acid-base indicator 860 of deposits 571
Acoustic emission 684, 686, 689 testing 686
Actinometry 141 Adhesion tests 687
Activated Reactive Evaporation. See Adhesive wear 781
ARE test 656
Activated reactive evaporation 76 Adsorption 95

864
Index 865

AE transition 791 Aqueous deposition 596


Aeration cell 769 Ar electron energy 59
AES Arc 59
detection limit of 796 definition of 189
spectrum of Si with AlCu 793 deposition 191
Agitation 528 evaporation 189, 371
Air and airless spraying 51 plasma spraying 51
Al deposition 858 Arcing
conditions 774 can initiate wear 783
Al-Zn Arcs 189
corrosion resistance 775 ARE 52, 213, 497
Alkaline cleaners 122 arc evaporation 220
Alloy BARE 52, 218
deposition of 201, 202, 543 ECR excitation 222
Alloys 852 electron-beam-heated 216
advantages of 550 enhanced 218
binary and ternary 544 LPPD 218
by JVD 848 modifications of 218
Altered layer 293, 369 plasma electron-beam 218
Altered region 366 process parameters 497
Alumina fibers 860 pulsed laser beams 221
Aluminum oxide coatings 664 reactive ion plating 218
Ambipolar diffusion 74, 462 resistance-heated 217
Amorphous coatings 545 RF excitation 221
Analysis triode reactive ion plating 220
compositional 681 using plasma electron-beam
of semiconductors impurities 842 guns 218
surface 681 ARE process
Analysis techniques mechanism 222
elemental and structural 681 mechanism of 222
for vapor 417 types 189
Angle of deposition 631 using an arc evaporation
Anodic arc 191 source 220
Anodic coatings 770 variants of 216
Anodization 49 As
Anodized Auger transition 795
types of coatings 563 Atom
Anodizing 560 transfer processes 160
aluminum 602 Atomic peening 680
magnesium 568 Au
titanium 568 on NaCl 745
Applications Au-Ag-Sb alloys 545
of coatings 41, 44 Auger electron
of CVD 453 kinetic energy of 790
of dispersion coatings 547 Auger spectrometer 791
of glow discharge plasmas 55 Auger transition 793, 795, 801
of JVD 862
866 Deposition Technologies for Films and Coatings

B Brush painting 51
Buffered hydrofluoric acid. See HF
Backfill using Ar 276 Bulk
Backscattering 291 deposits 159
Backscattering yield 822 effect of bombardment 369
Bacteriological contamination 119
Ballistic aggrega- C
tion 708, 732, 733, 741
Band-to-band recombination 841 c-BN
Banded structures 576 synthesizing of 224
Bearings Capacitive coupling 319
corrosion resistance 775 Capillarity model 711
Behavioral properties Capture cross-section 714
characterization of 672 Carbides
Bernoulli's principle 854 hydrogen ion bombardment of 368
Beta backscatter 690 Carbon
Bias evaporation of 220
sputtering 332 Carburizing 49, 403
substrate 236 Carousel 851, 858
Biased activated reactive evaporation motion 856
(BARE) 52 rotation frequency 856
Bimetallic layer process 829 Carrier gas 411
Binding energy 298 Cascade
of emitted photoelectrons 797 photoionization-induced 827
Biomedical Cathode
uses of coatings 44 current efficiency (CCE) 533
Blow-off 120 dark space 353
Bombardment fall region 352
by energetic species 141 hollow 83, 370
concurrent 373 poisoning 330
during deposition 679 Cathodic arc 190
effects 360, 364, 373, 374 Cathodic coatings 770
sources of 360 Cd
Bombardment enhanced-chemical on W 725
etching 363 Cells
Bombardment-enhanced chemical corrosion 769
etching 678 Ceramic host–organic guest 860
Bond strength 148, 643, 644 Channeling
Boron of ions 818
evaporation of 220 Characterization
Bound excitons 842 of thin films and coatings 789
Boundary layer Charge exchange 65, 353
gas stream 423 Charge separation 513
mass transport across 428 Charge-induced migration 810
thickness of 432 Chelating agents 123
Breakdown strength 859, 861 Chemical
Brightness 526 cause of adhesion loss 410
Brittle erosion 782 etch rate measurement 701
Index 867

potential 406 Coatings 28. See also Films and


pumps 416 coatings
vapor deposition 460 applications of 41
Chemical conversion coating 28 by atomistic deposition 35
Chemical ion plating 53 corrosion-resistant 773
Chemical shifts definition of 669
of Si2p 800 deposition methods 772
Chemical sputtering 363 described 28
Chemical vapor deposition 160 diffusion 28, 31
Chemical vapor deposition disadvantages of 669
(CVD) 50, 722 for galvanic corrosion 770
Chemically functional full-density 158
applications 41 high temperature protective 779
Chemisorption 95 novel 848
Child-Langmuir law 72 overlay 28, 31
Chlorinated solvents 123 overlay by PVD 779
Chromium deposits 590 protective 770
hardness of 539 sacrificial 773
Class 100 solid lubricants 785
Federal Standard 114 strength 643
Cleaning structure of 636
before plating 536 to reduce friction 783
for CVD substrates 410 to reduce wear 783
in situ 134 to resist wear 785
monitoring of process 133 uses of 29
processes 119 zinc 773
Cluster 712 Cobalt base alloys 662
critical size 712, 719, 722 Coefficient of friction
growth 855 for Cr 589, 592
Cluster ion beam deposition 53 for NiP 555
Cluster-embedded 848 Coefficient of thermal expansion 591
Clusters Coherent elastic scattering 830
liquid 714 Cold finger 426
subcritical 746 Cold wall reactor 414
Coalescence 715, 716, 721 Cold-cathode
described 714 plasma electron beam 186
island 730 Cold-cathode discharge 82
morphological changes during 715 Cold-wall
Coating PECVD reactors 468
alloy 191 Collective behavior 68
methods 779 Collimation of the jet 854
of ultrafine fibers 859 Collision 56
on plastics 191 cascades 284, 361, 368
process 634 cross section 56, 57, 62, 141
Coating processes electron-electron 60
classification of 34 electron/ion 62
868 Deposition Technologies for Films and Coatings

frequency 61, 64 Contamination 721


inelastic 60 environmental 113
Collision free zone 853 origins of 110
Collisional damage 364 reactive gas 112
Collisionally-induced dissociative role in microstructure 741
chemisorption 743 sources 116
Collisionless ion transport 94 Control
Color of film properties 494
anodized coatings 568 Convection
of coatings 636 buoyancy-driven 422
Columnar rolls 423
grains 445, 637 Conversion
microstructure 376 coating 50
structures 576, 732, 741 Conversion coating 50
Columnar morphology 752 Conversion/diffusion coating 50
large-grained 228 Copper cyanide strike 515
Compaction Copper-nickel alloys
of the near-surface region 369 microstructure of 233
Complex ions Corona discharges 102
deposition of 509 Corronizing 547
Complexing Corrosion
agents 553 damage 767
Composite materials 28 described 766
behavior of 29 galvanic 767
fiber-reinforced 859 high temperature 776
Compound semiconductor resistance 661, 774
growth 192 salt fog c 553
Compounds Corrosion-resistant
deposition of 485 coatings 42
Compressive stress 691 Corrosive wear 783
Computer simulations 754 CoSi2/Si system 829
Concentration cells 769 Coulomb domination 63
Concentration polarization 516 Coulometers
cathodic 517 determine efficiency of deposi-
Concentration profile 424 tion 511
Concurrent energetic particle bom- Coupled reactions 404
bardment 375 Covering power 519
Conditioning Cracking 767
of deposition systems 113 Creep
Conductivity rate 244, 254
electrical 65 strength 251
Cones 294 Critical cluster size 712
Conservation Critical thickness 728
of materials 43 Cross section 56, 57
Contaminants total 65
flux of 707 Crystal oscillators 196
in vapor 413 Crystalline structure 641
Index 869

Crystallographic DC discharge 849


orientation 380 Debye length 69, 70, 75
Cubic boron nitride 45 Decorative coatings 41, 570
Current density 72 Deep-level defects. See Defects
defined 524 Defects 237
Current distribution flake 237, 241
in plating system 519 fracture 684
Current sources leaders 241
for plating 530 mechanical 721
Cutting tools 43 spit 237, 241
Cutting-tools 786 voids 698
CVD 50, 95, 400 weak grain boundaries 240
applications 453 Density
deposition temperatures 460 of coatings 645
exhaust system 415 of films and coatings 697
phase-selective 452 Deposit
plasma-assisted 77, 92 control parameters 526
plasma-enhanced 347 formation of 32
process control 429 structure and properties of 574
reaction zones 401 Deposit profile 855
reactions 403 Deposited coating
reactor 413 selection of 539
selective deposition 445 Deposited materials
types of processes 401 unique features of 40
CVD processes Deposition
classification of 487 area-selective 449
Cyanide copper strike 537 chamber 849
Cyclotron radius 67 electron beam evaporation 780
Cylindrical magne- formation 160
tron 279, 306, 311 low pressure 849
Cylindrical-post magnetron 307 of alloys 201
of cluster-embedded 848
D of dielectrics 848
of elemental semiconductors 201
Damage of host-guest 848
by energetic ions 838 of intermetallic compounds 205
Dangling bonds 148 of metallic coatings 772
Dark-space 302, 462 of metals 201, 848
thicknesses 302 of multicomponent 848
DC 76 of multilayer 848
discharge 322 of nitrides 848
glow discharge 301 of organics 848
magnetrons 315 of oxides 848
sputtering 279 of refractory compounds 209
DC diode of semiconductors 201, 848
advantages and disadvan- phase-selective 452
tages 354 plasma-assisted 213
discharge 135, 351
870 Deposition Technologies for Films and Coatings

reactive 680 Desorption


steps in 489 ion-induced 367
Deposition mechanism 522 Desorption energy 714, 722, 728
Deposition process Detergent cleaning 122
model 488 Detonation coating 51
parameters 493, 494 Detonation gun 36, 626
variables 488 Dezincification
Deposition processes 520 corrosion 767
atomic 486 Diagnostic techniques 492
atomistic 35 langmuir probe 492
bulk 486 LIF 492
classified 486 MS 492
defined 33 OES 492
definitions 49 RHEED 722
droplet 486 Diamond 45
selection criteria 46 Diamond-like carbon 45
types of 159 Diamond-like carbon (DLC) 840
Deposition rate 157, 304, 495, 856 Dielectric film
control of 199 growth 192
for various processes 163 Differential aeration cell 769
of metal 303 Differential temperature cells 769
of planar-diode 279 Diffusion
of TiC 216 coatings 545
techniques to increase 332 flux 74
Deposition rate monitors 194 in JVD 854
Deposition techniques rate 523
arc evaporation 189 Diffusion coatings 31, 49
evaporation 160, 166 Diffusion coefficient 65, 66
gas jet 37 electron 75
hybrid 501 Diffusivity
ion-plating 162 surface 710
laser 192 Diode
laser evaporation 193 DC 301
low pressure plasma spray geometry 497
(LPPS) 780 parallel-plate 278
physical vapor 707 planar 278, 281, 301
plasma-assisted 499 sputtering systems 301
PLD 192 Dip coating 51
PVD 159 Direct evaporation 209
sputtering 163 Discharges
Deposition technologies 29 cold cathode 82
definitions and distinctions 31 magnetron sputtering 75
Depth profile 793, 801 Dislocation 721
resolution of 794 number density 757
SIMS 810 Dispersion coatings 547
Depth profiling technique 805 Dispersion-strengthened al-
Desolvation energy 520 loys 233, 251
Index 871

Disproportionation 50 efficiency of 511


Disproportionation reactions 404 Electrode geometry
Dissimilar electrode cells 769 of PECVD reactor 467
Dissociation Electrodeposition 160
degree of 359 applications 506
Dissociative chemisorption 95 principles of 508
Domain Electrodeposits
boundary 828 physical properties of 591
magnetic 827 structures of 574
Donor-acceptor pair recombina- Electroforming
tion 841 defined 557
Drift Electroless
velocity 64 deposition 50
x 75 nickel deposits 554
Drying plating 550
after fluid cleaning 132 plating solutions 599
Ductile erosion 782 Electrolyte composition 526
Ductility 592 Electrolytic
Duoplasmatron 327 cleaning 131
Duty cycle 532 deposition 49
Electromigration 697
E Electromotive Force (EMF) Se-
ries 513
ECR CVD reactor 471 Electron
EDS backscattering coefficient 822
in STEM 834 bombardment 100
EDX analyzer cloud 321, 323, 370
resolution of 826 collisions 309
Effluent 849 density 59
Ejection energy drift speed 67
under Ar+ bonbardment 298 energy distribution functions 59
Ejection velocities 300 high brightness sources 791
Elastic modulus 650, 693 interactions with molecules 88
Electret materials 115 ionization 87
Electric-arc irradiation 100
induced wear 783 motion 309
spraying 52 spectrometer 791
Electrical temperature 60
characteristics 664 Electron beam gun 182
resistivity 591, 696 cold cathode plasma 186
uses of coatings 44 disc cathode 185
Electrically active defects. See hot hollow cathode 187
Defects Pierce-type 185
Electrically functional plasma 183
applications 41 self-accelerated 182
Electrochemical reaction thermionic 183
872 Deposition Technologies for Films and Coatings

transverse linear cathode 185 of depositing species 38


work-accelerated 182 of incident species 490
Electron beam heated sources 181 Energy dispersive x-ray spectrometer
Electron beams (EDX) 825
for Auger excitation 791 Energy distribution 299
Electron cyclotron resonance. See Energy level
ECR diagram 789
Electron cyclotron resonance cou- Energy transfer coefficient 290
pling 356 Enhanced ARE process 218
Electron emitter 356 Entrance effects 427
advantages and disadvan- Environmental aging
tages 357 of films and coatings 672
plasma generation 139 Environmental corrosion 42
Electron energy 56 Environmentally sound 856
distribution function 59, 60, 61 Epitaxial
in plasma-assisted deposition 489 layer thickness 839
Electron energy loss spectroscopy Epitaxial growth 596
(EELS) 832 conditions 446
Electron-electron in CVD 445
collisions 60 Epitaxial layer 724
Electron/atom interactions 87 by accelerated beams 749
Electron/ion collision frequencies 62 Epitaxy 725
Electron/molecule interactions 88 Equilibrium
Electronegative molecules 89 calculation by SOLGAS 406
Electrophoresis 548 calculation results 407
Electrophoretic coating 49 conditions 513
Electroplating vapor pressure 710
Preparation of substrates for 597 Equipment
Electrostatic charge coating 618
buildup 115 for CVD 410
contributes to contamination 116 for deposition 630
Electrostatic deposition 49 for ion plating 381
Ellipsometer gas handling 383
configurations 836 power supplies 383
Ellipsometry 690, 834 substrate fixturing 384
EMF and galvanic series 770 torch and part handling 630
EMF series 771 Equivalent circuit
End point detection 492 for RF glow discharge 323
End-confinement 312 Erosion
End-point filtration 119 rate 288
Energetic resistance 659
ions 490 volumetric 782
neutrals 291, 353, 490, 499 wear 782
particles 350 Etch cleaning 121
Energy Etching
densities 755 before plating 537
exchange 56 bombardment-assisted 367
Index 873

Evaporation 52 Films. See Films and coatings


apparatus 169 definition of 670
direct 486 deposited by PECVD 472
electron beam heated 160 thick 31, 158, 159, 848
flash 206 thin 31, 158, 848
high energy electron beam 370 Films and coatings. See Coatings
high rate 168 alloy 848
process control 199 atomistically deposited 677
purification by 257 by JVD 848
rate 166 characterization of 671, 675, 677
reactive 213, 486 cluster-embedded 848
system 169 compounds 852
theory 166 configurations 670
thermal 849 definition of 670
Evaporation source materials 178 doped 852
Evaporation sources 172. See also formation of 677
Vapor sources host-guest 848
types of 172 multicomponent 848
Exchange reactions 404 multilayer 848, 852
Excitation-dissociation process 89 properties of 670
Excitons 841 stresses in 691
Exhaust system testing of 674
for CVD 415 thickness of 689
uniform 851
F Filters
activated carbon 119
Faraday’s Laws of electrolysis 509 Finishing
Fatigue wear 782 of coatings 635
fcc 724 First check characterization 673
Ferromagnetic crystals Flame spraying 51
magnetic contrast of 828 Flash evaporation 206
preferred axis in 828 Floating potential 319
Fiber coating 859 negative 491
Fibrous structures 576 Flow
Film viscous 489
adhesion 376 Flux ratio 335
density 376 Fluxing 122
material 707 Footprint 856
morphology 376 Fourier transform infrared (FTIR)
quality 473 spectrometers 838
stress 378 Fracture propagation 684
Film deposition Frank-van der Merwe
steps in 489 growth mode 708
Film properties Free electron
characterization of 671 kinetic energy 58
measurement of 682 Free energy 711
modified by ion bombardment 375 barrier 722
minimization 405
874 Deposition Technologies for Films and Coatings

of formation 215 Gate 859


volume 711 Geometrical thickness
Free jet 853 measurement of 689
Free-exciton (FE) recombination 842 GexSi1-x alloys
Frequency effects on Si(100) 728
on RF plasma 466 Glow discharge 52, 461, 849
Fresnel reflection coefficient 834 cleaning 100
Fretting DC 301
corrosion 767 evaporation 52
wear 781 low-pressure 301
Friction 783 plasma 55, 59, 70, 76
and wear 781 polymerization 77
and wear coatings 42 sputter jet 855
coefficient of 784 sputtering 327
FTIR Gold 850, 854
measuring epitaxial layer 839 Graded interface 237
Full-density coatings 158 Grain
Functional boundaries 721
characterization 672 boundary 39
coatings 41 growth mechanism 736
size 719
G size can vary 577
Grain boundaries
Ga weak 240
Auger transition 795 Grashof number 418
GaAs Grit blasting 632
anodic oxidation of 810 Growth
growth 723 adsorption-induced 452
sputtering of 298 area-selective 446
Galvanic kinetics 707
cell 768 mixed-mode 728
corrosion 661, 770 modes 708
Gas rate 848
charging 143 single phase vapor 442
control equipment 630 substrate-activated 449
dispensing system 411 three-dimensional 708, 710
in a deposited film 380 two-dimensional 708, 721
pumping 380 Growth mechanism
states 418 of PVD films 224
Gas flow Gyro radius 67
calculations 420
dynamics 417 H
patterns 420
proportional counter 827 Hall-Petch relation-
rate controls stoichiometry 494 ship 249, 253, 576
Gas jet deposition 37 Halogen solvents 124
Gasdynamic deposition source 849 Handling
Gaseous anodization 49 of prepared surfaces 147
Index 875

Hard coating I
tool wear-life improvement by 786
Hardness 591 Impact erosion 782
of higher velocity coatings 648 Impact mobility 749
of metal and alloy deposits 244 Impurities
values for various deposits 539 in deposits 256
varies with deposition tempera- in plating solutions 529
ture 255 In 747
Hardness testing 695 Induction heated sources 180
Haring-Blum %TP 518 Inelastic collisions 60
Harmonic electrical spraying 52 Inelastic mean free path 791, 799
Heat treatment Inert coatings 770
of Ni3P or Ni3B 554 Inert gas
Heating entrapped 291
sources 370 shroud 623
the substrate 415 Inhibitive coatings 770
Hertz-Knudsen equation 167 Injection rate
Heteroepitaxial films reactive-gas 330
are often pseudomorphic 725 Integrated electronics 849
High temperature Interface
protective metallic coatings 779 characterization of 679
High temperature corrosion 42 during CVD is unstable 443
History formation 350, 678
of evaporated thin films 158 trap density 859
Hollow-cathode ion sources 327 types 678
Homogeneous nucleation 409 Interfacial regions
Homogeneous reaction control 429 classified 374
Host-guest 848, 860 Intergranular corrosion 767
Hot hollow cathode Intermetallic compounds 409
discharge beam 187 deposition of 205
electron beam gun 188 Interphase 678
Hot wall reactor 413 Ion
Hot-cathode triode bombardment 93
sputtering systems 305 bombardment during deposi-
Hot-wall tion 750
PECVD reactors 468 carburizing 49
Humidity current 324
in clean room 116 current monitor 194
Hybrid processes 501 hollow-cathode source 327
Hydriding 409 implantation 53
Hydrogen implantation accelerators 348
charge 368 irradiation 97, 333, 743
overvoltage 517 irradiation effects 744, 759
reduction cleaning 130 mixing 683
Hydrophilic wetting method 51 mobility 72
Hydrosonic nitriding 49
agitation 529 replenishment 522
cleaning 128
876 Deposition Technologies for Films and Coatings

scrubbing 134, 354 Irradiation


source 350 during film growth 743
Ion beam assisted deposition 53 Irradiation-induced effects 755
Ion beam deposition 52 Island
Ion implantation 53 coalescence 714
Ion plating 53, 77 Island growth 224, 716
advantages and disadvan- Islands
tages 389 In 730
applications 389 secondary 714
barrel-plating 388
chemical ion plating 348 J
control of 385
control parameters 354 Jet
DC plasma conditions 354 boundary 854
defined 346 conditions 860
discharge 77 independence 855
history 346, 348 sonic 848
IAD 348 source 849
IBED 348 Jet in low
IVD 388 vacuum 849, 853, 856, 861
monitoring of 385 Jet Vapor Deposition (JVD) 848
problem areas 386 JVD 848, 853, 856, 859, 861
process 162 JVD sources 849
process parameters 358 microwave 859
process specifications 385 sputtering 856
pulsed 383, 387
reactive 348, 373
K
rules 349 Kinetic energy (KE)
sputter 348 emitted photoelectrons 797
stages 349 Krytonation 368
vacuum 348
Ion-assisted chemical etching 367 L
Ion-assisted molecular-beam epit-
axy 743 LaB6 791
Ion-beam sputtering 327 Lamellae
Ion/surface interactions 708 thickness of 253
low-energy 743 Lamellar microstructure 663
Ionic migration 522 Laminate
Ionization 56, 69 composites 253
balances 77 structure 253
potential 56 Larmor radius 67
rate of 80 Laser ablation 192
Ionization gauge rate monitor 194 Laser induced evaporation 192
Ionized gas 55 Lattice
IR absorption bands atom displacement 363
of O and C 839 constant 725
Iron alloy films defects 368
corrosion resistance 775 strain 378, 680, 694
Index 877

Laves phase 641 Mass spectrometer


Li-doped Si detector 825, 826 quadrupole 804
Life-tests Mass spectrometers
accelerated 674 magnetic sector 804
operational 674 Mass transfer coefficient 524
Limit 708 Mass transport
Low-contaminant materials 116 across a boundary layer 428
Low-energy control 429
ion irradiation 707 of ions 522
Low-pressure plasma deposition Material
(LPPD) process 218 cost 856
Low-temperature Materials
deposition 732, 860 multicomponent 292
Lubricant coatings 42 Materials conservation 43
Lubrication Maxwellian velocity distribution 62
coatings 785 MCrAlY 663
coating process steps 780
M Mean free path
defined 56
Mach disc 853 of electrons 303, 791
Macroparticle Mean residence time 714
removal of 191 Measurement
Magnesium alloys in situ 673
anodizing of 568 of adhesion 671, 682
Magnetic contrast of density 697
type I, II 828 of electrical resistivity 671
Magnetic fields of electromigration 697
and plasma particles 67 of films and coatings 671
Magnetic moment 828 of resistivity 696
Magnetic sector spectrom- of stability 672
eter 804, 833 pressure 171
Magnetron thickness of films and coat-
discharge sources 84 ings 671
enhanced plasma 140 Mechanical properties 647. See also
Magnetron discharge 84 Test techniques
Magnetrons 279, 281, 306, 326 of laminates 254
advantages and disadvan- of thick condensates 244
tages 358 of thin films 241
cylindrical 311 Mechanical scrubbing 125
discharge 357 Mechanically functional
double-ended RF 326 applications 41
geometries 497 Metal ions
sputtering of a source 387 deposition of 522
unbalanced 333 Metal-nitride-semiconductor (MNS)
Manufacturing advantages capacitor 859
of JVD 856 Metallic
Masking techniques 633 contaminates 117
impurities 530
878 Deposition Technologies for Films and Coatings

Metallic coatings Modulus of rupture 650


deposition of 772 Molecular beam epitaxy 52
Metalliding 50 Molecular beam epitaxy (MBE) 722
Metallographic apparent porosity 647 Molecular bombarding species 286
Metallurgical properties 39 Molecular dynamic
Metastable simulations 754
species 90 Molecular flow
Metastable phases 491 of species 488
Metastable species 90, 499 Molecular-dynamic
Metering simulations 733
of liquids 413 Momentum exchange 65, 289, 291
Methyl Red 860 collisions 64
Microbalances 196 cross section 58
Microhardness Momentum transfer 353, 363
measurement 592, 695 Monitoring of deposited mass 196
Microlaminate composites Monitoring of specific film proper-
thermal conductivity of 781 ties 196
Microlaminates 858 Monitors
Micromixing 856 optical 196
Microstructural resistance 196
development mechanisms 741 Monochromator
difference between coatings 637 with x-ray source 798
evolution 707, 708, 732 Monolayer per pass 856
Microstructure 38 Monte Carlo
evolution 224, 730 simulations 733, 736, 754
evolution of 730 Morphology
of films 375 large-grained columnar 228
of PVD condensates 224 of films 375
of thick single phase films 226 of thick single phase films 226
Microstructures structural 227
columnar 732, 733 Motion
Microthrowing power 523 of charged particle 66
Microwave discharge 77, 356, 849 Movchan-Demchishin model 230
plasma generation 139 Multifilament tows 859
Migration Multiple internal reflections 839
charge-induced 810 Multiple jet, moving sub-
Mobilities strate 852, 856, 861
low-adatom 733 Multiple sources 201
Mobility 64
of ions 65, 302 N
Model
capillarity 711 Nano-particles 37
droplet 711 Negative glow 301
TLK 438 Negative glow region 82
Models, nonlinear Negative ion emission 297
of the reactive sputtering 332 Negative ions 89
Modified surface Nernst equation 513
definition of 669
Index 879

Ni and Cr Optics
depth profile of 794 nonlinear 849
Ni on Si Orbiting frequency 66
interactions 817 Organic impurities 530
Ni-Cr-Fe Orientation
electrophoretic deposition of 548 crystallographic 380
Nickel Oscillations
composite electroless 557 plasma 75
containing P or B 551 Outgassing
deposition of 230 after fluid cleaning 132
electrodeposits 577 Overlay coating
Nitridation definition of 669
of UHV cleaned surfaces 151 Overlay coatings 31
Nitriding 49, 403 Overvoltage 515
NixPy compounds 551 Oxidation
Noble metals 860 cleaning 128
Non-destructive evaluation high temperature 776
(NDE) 675 Oxidation states
Novel coatings 848 ability to distinguish 800
Nozzle Oxide films 410
pressure 849 Oxygen plasma cleaning 144
Nuclear fuels 44
Nuclear reaction analysis (NRA) 819 P
Nucleation 438, 707, 710
3-D 710 PACVD 495
activation barrier 711 Palladium
control 429 adhesion dependent on 571
density 374, 677 Partial pressure
heterogeneous 452, 711 affects growth of films 494
kinetics 743 Particle impingement rate moni-
kinetics model 713 tor 194
secondary 716 Particles
two-dimensional 722, 724, 725 lenticular 617, 621
Nucleation sites Particulate
preferential 744 contamination 114
Nuclei size 716 deposition processes 36
Number density origin of 111
of Au nuclei on NaCl 715 removal 120
of ion-irradiation-induced 744 Parting
surface site 710 corrosion 767
Parylene 861
O Paschen
curves 80
Optical emission 304 relation 77, 83
Optical monitors 196 Passivation 859
Optically functional of clean surfaces 151
applications 41 PAVD
film growth by 493
880 Deposition Technologies for Films and Coatings

PECVD 461 microwave 356


conditions for silicon nitride 473 monitoring of 385
dual frequency reactor 475 near the substrate surface 384
films 481 oscillations 75
Peel test 688 oxidation 49
Penning parameters 493, 494
discharge 75 polymerization 53, 93
ionization 91 processing 351
Penning ionization 141, 359 properties of 351, 360
Periodic reverse 532 RF 355
Phase diagrams 407 sheath 69, 70
Phosphorus content spraying 36
of deposits 553 temperature 618, 622
Photoelectron (PE) transitions 799 torch 618, 621
Photoelectron spectroscopy 797 uniformity 384
Photoluminescence (PL) spectros- variables 488
copy 841 volume chemistry 492, 499
Physical sputtering Plasma electron beam gun 186
of a surface 143 Plasma excitation geometries 221
Physical vapor deposition Plasma excitation modes 221
processes 160. See also Deposi- Plasma rings 312, 315
tion techniques Plasma-Assisted CVD 50
Pickling 121, 536 Plasma-assisted CVD 743
Pierce gun 185 conditions 92
Piezoceramic wafers 858 Plasma-assisted deposition
Pitting limitations of 499
corrosion 767 Plasma-assisted etching 93
PL Plasma-enhanced CVD 461
practical range of 843 Plating
primary application of 842 cell 508
Planar diodes 83, 301 laser-enhanced 535
Planar magnetron 279, 316 on plastics 570
Plasma 55 operations 536
activation 360 variables 528
chemistry 140, 359 Platinum
cleaning 134 coatings 776
defined 186, 351 PLD. See Deposition techniques
density 335 Point of entry
diagnostic techniques 492 of powder 620
discharge operating conditions 81 Poisoning
enhancement 358 of sputter cathode 330
etching 77, 145 Polarization 515
frequency 69 effects 520
gas velocities 618 Polycrystalline silicides 830
generation of 135, 351 Pores 409
glow discharge 55, 76 Porosimetry 699
methods of creating 467 Porosity 698
Index 881

decreased by ion irradiation 753 Pulse-counting 826


in coatings 647 Pulsed laser deposition (PLD) 192
tests 700 Pulsed plating
Position equivalency 674 effects of 534
Positive column region 83 Pump
Post-deposition processing 680 chemical 416
Post-plating treatments 538 water-ring 416
Potential Pumping systems 171
floating 70 Purification of metals by evapora-
negative 276 tion 256
Powder PVD. See Deposition techniques
dispensers 630 microstructure of condensates 224
size distribution 622 process terminology 32
temperature 620 processes 160
used for plasma 632 vs. CVD 165
velocity 620 PVD processes
Prandtl number 418 classification of 487
Precursor species 492 PVDF
Precursors piezoelectric membrane 860
chemical vapor 373 Pycnometry 698
toxic 849 Pyroelectric infrared detectors 858
Predominance diagrams 407 Pyrolysis 50
Preferential sputtering 366 PZT 856, 858, 859
Preferred orientation 746, 750, 756
Presheath 72 Q
Primary electrons 302
Primary ions Quadrupole mass spectrometer 804
for SIMS 806
Primary-ion deposition (PID) 743
R
Printed circuit board Radiation hardness 859
plating of 571 Random walk
Printing process 51 of a helium atom 854
Process control 194 Rare-gas crystals
Process parameters growth of 724
control of 494 Rate
Processes control 199
for MCrAlY coatings 780 of chemical reaction in a
wet-chemical. See Wet-chemical plasma 493
Production of dissociation 495
capability 159 Rate monitors
Professional organizations 676 crystal oscillator 196
Properties ion current 194
of deposited coating 38 ionization gauge 194
Property measurements microbalances 196
of films and coatings 682 of deposited mass 196
Pseudomorphism 728 particle impingement 194
Pulse plating 532 spectroscopic 195
882 Deposition Technologies for Films and Coatings

Rate-controlling steps 216 Reduction reactions 403


Rate-determining reactions Reflected power 324
in CVD 436 Reflection
Rate-limiting coefficients 835
in CVD 428 of ions 290
Ratio of ring diameters 831 Reflection high-energy electron
Rayleigh number 418 diffraction (RHEED 722
RBS Refraction
sensitivity limit of 818 indices of 834
spectrum 816 Refractive index 837
used to normalize SIMS 810 Refractory compounds 209, 254
Reaction deposition of 209
CVD 403 mechanical properties of 254
kinetics 215 Refractory materials
mechanisms in CVD 436 evaporation of 370
rate 63 Refractory metals
resistance 431 area-selective deposition 449
Reactive Relative characterization 672
evaporation 52 Research needed 40
gas 330 Residual stress 237, 645
ion etching 98, 145 in deposits 237
ion plating 53 Residue
plasma cleaning 144 contamination 117
plasma etching 367 Resistance heated sources 175
sputtering 328 Resistance monitors 196
Reactive evaporation Resistivity
model 215 of films and coatings 696
process 213 Resonance ionization spectroscopy
Reactive ion plating (RIP) pro- (RIS) 812
cesses 218 Resputtering rate 375, 377
Reactor Reynold’s number 418
cold wall 414 RF
CVD 413 planar-diode 319
geometry 420 plasma reactor 466
hot wall 413 power supplies 355
Recoil implantation 348, 369, 754 sputtering 279, 318
Recombination RF activation 220
of electron-hole pairs 841 RF discharge 76, 85, 322, 355
Recombination rate constant 855 advantages and disadvan-
Recontamination 111 tages 356
Recrystallization 716, 721, 741 capacitively coupled 355
during coalescence 716 plasma generation 138
Recycling RHEED 722
of reactants 417 RHEED oscillations 723, 724
Redeposition Rhodamine 860
of sputtered material 375 Room temperature
Reducing agent 550, 553 deposition 634
Index 883

Roughness Semiconductors 201


can not be determined 838 Sensitization
of coatings 636 of a surface 149
of surface 633 Shear
Rutherford backscattering spectros- strength 643
copy (RBS) 812 test 688
Sheath 74
S capacitance 324
includes dark spaces 461
S-gun magnetron 306 plasma 70
Sacrificial layer 151 potential 137, 467
Safety 152 thickness 72
Salt concentration cell 769 Sheath potential 354
Saturated hydrogen electrode 513 Sheet resistance 696
Saturation flux density 593 Shroud
Scanning electron microscopy 822 inert gas 623
Scattering energy 812 Si 728, 730
Scratch test 688 thermally induced defects in 844
Scrubbers Silicon dioxide
exhaust 417 by PECVD 478
Scrubbing 125 Silicon nitride
Secondary electron emission coeffi- by PECVD 472
cient 82, 352 etch rate 859
Secondary electrons 302, 308 films 859
energy distribution of 822 interface trap density 859
Secondary ion mass spectroscopy radiation hardness 859
(SIMS) 803 SIMS
Secondary ions detection limit of 810
generation of 806 RBS is used to calibrate 810
Secondary nucleation 714, 716 spectrum 806
rate 747 voltage range 806
Selected area diffraction (SAD) 830 SiN 859
Selective deposition Single rod-fed electron beam
by CVD 445 source 202
Self-accelerated gun 182. See Smut 122
Electron beam gun Snell’s Law 834
Self-bias Snow scrubs 120
negative 462 Sodium contamination 117
Self-limiting growth 450 Solid state reactions
Self-mating characteristics 658 during CVD 401
Self-shadowing 733 Solution wear 783
Self-sputtering 366 Solvent
Self-supported shapes 158 cleaning 123
Self-welding 659 systems 125
SEM Sonic gas flow 855
resolution of 822 Sonic jet
Semiconductor microelectronic in a low-vacuum fast flow 848
processing 861
884 Deposition Technologies for Films and Coatings

Sources. See Vapor sources defined 275


for electron beam evaporation 383 deposition rate 304
hollow-cathode 327 direct 486
ion 327 efficiency 291
of depositing species 369 efficiency of 286
of energetic particles 350 erosion rate 288
of ions 350 glow discharge 297, 327, 849
reaction with evaporants 178 in N2 332
Space charge ion plating 371
sheaths 70 ion-beam 327
Spark-hardening 50 mechanisms 284
Specifications and standards mechanisms of 284
professional organizations 676 of alloys 294
Spectroscopic ellipsometry 837 of alloys and com-
Spectroscopic methods 195 pounds 279, 293
Spectroscopy 797 of compound semiconductors 298
Splat 637 of molecular species 297
Spray cleaning 126 of PTFE (Teflon) 279
Spraying processes 51 physical 353, 364
Sputter cleaning 143, 349 preferential 365
Sputter deposition 52, 275, 722 process 163. See also Deposition
applications of 279 techniques
flux profiles 316 rate 285, 289, 330
forward 361 reactive 328, 486
history of 283 RF 279
magnetron 333 targets. See Targets
of multicomponent materials 292 with reactive species 295
parameters 496 Sputtering systems
process variants 497 balanced 324
universality of 276, 279 bias sputtering 332
Sputter deposition modes configurations 278
reactive sputtering 328 in-line 314
Sputter deposition technology ion-beam 327
variations 276 load-lock 281
Sputter-assisted laser ionization magnetron 325
(SALI) 811 magnetrons 281, 306
Sputter-deposited films magnetrons, cylindrical 311
composition of 292 multisource 280
Sputtered operating conditions 311
as dimers 297 parallel-plate diode 278
clusters 297 planar diode 281, 301, 303, 305
molecules 297 planar-diode 278
species 296, 298, 299 RF 318, 324
Sputtering 52 RF planar-diode 319
bias 332 selection of 282
cost of 282 single-ended 324
DC 279 targets 282
Index 885

triode 279 Strikes


triode discharge 305 before plating 537
with magnetrons 279 Strip processing line 170
Sputtering yield 285, 286, 287, 365 Stripline 170
defined 286, 364 Structure zone
dependence on angle of inci- model 39
dence 287 Structure-zone diagram 736
dependence on ion species 295 Structure-zone diagrams 708
expression for 289 Sublimation 370
influenced by surface topogra- sources 176
phy 296 Substrate
SIMS 806 bias influences structure 494
Stabilizers preparation 632
added to chlorinated solvents 124 preparation defined 108
Stabilizing Substrate motion 851, 856
for electrodeposition 537 Superalloy 778, 780
Stainless steel Superconducting film
corrosion resistance 775 growth 192
STEM 828 Supercritical nuclei 714
Sticking coefficient 257, 329 Supersaturation 444, 713, 725
Sticking probability 329 degree of 719
Storage in CVD 442
of prepared surfaces 147 ratio 711
Strain-to-fracture 650 Surface
Stranski-Krastanov 728 coverage 380
growth mode 708 diffusion 440
Stress 409, 592, 644, 733 effects of bombardment 368
calculation of 691 engineering 27
for chromium deposits 590 kinetics control 429, 432
growth 680 modification 150
in continuous films 721 morphology 367, 442
in deposits 237 preparation 677
in electroless Ni-P alloys 553 preparation for ion plating 349
in films and coatings 691 profilometer 690
in growing film 361 protection 849
in PECVD films 473 reaction control 433
in the near-surface region 369 Surface Acoustic Wave
in-plane tensile 733 porosity measurement 700
measurements 593 Surface Charge Analysis. See SCA
mechanical 683 Surface energy 715
residual growth 378 Surface free energy 711
varies with phophorus 554 Surface mobility 229
Stress wave adhesion tests 688 Synthesis
Stress-corrosion of compounds 224
cracking 767 of unusual, complex materials 849
Strike 350 SZD 738, 739, 741
886 Deposition Technologies for Films and Coatings

T Thermally sensitive membranes 860


Thermionic Gun 183
TaC-Fe-Ni Thermodynamic
electrophoretic deposition of 548 calculations 405
Target poisoning 496 control of CVD 429
Target voltage 489 wear 783
Targets 295 Thermoelectron emitter
composite sputtering 294 system 357
for sputtering 282 Thick films 31
hot-pressed 295 Thick single phase films
non-conducting 321 microstructure and morphology
polycrystalline or amorphous 300 of 226
poorly conducting 295 Thickness
semiconducting 297 and uniformity 858
sputter 383 coating 617
TEM control 199
scanning 828 measurement of 689, 698
Temperature Thin films 31
control 629 Three body collisions 855
detonation gun 626 Three-dimensional growth 708
during CVD 401 Throwing power 32, 381, 489
gas 70 of a solution 518
profiles 427 TiC
Temperature Coefficient of Resistivity deposition of 216, 222
(TCR) 696 microhardness of 255
Tensile Time
bond strength 643 of atomic rearrangements 754
strength 592 TiN 757
stress 691 by reactive magnetron sputter 741
test 688 Titanium
Tensile properties anodizing of 568
of metals and alloy deposits 244 deposition of 229
of thin film 242 Tool wear-life
TEOS films 479 improvement by hard coating 786
Terrace Topographical evolution 294
lengths 723 Tows 859
Test techniques Toxic metal precursors 856
of mechanical properties 241 Transition mechanism 331
Texture Transition zone
of evaporated deposits 236 temperatures 228
Thermal Zone T 226
barriers 663 Transmission electron microscopy
decomposition 403 (TEM) 828
evaporation 370 Transport
expansion coefficient 695 of species 488
properties of coatings 663 Trapping 368
Thermal stress adhesion test 689 Tribology 781
Index 887

Triode 279, 282 induction heated 180


configuration 357 multiple 201
discharge devices 305 resistance-heated 175
hot-cathode 305 rod-fed 202
sputtering systems 305 sonic jet in low-vacuum fast
Triode configuration 220 flow 848
Tungsten sublimation 177
alloy electrodeposition 544 wire-fed 202
deposited by CVD 32, 450 Vaporization 849
Tungsten carbide-cobalt 659 Variables
Turnaround time 856 in plasma deposition pro-
Two-dimensional growth 708 cesses 488
Velocity
U carrier 849
distribution 60, 62
Ultimate electrons 310 drift 64
Ultrasonic of powder 621
agitation 528 Video-RHEED 750
cleaning 127 Void density 753
Unbalanced magnetron 333 Volatilization
Uniform of elemental metal 856
deposit thickness 168, 172, 851 Volatilization cleaning 130
plasma density 386 Volmer-Weber
Uniformity 858 growth mode 708
of plasma 384 Volume free energy 711
Uniformly coated 857 Volume reactions 92
V W
Vacuum Wall jet 853, 854
arc vaporization 371 Water
chamber configurations 282 ultrapure 118
chamber pressure 276 Water break test 133
deposition and electroplating 507 Wavelength dispersive x-ray
evaporation theory 166 (WDX) 826
system for ion plating 381 Wear 781, 785
Vacuum chamber 169 Wear resistance 648, 653
Vacuum pump 416 of electroless nickel 555
Vacuum pumping system 171 Wear-life
Vapor improvement by hard coating 786
contamination 116 Welding processes 51
degreasers 126 Wetting angle 111, 133
equilibrium pressure 710 Wetting processes 50
species generation 488, 494 Wirefeed
Vapor pressure jet 854
equilibrium 166 sources 857
Vapor sources 849 Witness plates 673
arc 189 Woods nickel strike 538
electron beam heated 181
888 Deposition Technologies for Films and Coatings

Work function 797


Work-accelerated gun 182. See
Electron beam gun

X
X-ray
analysis in STEM 834
emission 825
source 797
X-ray fluorescence (XRF) 690
XPS 797
detection limit for 802

Y
YBCO
film deposition 193, 194
Yield
secondary ion 806, 811

Z
Zinc
coatings 773
complexed with cyanide 514
Zirconia
as a thermal barrier 780
Zn deposition
conditions 774
Zone T 226
Zones
defined 737
Zone T 739

S-ar putea să vă placă și