Sunteți pe pagina 1din 5

At-Speed Test Methods for LSSD and Mux Flip-Flop Designs – Best Practices

Manu Baby
Dubai Circuit Design, Dubai Silicon Oasis, Dubai, United Arab Emirates
mbaby@dcd.ae

constraints to build the scan enable clock tree and the


Abstract
At-speed scan testing has become necessary to detect tight primary input timing requirements during capture
the growing population of timing defects in today’s phase. The synchronization of the at-speed scan
nanometer-scale process. There are several at-speed enable switching with the at-speed internal clocks
delay test methods available in the industry to generate (derived from PLL) is a difficult design challenge in
the delay patterns. Manufactures are continuing to look LOS. LOS pattern can result in better optimization if
for ways to improve the effectiveness of at-speed delay the at-speed timing of scan enable can be met.
test. The key is to create high quality, cost effective In launch-off-capture (LOC) scheme, the entire scan
tests for these complex nanometer designs. data shifting can be done at slow speeds in test mode,
This paper describes an efficient at-speed test and then two at-speed clocks are pulsed for launch and
implementation technique known as improved launch capture in functional mode. In this approach, there is
delay test with level sensitive scan design (LSSD) and no timing requirement for the scan enable as functional
mux scan flip-flop design which in turn achieve high justification is used to generate the delay patterns (fast
quality cost effective delay test patterns. The sequential patterns). This LOC method demands more
importance of small delay defect testing and sources of patterns with medium fault coverage.
chip overkill are also brought together in this paper as This paper describes the improved launch delay
they are inevitable factors with at-speed test testing with extra shifts/skewed load for mux flip-flop
techniques. At the end of the paper the best suitable and level sensitive scan design (LSSD) circuits. It also
flow and recommendations for the optimized patterns provides information about the inevitable factors
with good coverage during at-speed testing are during delay test such as the timing aware ATPG
explained. which is used to target small delay defects and chip
overkill issues which leads to unnecessary loss of
Keywords
yield. Finally it is concluded by recommending a
DFT, ATPG, Capture cycle, At-speed test, Launch-off-
suitable flow for optimized patterns with good
Capture, Launch-off-Shift, Design with Test.
coverage during delay faults pattern generation.
1. Introduction
At-speed test has become a common method to
2. At-speed Test - Best Practices
This session covers the efficient practices for LSSD
ensure fault-free high performance chips to the
and mux scan Flip-flop at-speed delay tests.
customers. For DSM designs, the stuck-at fault test
alone cannot ensure high quality level of chips. In the 2.1 LSSD Design At-Speed Test
past, functional patterns were used for at-speed test. The fundamental SRL (Serial Register Latch) consists
However, functional testing is not a viable solution of two latches (master and slave) operated by a pair of
because of the difficulty and time to generate these non-overlapping clocks called A and B clock to shift
tests for complex designs with very high gate density. data. Level Sensitive Scan Design (LSSD) provides
The two common methods used for generating delay scan designs with race free clocking. All latches in
patterns are called Launch off Shift and Launch off LSSD are implemented as part of SRL as shown in
Capture. figure 1. The basic storage element (SRL) consists of
For at-speed test, the LOS (Launch-off- Shift) scheme L1 and L2 latches (Latch pairs). The L1 has a system
can easily justify the launch values as the launch data data port controlled by a system C1 clock and a shift A
is derived from the output of the previous scan element clock. The L2 has a single data port controlled by
in the scan chain rather than the output of logical cones System C2/B shift clocks. At-speed test with LSSD
in the functional path. This LOS method makes the designs is to apply launch and capture clocks at system
ATPG easier and it can offer fewer at-speed patterns. speed. The time interval between the rising edge of C2
The main drawback with this approach is the tight clock (launch event) and trailing edge of C1 system
At-Speed Test Methods for LSSD and Mux Flip Flop Designs – Best Practices
clock (capture event) must be same as system speed. the master and slave latches. Also there is no faster
The LOC and LOS approaches can be used in LSSD scan enable (SE) timing requirement.
but there are drawbacks as discussed earlier in
introduction.
Master Load
Extra Shift
System Data

Shift A CLK
Scan Data
L1 Shift B/C2 CLK
System C1
CLK Out System C1 CLK
Shift A Clock SE
L2 At Speed
Cycle
Shift B Clock / System C2 Clock Figure 3: LSSD At-speed test with skewed load
Even though the above skewed load approach provide
fewer patterns with high coverage, it is important to
Figure 1: LSSD (Double Latch Device)
avoid the source of over kill (good chips may fail with
. In LSSD designs, separate clocks are used for the
scan test) such as multi cycle paths, long false paths,
launch and capture so that the tests are not limited by
excessive switching activities, etc. These cases are
the scan enable timing / tester frequency. The figure 2
explained in detail later.
shows at-speed clock sequence where master will be
loaded to a value which opposes the slave latch value
2.2. Mux Flip-flop At-Speed Test
In edge triggered mux scan designs, LOS method can
data. In this scheme (similar to LOC), functional
be used to justify the launch values from the output of
justification is used to generate master load vectors as
the previous scan element in the scan chain. But the
the SE signal asserted low. This approach demands
main disadvantage is the requirement of high speed
more patterns (fast sequential patterns) and provides
scan enable. This drawback can be overcome by
only medium fault coverage.
using pipelined local scan enable.
Shift Shift Master Shift Shift The figures 4, 5 show the waveform and the scan
Load enable pipelining circuit requirement with improved
launch delay scheme. As shown in the figure, the scan
Shift A CLK enable pipe-lining circuitry in the design holds the
local scan enable active high during the launch cycle
Shift B CLK and then goes inactive low during the capture cycle.
System C1 CLK

SE
At-speed
CLK
Cycle
Critical Timing

Figure 2: LSSD At-Speed with functional justification


SE
It is always easy for the ATPG tools to derive the
launch patterns from the scan shift chains. Figure 3
shows such an effort for an efficient LSSD at-speed PSE
test. In this clock sequence, patterns are shifted as
usual, but at the end with an extra A shift clock so that Primary Input
the L1 or master latch can be loaded to a value that is
Scan In
opposite to that of L2 or slave latch. The main
advantage is that only fewer patterns are required since Shift Shift Shift Shif Capture
t
many tests can be setup by setting opposing values in Figure 4: Mux FF At-Speed with Extra Shift

At-Speed Test Methods for LSSD and Mux Flip Flop Designs – Best Practices
In this improved scheme, the scan chains are loaded So in mux scan flip flop designs, the location and the
completely and the launch clock causes an extra shift number of pipeline registers are to be carefully
but this really looks like a regular launch on capture considered to meet system speed timing, to avoid
clock from the chip top level. The scan chains get a congestion and to limit the test area overhead. The SE
single pipe-line-delayed scan enable signal which is signal must also to be properly constrained during
the same clock driving the flip-flops in the scan chains. synthesis, physical implementation and timing analysis
steps.
FF1 FF2
SI SO
2.3. Small Delay Defect Testing is a must
As design sizes and frequency of operation increases,
more and more circuit nodes will be susceptible to a
small delay defect. Detection of a transition fault is
independent of which path is used to sensitize and
propagate the fault. But a longer path will have a
FF1 smaller slack and will be more sensitive to the
SE
PSE additional delay caused by an at-speed defect.
Specifically, a small delay defect could be missed if a
CLK
shorter path is used for detecting transition fault.
Global Pipe Enable SDD test is an extension to the basic transition fault
model capturing transition faults through the longest
Figure 5: At speed test – Local SE requirements timing path. In this technique, the at-speed test pattern
In this approach, the scan enables are to be pipelined set is more likely to detect small defects that could
properly to hold the local scan enable active for the escape a normal transition test set. If it can be
launch cycle. But at the top level, all the shifting guaranteed that SDD will always detect the fault along
actually completes in the SE port and switches to its the longest path, then SDD will also serve the purpose
inactive state prior to the launch cycle. of path delay, thereby eliminating the need for path
The major challenge here is to meet the single-cycle delay test. The efficacy of the small delay defect test is
timing requirement for the paths from the pipeline always higher with higher pattern count. Higher
register to the flip-flop scan enables. As shown in Fig pattern count can be limited by the improved launch
6, it is always better to have multiple SE pipeline delay testing methods as discussed earlier. Also fault
registers for sub blocks to meet the critical timing for grading techniques are to be considered for reducing
the paths from the SE pipeline register to flip-flop scan the overall test volume.
enables. 3. Over Testing can Overkill
Sub Block1 Any scan based test can generate vectors to test the
faults that are not sensitized in a functional mode
which is always potential issue. Multi-cycle and false
paths are not intended to propagate signals within one
SE Pipe En Reg clock cycle during normal functional operation. They
also can be erroneously sensitized during scan pattern
Sub Block…
generation. This in turn could cause some good dies to
SE
fail the test by exercising functionally unsensitizable
path, thus leading to unnecessary loss of yield (overkill
the device).
The figure 7 shows a sample design with functionally
unsensitizable path (refer only the functional path). In
this circuit, any transition at the starting point never
reaches the end of the path and so these types of paths
are never functionally exercised. Same cases can
Figure 6: SE pipeline register implementations occur when no transition occurs at the start point of the

At-Speed Test Methods for LSSD and Mux Flip Flop Designs – Best Practices
path or when captured values at the end are never has to done as shown in the flow diagram (Figure 8).
propagated to any primary output. These types of
paths are untestable in the original circuit but after the
DFT insertion all these type of circuit will become RTL
testable.
Synthesis
SI Q
(With Boundary Optimization)
D FF1
D
Q Optimized Non Scan Inserted Net list
S
I FF3
Scan Insertion
Scan Enable Pipeline (Only for mux FFs)
SI Q Timing Considerations
D FF2

Physical Implementation & STA


(Timing/Congestion/Area Overhead)
Figure 7: Functional unsensitizable path
As described before improved launch delay with extra
shift/skewed load scheme also can justify the launch Identify Functional Fast False paths
values as the launch data derives from the output of the (Including All Funct unsensitizable paths)
previous scan elements. So in this method, it’s Identify Functional multi cycle paths
Exclude all identified false Fast Faults.
important to avoid over testing by identifying the false
at speed transitions.
Another important factor that can overkill the device is Running ATPG - Extra Shift Scheme
Running ATPG - LOC Scheme
the excessive power during test. Since the capture
cycle is operated at-speed for high speed defect
detection, the frequency of occurrence of high di/dt
also increases. Combined with high speed, the effects
of excessive VDD-drop and ground-bounce could
Extra Shift LOS
possibly change the logic states of some circuit nodes Untestable Faults List
erroneously. This in turn could cause some good dies NO =~
to fail the test, thus leading to unnecessary loss of LOC
yield. Untestable Faults List
(Evaluate Uncommon
4. At-Speed Test - Best Flow Untestable Faults)
Test insertion can make functionally non-testable path
testable so a very well optimized non scan inserted net
list is essential to get a high quality results with Extra
YES
Shift Launch Delay Test method. In other means,
both improved Launch delay test with extra shift and Running Extra Shift LOS ATPG with
functional justification Launch-Off-Capture (LOC) Power and Timing Aware (SDD).
method should have almost same type/number of + Fault Grading
untestable faults and almost same coverage. But extra
shift launch delay testing scheme should provide a
High Quality Patterns
reduced pattern set as the difference in the launch Cost Effective Patterns
procedure. No over Testing
For an efficient at speed implementation, the flow
should start with a well optimized non-scan inserted
net list. Then a proper analysis of untestable faults list Figure 8: At speed test – Best Flow

At-Speed Test Methods for LSSD and Mux Flip Flop Designs – Best Practices
Finally the at-speed patterns with extra shift LOS [5] Baby M, Sarathi V, “Slack based approach for
scheme have to be generated with power and timing peak power reduction during transition fault
aware constraints. testing”, ISQED 2010.
5. Conclusion [6] Butler K.M, Saxena J, Gatt,R. Raghuraman, S.P
This paper explains the improved delay at Kumar, S. Basu, D.J. Cambell, J. Berech, “Scan
speed testing technique (extra shift/skewed load) for Based Transition Fault testing – Implementation
LSSD and mux scan flip flop designs. It also covers and Low Cost Test Challenges”, in Proc
few concerns with this approach and the best suitable International Test Conference, 2002.
delay test flow for nanometer devices. An optimized [7] Ravikumar C.P, Hirech M, Wen, X, “Test
delay pattern with a high coverage is the key to reduce Strategies for Low Power Devices”, Design
the test cost without compromising the quality. Automation and Test in Europe, 2008.
Improved Launch delay test maximizes the amount of [8] Seongmoon Wang, Xiao Liu, Srimat T.
data that can be launched in the extra shift which will Chakradhar”Hybrid Delay Scan: A low hardware
in turn result in a smaller pattern set. As small delay overhead scan-based design technique for high
defect (SDD) testing is essential in nanometer devices, fault coverage and compact test sets”, Design
it is recommended to use this hybrid launch delay Automation and Test in Europe, 2004.
technique so as to bring down the overall at-speed [9] Jeff Rearick ”Too much delay fault coverage is
pattern count. bad thing” International Test Conference, 2004.
Local scan enable timing requirement is [10] Synopsys Inc” Launch on extra shift (LOES)
critical in mux scan FF designs with enhanced launch C2009.06 application note”.
delay at-speed test. So the placement of scan enable [11] Baby M, M Ameri “LOES At-speed test design
pipeline registers and the number of SE pipelining with Transition ATPG” SNUG France 2010.
registers have to be considered carefully. Chip overkill [12] F. Motika, N.N Tendolkar, C.C. Beh, W.R. Heller,
factors are also very crucial in any at speed test C.E. Radke, P.J. Nigh ”A logic chip delay test
method, so a very well optimized non scan inserted net method based on system timing”, IEEE 1990
list, ATPG untestable fault category evaluations, multi [13] Baby M, Sarathi V, “Advanced Design for Test
cycle path, long false paths considerations and test Implementation Using SNPS DW Components and
power reductions have to be taken into consideration Galaxy Test”, SNUG, Europe 2008.
while implementing the at-speed test. [14] Synopsys Inc, “TetraMAX ATPG User Guide”,
6. References Version A 2009.06.
[1] J.Barlow, P.Chang, R. Gabrielson, C. Goertz, B, [15] Synopsys Inc, “DFT Compiler User Guide”,
Keller, K. McCauley, J. Tischer, B. Konemann, V. Version A 2009.06.
Iyengar, B.Rosen, T.Williams “Delay Test: The [16] Synopsys Inc, “Scan Enable Pipeline Application
Next Frontier for LSSD Test Systems”, Note”
International Test Conference. 1992. [17] Sandeep Kumar Goel, Narendra Devta-Prasanna,
[2] Pamela Gillis, Kevin McCauley, Francis Ritesh P Turakhia “Effective and Efficient Test
Woytowich and Andrew Ferko “Low Overhead Pattern Generation for Small Delay Defect” IEEE
delay testing of ASICS”, International Test VLSI Test Symposium.
Conference, 2004. [18] Tom Jackson, Cadence Design Systems, “A
[3] Nisar Ahmed, C.P. Ravikumar, Mohammad Methodology to Speed DFT signoff”, EE-
Tehranipoor, Jim Plusquellic“At-Speed Transition Evaluation Engineering, Feb. 2008.
Fault Testing With Low Speed Scan Enable”, 23rd [19] Cy Hey, Rohit Kapur, Synopsys Inc “Test
IEEE VLSI Test Symposium(VTS’05). methods identify small delay defects”, EE Times
[4] Jih-Nung Lee, Ta-Chia Yeh, Chi-Feng Wu, Shih- Design.
Arn Hwang and Chao-Cheng Lee “Experiences in
Deep Sub Micron Scan based A-Speed Delay
Testing”, IEEE 2006.

At-Speed Test Methods for LSSD and Mux Flip Flop Designs – Best Practices

S-ar putea să vă placă și