Sunteți pe pagina 1din 31

TECNOLÓGICO NACIONAL DE MÉXICO

I NSTITUTO T ECNOLÓGICO DE T UXTLA


GUTIÉRREZ, CHIAPAS
INGENIERÍA ELECTRÓNICA
QUINTO SEMESTRE
DISEÑO DIGITAL CON VHDL
REPORTE DE TERCERA PRÁCTICA
EQUIPO 4

DOCENTE:
ING. HÉCTOR RICARDO HERNÁNDEZ DE LEÓN
ALUMNOS:
EDUARDO ARCE CRUZ
RUBÉN MOSCOSO ESPINOZA
JOSUÉ FRANCISCO RODAS LÓPEZ
MANUEL ALEJANDRO ANTONIO RUIZ
TUXTLA GUTIÉRREZ, CHIAPAS, MÉXICO
MARCO TEORICO

GAL22V10

En la GAL22v10 tendremos que 22 terminales pueden ser utilizados como entradas,


en el esquema están marcados como In, que 10 terminales se pueden utilizar como
salidas, en el esquema están marcados como Out además que estas salidas pueden
ser Q lo que representa la salida de un flip flop. Se puede observar que
los 10 terminales comparten la opción de entrada o salida y que esta salida puede ser
el Q de un flip flop. También el terminal 1 que es una entrada comparte la opción de
ser la entrada de la señal de reloj Clk para los flip flop internos de la GAL siendo
esto útil al diseñar circuitos digitales secuenciales.

WINCUPL
En las siguientes tablas se resumen las instrucciones más frecuentes para
la realización de aplicaciones digitales combinatorias.
Formatos Numéricos

Se utilizan los prefijos entre comillas simples para indicar el formato numérico que se
está utilizando.
Asignación De Terminales, Variables Y Constantes

Son las instrucciones que se utilizan para definir y asignar terminales a variables, o
asignar a variables operaciones con variables o constantes.
Ejemplos de asignaciones de terminales:
PIN 2 = a; /*asigna al terminal 2 la variable a*/
PIN 2 = !a; /*asigna al terminal 2 la variable a negada*/
PIN [2,3,4,5,6,7,8,9]= [A0,A1,A2,A3,A4,A5,A6,A7];
PIN [2..9] = [A0,A1,A2,A3,A4,A5,A6,A7];
PIN [2..9] = [A0..A7];

Operadores Lógicos

La jerarquía hacer referencia a que operador se tendrá en cuenta primero al hacer


una operación, por ejemplo si tenemos S= ! A&B, se tendrá en cuenta primero
la operación de negar A y luego se hará la operación AND.
Operadores Aritméticos

Los operadores aritméticos se utilizan para realizar operaciones entre valores que
sean de tipo numérico y no con variables de tipo booleano como las asignadas a lo
terminales por medio de la instrucción PIN. Normalmente lo que se hace es que el
resultado de una operación aritmética se asigna a una variable o registro.

Simulador utilizado
La característica más importante y que mejor define Proteus es su capacidad de
simular el comportamiento de los circuitos electrónicos. Proteus incorpora una amplia
librería de dispositivos analógicos y digitales con su correspondiente modelo SPICE
que simula su comportamiento. Además podemos crear nuestros propios dispositivos
si disponemos del fichero SPICE correspondiente. De esta manera podemos
comprobar el funcionamiento de nuestros diseños.
El módulo de simulación avanzada nos posibilita la simulación de transitorios,
frecuencias, transformadas de Fourier, curvas de transferencia, etc. Con el podemos
visualizar los datos en gráficos analógicos, digitales y de buses de datos.
Simulación Avanzada
Y los diferentes módulos VSM específicos de cada familia de microprocesdaores nos
permiten observar cómo interactúa el software que se ejecuta en un microcontrolador
con cualquier tipo de componente electrónico, tanto digital como analógico, situado en
su periferia reduce considerablemente el número de horas utilizadas en los desarrollos
de los equipos. Más de 800 versiones de microprocesadores diferentes se pueden
simular en Proteus. Los modelos de microprocesadores simulan completamente los
puertos de entrada/salida, las interrupciones, los relojes y contadores, las USART's y
todos los periféricos presentes en cada microprocesador soportado
PRECTICA 1
Compuerta AND de dos entradas

**********************************************************************
*********
compuerta AND de dos entradas
**********************************************************************
*********

CUPL(WM) 5.0a Serial# 60008009


Device g22v10 Library DLIB-h-40-1
Created Tue Oct 01 22:30:07 2019
Name a compuerta AND de dos entradas
Partno 00
Revision 01
Date 28/09/2019
Designer eqipo 4
Company Ruben_Moscoso
Assembly None
Location

======================================================================
=========
Chip Diagram
======================================================================
=========

| a |
x---|1 24|---x Vcc
x---|2 23|---x
a x---|3 22|---x
b x---|4 21|---x
x---|5 20|---x
x---|6 19|---x
x---|7 18|---x
x---|8 17|---x s
x---|9 16|---x
x---|10 15|---x
x---|11 14|---x
GND x---|12 13|---x
| |
/* *************** INPUT PINS *********************/
PIN 3 = a ; /* */
PIN 4 = b ; /* */

/* *************** OUTPUT PINS *********************/


PIN 17 = s ; /* */

s = a&b;
En esta práctica realizamos una compuerta de AND de dos entradas para poder visualizar que
efectivamente funcione, lo que Podemos notar es que al poner la secuencia de (0,1) el led
encienda y lo que hace la función de AND.

**********************************************************************
*********
OR
**********************************************************************
*********

CUPL(WM) 5.0a Serial# 60008009


Device g22v10 Library DLIB-h-40-1
Created Wed Oct 02 00:06:06 2019
Name OR y AND de dos entradas
Partno 00
Revision 01
Date 02/10/2019
Designer equipo 4
Company Ruben_Moscoso
Assembly None
Location

PRECTICA 2
Una compuerta OR y una compuerta AND de dos entradas

======================================================================
=========
Expanded Product Terms
======================================================================
=========

S0 =>
A0 & A1

S1 =>
B1
# B0

S0.oe =>
1

S1.oe =>
1

LEGEND X : fuse not blown


- : fuse blown
======================================================================
=========
Chip Diagram
======================================================================
=========

| OR |
x---|1 24|---x Vcc
A0 x---|2 23|---x S0
A1 x---|3 22|---x S1
B0 x---|4 21|---x
B1 x---|5 20|---x
x---|6 19|---x
x---|7 18|---x
x---|8 17|---x
x---|9 16|---x
x---|10 15|---x
x---|11 14|---x
GND x---|12 13|---x
| |
Name OR y AND de dos entradas ;
PartNo 00 ;
Date 28/09/2019 ;
Revision 01 ;
Designer equipo 4 ;
Company Ruben_Moscoso ;
Assembly None ;
Location ;
Device g22v10 ;

/* *************** INPUT PINS *********************/


PIN 2 = A0 ; /* */
PIN 3 = A1 ; /* */
PIN 4 = B0 ; /* */
PIN 5 = B1 ; /* */

/* *************** OUTPUT PINS *********************/


PIN 23 = S0 ; /* */
PIN 22 = S1 ; /* */

S0 = A1&A0;
S1 = B1#B0;

En esta práctica realizamos una compuerta OR y una compuerta AND de dos entradas para poder
visualizar que efectivamente funcione, lo que Podemos notar es que al poner la secuencia de (1,1)
en AND y OR (0,0) el led encienda en la salida 1 y si ponemos (0,0) en AND y OR (1,1) encienda S0.
PRACTICA 3
DECODIFICADOR BINARIO DECIMAL

**********************************************************************
*********
DECODIFICADOR-B-D
**********************************************************************
*********

CUPL(WM) 5.0a Serial# 60008009


Device g22v10 Library DLIB-h-40-1
Created Tue Oct 01 22:14:18 2019
Name DECODIFICADOR-B-D
Partno 00
Revision 01
Date 29/09/2019
Designer EQUIPO4
Company EDUARDO ARCE
Assembly None
Location

======================================================================
=========
Expanded Product Terms
======================================================================
=========
/* *************** INPUT PINS *********************/
PIN 2 =a ; /* */
PIN 3 =b ; /* */
PIN 4 =c ; /* */
PIN 5 =d ; /* */

/* *************** OUTPUT PINS *********************/


PIN 15 =sa ; /* */
PIN 16 =sb ; /* */
PIN 17 =sc ; /* */
PIN 18 =sd ; /* */
PIN 19 =se ; /* */
PIN 20 =sf ; /* */
PIN 21 =sg ; /* */
PIN 22 =sdp;

// DECLARACIONES
FIELD entradas =[d,c,b,a];
FIELD salidas =[sdp,sg,sf,se,sd,sc,sb,sa];
//BOLEANAS

TABLE entradas => salidas {


'b'0000 => 'b'00111111;
'b'0001 => 'b'00000110;
'b'0010 => 'b'01011011;
'b'0011 => 'b'01001111;
'b'0100 => 'b'01100110;
'b'0101 => 'b'01101101;
'b'0110 => 'b'01111101;
'b'0111 => 'b'00000111;
'b'1000 => 'b'01111111;
'b'1001 => 'b'01100111;
'b'1010 => 'b'01110111; //A
'b'1011 => 'b'01110000; //r
'b'1100 => 'b'00111001; //C
'b'1101 => 'b'01111001; //E
'b'1110 => 'b'00000000;
'b'1111 => 'b'00000000;
}

======================================================================
=========
Chip Diagram
======================================================================
=========

|DECODIFICADOR-|
x---|1 24|---x Vcc
a x---|2 23|---x
b x---|3 22|---x sdp
c x---|4 21|---x sg
d x---|5 20|---x sf
x---|6 19|---x se
x---|7 18|---x sd
x---|8 17|---x sc
x---|9 16|---x sb
x---|10 15|---x sa
x---|11 14|---x
GND x---|12 13|---x

SIMULACION
CIRCUITO FISICO
En esta practica utilizamos un display de 7 segmentos para poder visualizar que
efectivamente funcione, lo que Podemos notar es que ahora gracias a que nosotros lo
programamos Podemos mostrar letras como se muestran en las imagenes que en los casos
de los circuitos integrados 7448 o 7447 solop nos arrojan numeros del 0 al 9
PRACTICA 4
SUMADOR DE 4 BITS

**********************************************************************
*********
SUMADOR
**********************************************************************
*********

CUPL(WM) 5.0a Serial# 60008009


Device g22v10 Library DLIB-h-40-1
Created Tue Oct 01 22:14:54 2019
Name SUMADOR 4BIT
Partno 00
Revision 01
Date 29/09/2019
Designer EQUIPO4
Company EDUARDO ARCE
Assembly None
Location

======================================================================
=========
Expanded Product Terms
======================================================================
=========
/* *************** INPUT PINS *********************/
PIN 2 = a0 ; /* */
PIN 3 = a1 ; /* */
PIN 4 = a2 ; /* */
PIN 5 = a3 ; /* */
PIN 6 = b0 ; /* */
PIN 7 = b1 ; /* */
PIN 8 = b2 ; /* */
PIN 9 = b3 ; /* */

/* *************** OUTPUT PINS *********************/


PIN 14 = s0 ; /* */
PIN 15 = s1 ; /* */
PIN 16 = s2 ; /* */
PIN 17 = s3 ; /* */
PIN 18 = c0 ; /* */
PIN 19 = c1 ; /* */
PIN 20 = c2 ; /* */
PIN 21 = cout ; /* */

FUNCTION SUM4BITS (a,b,cin,cout){


cout = cin&b#cin&a#a&b;
SUM4BITS=cin$a$b;
}

s0=SUM4BITS(a0,b0,'h'0,c0);
s1=SUM4BITS(a1,b1,c0,c1);
s2=SUM4BITS(a2,b2,c1,c2);
s3=SUM4BITS(a3,b3,c2,cout);
======================================================================
=========
Chip Diagram
======================================================================
=========

| SUMADOR |
x---|1 24|---x Vcc
a0 x---|2 23|---x
a1 x---|3 22|---x
a2 x---|4 21|---x cout
a3 x---|5 20|---x c2
b0 x---|6 19|---x c1
b1 x---|7 18|---x c0
b2 x---|8 17|---x s3
b3 x---|9 16|---x s2
x---|10 15|---x s1
x---|11 14|---x s0
GND x---|12 13|---x
| |

SIMULACION
PRACTICA 5
COMPUERTAS LOGICAS
*******************************************************************************
Prac5
*******************************************************************************

CUPL(WM) 5.0a Serial# 60008009


Device g22v10 Library DLIB-h-40-1
Created Tue Oct 01 22:40:45 2019
Name Prac3
Partno CIR001
Revision 01
Date 13/3/99
Designer Ejemplo
Company ittg
Assembly None
Location tgz

===============================================================================

===============================================================================
Chip Diagram
===============================================================================

| Compu ertas |
a x---|1 24|---x Vcc
b x---|2 23|---x
c x---|3 22|---x
x---|4 21|---x
x---|5 20|---x and
x---|6 19|---x nand
x---|7 18|---x or
x---|8 17|---x nor
x---|9 16|---x xor
x---|10 15|---x xnor
x---|11 14|---x nota
GND x---|12 13|---x
| |

/* *************** INPUT PINS *********************/


PIN 1 = a ;
PIN 2 = b ;
PIN 3 = c ;
/* *************** OUTPUT PINS *********************/
PIN 14 = nota ;
PIN 15 = xnor ;
PIN 16 = xor ;
PIN 17 = nor ;
PIN 18 = or ;
PIN 19 = nand ;
PIN 20 = and ;
and = !a ;
nand = a & b & c ;
or = a # b # c ;
nor = !(a # b # c ) ;
xor = a $ b $ c ;
xnor = !(a $ b $ c ) ;
Fotos del circuito Físico
En este circuito utilizamos 7 leds, uno en cada salida para poder representar las en el circuito.
PRACTICA 6
MULTIPLEXOR- BCD 7 SEGMENTOS
*******************************************************************************
Prac
*******************************************************************************

CUPL(WM) 5.0a Serial# 60008009


Device g22v10 Library DLIB-h-40-1
Created Tue Oct 01 22:40:45 2019
Name Prac3
Partno CIR001
Revision 01
Date 13/3/99
Designer Ejemplo
Company ittg
Assembly None
Location tgz

===============================================================================

===============================================================================
Chip Diagram
===============================================================================

| Prac3 |
x---|1 24|---x Vcc
A3 x---|2 23|---x
A2 x---|3 22|---x
A1 x---|4 21|---x g
A0 x---|5 20|---x f
B3 x---|6 19|---x e
B2 x---|7 18|---x d
B1 x---|8 17|---x c
B0 x---|9 16|---x b
select x---|10 15|---x a
x---|11 14|---x punto
GND x---|12 13|---x
| |

/******************************************************************/
/* Programa preparado para el dispositivo: 22V10 */
/******************************************************************/
/** Definicion de los pines de entrada **/
Pin [2..5] = [A3..0]; /* Dato A */
Pin [6..9] = [B3..0]; /* Dato B */
Pin 10 = select; /* Seleccion */
/** Definicion de los pines de salida **/
Pin [15..21] = a,b,c,d,e,f,g; /* Senianles de los displays */
Pin 14 = punto; /* Punto decimal */
/** Cuerpo del programa **/
/* Descripcion del multiplexor utilizando funciones logicas */
S3 = (!select & A3) # (select & B3);
S2 = (!select & A2) # (select & B2);
S1 = (!select & A1) # (select & B1);
S0 = (!select & A0) # (select & B0);
/* Descripcion del conversion BCD - 7 segmentos utilizando una tabla
de verdad */
TABLE S3,S2,S1,S0=> !a,!b,!c,!d,!e,!f,!g,!punto {
'h'0=>'b'11111100; 'h'1=>'b'01100000; 'h'2=>'b'11011010;
'h'3=>'b'11110010;
'h'4=>'b'01100110; 'h'5=>'b'10110110; 'h'6=>'b'00111110;
'h'7=>'b'11100000;
'h'8=>'b'11111110; 'h'9=>'b'11100110; 'h'A=>'b'00000001;
'h'B=>'b'00000001;
'h'C=>'b'00000001; 'h'D=>'b'00000001; 'h'E=>'b'00000001;
'h'F=>'b'00000001;
PRECTICA 7
CONTADOR DE DECADAS

**********************************************************************
*********
CONTADOR
**********************************************************************
*********

CUPL(WM) 5.0a Serial# 60008009


Device g22v10 Library DLIB-h-40-1
Created Tue Oct 01 22:41:02 2019
Name CONTADOR DE DECADAS
Partno 00
Revision 01
Date 29/09/2019
Designer EQUIPO4
Company EDUARDO ARCE
Assembly None
Location
======================================================================
=========
Chip Diagram
======================================================================
=========

| CONTADOR |
!clk x---|1 24|---x Vcc
reset x---|2 23|---x
up x---|3 22|---x
x---|4 21|---x
x---|5 20|---x
x---|6 19|---x
x---|7 18|---x
x---|8 17|---x q0
x---|9 16|---x q1
x---|10 15|---x q2
x---|11 14|---x q3
GND x---|12 13|---x
| |

/* *************** INPUT PINS *********************/


PIN 1 = !clk ; /* */
PIN 2 = reset ; /* */
PIN 3 = up ; /* */

/* *************** OUTPUT PINS *********************/


PIN 14 = q3 ; /* */
PIN 15 = q2 ; /* */
PIN 16 = q1 ; /* */
PIN 17 = q0 ; /* */

$define s0 'b' 0000


$define s1 'b' 0001
$define s2 'b' 0010
$define s3 'b' 0011
$define s4 'b' 0100
$define s5 'b' 0101
$define s6 'b' 0110
$define s7 'b' 0111
$define s8 'b' 1000
$define s9 'b' 1001

FIELD contador = [q3,q2,q1,q0];

SEQUENCE contador {

PRESENT s0 IF !reset & up NEXT s1;


IF !reset & !up NEXT s9;
IF reset NEXT s0;

PRESENT s1 IF !reset & up NEXT s2;


IF !reset & !up NEXT s0;
IF reset NEXT s0;

PRESENT s2 IF !reset & up NEXT s3;


IF !reset & !up NEXT s1;
IF reset NEXT s0;

PRESENT s3 IF !reset & up NEXT s4;


IF !reset & !up NEXT s2;
IF reset NEXT s0;

PRESENT s4 IF !reset & up NEXT s5;


IF !reset & !up NEXT s3;
IF reset NEXT s0;

PRESENT s5 IF !reset & up NEXT s6;


IF !reset & !up NEXT s4;
IF reset NEXT s0;

PRESENT s6 IF !reset & up NEXT s7;


IF !reset & !up NEXT s5;
IF reset NEXT s0;

PRESENT s7 IF !reset & up NEXT s8;


IF !reset & !up NEXT s6;
IF reset NEXT s0;

PRESENT s8 IF !reset & up NEXT s9;


IF !reset & !up NEXT s7;
IF reset NEXT s0;

PRESENT s9 IF !reset & up NEXT s0;


IF !reset & !up NEXT s8;
IF reset NEXT s0;
}
Practica 8
Multiplexor 4

Materiales
1. Protoboard
2. Cables de conexión
3. 1 led
4. Gal22v10
5. Swich
6. Resistencia

Descripción
Es un circuito combi nacional que tiene varios canales de datos de entrada y
solamente un canal de salida. Sólo un canal de la entrada pasará a la salida y este
será el que haya sido escogido mediante unas señales de control.
Si utiliza un multiplexor de 4 canales de entrada. Una de los cuatro canales de
entrada será escogida para pasar a la salida y esto se logra con ayuda de las
señales de control o selección.
La cantidad de líneas de control que debe de tener el multiplexor depende del
número de canales de entrada. En este caso, se utiliza la siguiente fórmula: Número
de canales de entrada =2n., donde n es el número de líneas de selección.

Desarrollo
En este apartado se llevó acabo la programación del gal22v10 con el programa wincupl
se programa un multiplexor de 4x1 (esto significa que tiene 4 entradas con una salida)
en el apartado anterior esta la descripción de este programa
Multiplexor 4x1

**********************************************************************
*********
Multiplexor
**********************************************************************
*********

CUPL(WM) 5.0a Serial# 60008009


Device g22v10 Library DLIB-h-40-1
Created Wed Oct 02 01:09:20 2019
Name Multiplexor 4x1
Partno 00
Revision 01
Date 02/10/2019
Designer equipo 4
Company Ruben_Moscoso
Assembly None
Location

======================================================================
=========
Expanded Product Terms
======================================================================
=========

sal =>
i0 & !s0 & !s1
# i1 & s0 & !s1
# i2 & !s0 & s1
# i3 & s0 & s1

sal.oe =>
1

LEGEND X : fuse not blown


- : fuse blown
====================================================================
==
=========
Chip Diagram
====================================================================
==
=========

| Multiplexor |
x---|1 24|---x Vcc
s1 x---|2 23|---x sal
s0 x---|3 22|---x
i0 x---|4 21|---x
i1 x---|5 20|---x
i2 x---|6 19|---x
i3 x---|7 18|---x
x---|8 17|---x
x---|9 16|---x
x---|10 15|---x
x---|11 14|---x
GND x---|12 13|---x
| |
Name Multiplexor
4x1 ; PartNo 00 ;
Date
02/10/201
9 ; Revision 01 ;
Designer equipo 4
;
Company
Ruben_Moscos
o ; Assembly None ;
Location ;
Device g22v10 ;

/* *************** INPUT PINS *********************/


PIN 2=s1 ; /* */
PIN 3=s0 ; /* */
PIN 4=i0 ; /* */
PIN 5=i1 ; /* */
PIN 6=i2 ; /* */
PIN 7=i3 ; /* */

/* *************** OUTPUT PINS *********************/


PIN 23 = sal ; /* */

sal=i0&!s1&!s0#i1&!s1&s0#i2&s1&!s0#i3&s1&s0;
Simulación en proteus
En esta imagen podemos observar la simulación de este circuito multiplexor de 4x1
si antes llevado acabo la configuración de wincupl a proteus que es un formato
llamado .JED para poder simular el circuito

Circuito llevado a cabo en físico son los materiales ya mencionados anteriormente


he aquí las fotos de dicho circuito
PRACTICA 9
SEMAFORO
**********************************************************************
*********
semaforo1
**********************************************************************
*********

CUPL(WM) 5.0a Serial# 60008009


Device g22v10 Library DLIB-h-40-1
Created Wed Oct 02 21:14:03 2019
Name semaforo1
Partno 00
Revision 01
Date 02/10/2019
Designer equipo 4
Company A
Assembly None
Location

======================================================================
=========
Expanded Product Terms
PIN 1= clk;
PIN 15= V1; //pin 12 y 13 encienden el verde
PIN 16= V2;
PIN 17= A;
PIN 18= R1; //pines 15 al 17 encienden el rojo
PIN 19= R2;
PIN 20= R3;

FIELD SEMAFORO= [V1,V2,A,R1,R2,R3];


$define S0 'b'000000
$define S1 'b'100000
$define S2 'b'010000
$define S3 'b'001000
$define S4 'b'000100
$define S5 'b'000010
$define S6 'b'000001

SEQUENCE SEMAFORO{
PRESENT S0 NEXT S1;
PRESENT S1 NEXT S2;
PRESENT S2 NEXT S3;
PRESENT S3 NEXT S4;
PRESENT S4 NEXT S5;
PRESENT S5 NEXT S0;
}

======================================================================
=========
Chip Diagram
======================================================================
=========

| semaforo1 |
clk x---|1 24|---x Vcc
x---|2 23|---x
x---|3 22|---x
x---|4 21|---x
x---|5 20|---x R3
x---|6 19|---x R2
x---|7 18|---x R1
x---|8 17|---x A
x---|9 16|---x V2
x---|10 15|---x V1
x---|11 14|---x
GND x---|12 13|---x
| |
Conclusión.

Como conclusión sobre esta sección, podemos decir que es recomendable incluir
los estados no usados en el diseño de los circuitos secuenciales. Esto implica una
reducción en las expresiones lógicas y por consiguiente en el tamaño del circuito,
que en otros términos representará obviamente un menor tiempo de desarrollo y
costo de implementación.

Se plantea como ejercicio hacer el diagrama lógico correspondiente a las


ecuaciones halladas a partir de los mapas de Karnaugh y hacer el diseño del circuito
secuencial sin tener en cuenta los estados no usados para comparar los dos casos
y notar las diferencias.

Existen varias alternativas para la implementación de compuertas que entreguen el


resultado equivalente, pero el objetivo fue encontrar el circuito que contenga el
menor número de compuertas lógica. Es por eso que es nosotros empleamos el
conocimiento de la simplificación por algebra de Boole.

En esta grafica se aprendió el proceso para el uso de este programa, el cual para
muchos era nuevo y no teníamos mucho conocimiento de esta plataforma, como
cualquier programa lo principal es el controlar salidas y con esta práctica sencilla
logramos entenderlo sin ningún problema. En este circuito pusimos en práctica los
enclavamientos, estos son bastante útiles cuando se tiene que controlar procesos
en base a condiciones, nos da la posibilidad de evaluar la posición según a nosotros
con convenga.
Bibliografía
www.forosdeelectronica.com

http://micropinguino.blogspot.com/2013/05/logica-combinatoria-con-gal-y-wincupl.html

http://www.hubor-proteus.com/proteus-pcb/prospice.html

http://javierandres-arquitectura.blogspot.com/2012/03/simulacion-de-un-dispositivo-
gal-en.html

S-ar putea să vă placă și