Sunteți pe pagina 1din 21

1

Vlsi not need change to the Netlist and placement.


This methodology works for antenna
violations on all metal layers except for the
Question 1. Why Metal Density Rules Are top most layer. In this methodology, we will
Important? switch the small portion of routing to higher
Answer : level metal close to the location of failing
Metal Density rules take care of metal over- gate. This will make sure that accumulated
etching and metal lift off issues encountered charges on metal layer does not affect the
durinf manufacturing process. gate as gate will not be connected to the
Question 2. Why Power Stripes Routed In The charge carrying metal route until higher level
Top Metal Layers? metal is manufactured.
Answer :
For example, lets say antenna violation is in
Power routes generally conduct a lot of
M2. This means that M2 has enough area to
current. In order to reduce effect of IR drop,
accumulate large charge that induces high
we need to make these routes less resistive.
electron voltage to destroy the gate. To solve
Top metal layers are thicker and offer lesser
this problem, we cut a portion of M2 close to
resistance. This helps to reduce IR drop.
failing gate and move the routing to M3. This
Question 3. Types Of Checks That Can Be makes sure that when M2 is being
Done In Prime Time ? manufactured, it does not get connected to
Answer : gate. Connection happens only when M3 gets
Timing (setup, hold, transition), design manufactured which is much later in time.
constraints, nets, noise, clock skew and By then charges on Metal M2 would have
analysis coverage. leaked away.
Question 4. How Do You Validate Your When metal jumper is not possible to
Floorplan And What Analysis You Do During implement (probably due to routing
Floorplan? congestion or violation happening in top
Answer : most layer) we try to fix it by inserting
Overlapping of macros. antenna diode closed to gate failing antenna.
Global route congestion -> in order to Antenna diode provide electrical path for
finalize Min. Channel spacing. safe conduction of accumulated charges to
Allowable IR drop. the substrate. Antenna diode is a reversed
Physical information of the design biased diode but acts like resistor during
(report_design_physical) manufactured process (CMP) due to high
Question 5. How Many Clocks You Had In temperature environment.
Your Designs? How Did You Do Cts For The
Question 7. How Do You Reduce Power
Same?
Dissipation Using High Vt And Low Vt On
Answer :
Your Design?
I had 5 clocks in my designs, sys_clk,
Answer :
sys_rclk, uart_clk, g_clk and scan_clk, where
Use HVT cells for timing paths
sys_clk, g_clk and uart_clk logically
having +ve slacks.
exclusive to scan_clk.
Use LVT cells for timing paths
having -ve slacks.
HVT cells have a larger delay but less
Question 6. Did You Get Antenna Problem In leakage. +ve slack in a design is not useful as
Your Project For All The Metal Layers? How having only some paths working faster will
Did You Fix Them? not help overall design. We are good if the
Answer : slack is 0. In such cases give up the slack by
Metal Jumper and Antenna diode are two using HVT cells but gain on power
methods to resolve Antenna violations. But dissipation. LVT cells are very fast but very
Metal Jumper is preferred approach as it does leaky. Limit the use of LVT cells to only
2

those paths that have difficulty in closing Question 12. Can You Talk About Low Power
time. Techniques? How Low Power And Latest
Question 8. What Is Electromigration And 90nm/65nm Technologies Are Related?
How To Fix It? Answer :
Answer : Refer and browse for low power techniques.
Electromigration (EM) refer to the Question 13. Do You Know About Input
phenomenon of movement of metal atoms Vector Controlled Method Of Leakage
due to momentum transfer from conducting Reduction?
electrons to metal atoms. Current conduction Answer :
over a period of time in a metal route causes Leakage current of a gate is dependant on its
opens or shorts due to EM effect. EM effect inputs also. Hence find the set of inputs
cannot be avoided. which gives least leakage. By applyig this
In order to minimize its effect, we use wider minimum leakage vector to a circuit it is
wires so that even with EM effect wire stays possible to decrease the leakage current of
wide enough to conduct over the lifetime of the circuit when it is in the standby mode.
the IC. This method is known as input vector
controlled method of leakage reduction.
Question 9. What Are The Various Statistics
Available In Ir Drop Reports? Question 14. How Can You Reduce Dynamic
Answer : Power?
IR drop info for VDD/ VSS. Answer :
Maximum current through VDD/VSS. Reduce switching activity by
Number of current sources for designing good RTL
VDD/VSS. Clock gating
Utilization of metal layers used. Architectural improvements
EM information for signal and via. Reduce supply voltage
Question 10. What Is The Importance Of Ir Use multiple voltage domains-Multi
Drop Analysis? vdd
Answer : Question 15. What Are The Vectors Of
IR drop determines the level of voltage at the Dynamic Power?
pins of standard cells. Value of acceptable IR Answer :
drop will be decided at the start of the project Voltage and Current
and it is one of the factors used to determine Question 16. How Will You Do Power
the derate value. Planning?
If the value of IR drop is more than the Answer :
acceptable value, it calls to change the derate Refer here for power planning.
value. Without this change, timing Question 17. If You Have Both Ir Drop And
calculation becomes optimistic. For example Congestion How Will You Fix It?
setup slack calculated by the tool is less than Answer :
the reality. Spread macros
Question 11. In Which Field Are You Spread standard cells
Interested? Increase strap width
Answer : Increase number of straps
Answer to this question depends on Use proper blockage
your interest, expertise and to the Question 18. Is Increasing Power Line Width
requirement for which you have been And Providing More Number Of Straps Are
interviewed. The Only Solution To Ir Drop?
Well..the candidate gave answer: Low Answer :
power design Spread macros
Spread standard cells
Use proper blockage
3

Question 19. In A Reg To Reg Path If You Single clock-normal synthesis and
Have Setup Problem Where Will You Insert optimization
Buffer-near To Launching Flop Or Capture Multiple clocks-Synthesis each clock
Flop? Why? seperately
Answer : Multiple clocks with domain
(buffers are inserted for fixing fanout crossing-Synthesis each clock
voilations and hence they reduce seperately and balance the skew
setup voilation; otherwise we try to Question 23. How Many Clocks Were There
fix setup voilation with the sizing of In This Project?
cells; now just assume that you must Answer :
insert buffer !) It is specific to your project
Near to capture path. More the clocks more challenging
Because there may be other paths Question 24. How Did You Handle All Those
passing through or originating from Clocks?
the flop nearer to lauch flop. Hence Answer :
buffer insertion may affect other paths Multiple clocks-->synthesize
also. It may improve all those paths or separately-->balance the skew--
degarde. If all those paths have >optimize the clock tree
voilation then you may insert buffer Question 25. Are They Come From Separate
nearer to launch flop provided it External Resources Or Pll?
improves slack. Answer :
Question 20. How Will You Decide Best Floor If it is from separate clock sources
Plan? (i.e.asynchronous; from different pads
Answer : or pins) then balancing skew between
Refer here for floor planning. these clock sources becomes
Question 21. What Is The Most Challenging challenging.
Task You Handled? What Is The Most If it is from PLL (i.e.synchronous)
Challenging Job In P&r Flow? then skew balancing is comparatively
Answer : easy.
It may be power planning- because Question 26. Why Buffers Are Used In Clock
you found more IR drop Tree?
It may be low power target-because Answer :
you had more dynamic and leakage To balance skew (i.e. flop to flop delay)
power Question 27. What Is Cross Talk?
It may be macro placement-because it Answer :
had more connection with standard Switching of the signal in one net can
cells or macros interfere neigbouring net due to cross
It may be CTS-because you needed to coupling capacitance.This affect is known as
handle multiple clocks and clock cros talk. Cross talk may lead setup or hold
domain crossings voilation.
It may be timing-because sizing cells Question 28. How Can You Avoid Cross Talk?
in ECO flow is not meeting timing Answer :
It may be library preparation-because Double spacing=>more spacing=>less
you found some inconsistancy in capacitance=>less cross talk
libraries. Multiple vias=>less resistance=>less
It may be DRC-because you faced RC delay
thousands of voilations Shielding=> constant cross coupling
Question 22. How Will You Synthesize Clock capacitance =>known value of
Tree? crosstalk
Answer :
4

Buffer insertion=>boost the victim Question 2. What Are The Various Regions Of
strength Operation Of Mosfet? How Are Those
Question 29. How Shielding Avoids Crosstalk Regions Used?
Problem? What Exactly Happens There? Answer :
Answer : MOSFET has three regions of operation: the
High frequency noise (or glitch)is cut-off region, the triode region, and the
coupled to VSS (or VDD) since saturation region.
shilded layers are connected to either The cut-off region and the triode region are
VDD or VSS. used to operate as switch. The saturation
Coupling capacitance remains region is used to operate as amplifier.
constant with VDD or VSS.
Question 30. How Spacing Helps In Reducing Question 3. What Is Threshold Voltage?
Crosstalk Noise? Answer :
Answer : The value of voltage between Gate and
width is more=>more spacing between two Source i.e. VGS at which a sufficient number
conductors=>cross coupling capacitance is of mobile electrons accumulate in the
less=>less cross talk channel region to form a conducting channel
is called threshold voltage (Vt is positive for
Question 31. Why Double Spacing And NMOS and negative for PMOS).
Multiple Vias Are Used Related To Clock? Question 4. What Does It Mean "the Channel
Answer : Is Pinched Off"?
Why clock.-- because it is the one Answer :
signal which chages it state regularly For a MOSFET when VGS is greater than Vt,
and more compared to any other a channel is induced. As we increase VDS
signal. If any other signal switches current starts flowing from Drain to Source
fast then also we can use double (triode region). When we further increase
space. VDS, till the voltage between gate and
Double spacing=>width is channel at the drain end to become Vt, i.e.
more=>capacitance is less=>less VGS - VDS = Vt, the channel depth at Drain
cross talk end decreases almost to zero, and the channel
Multiple vias=>resistance in is said to be pinched off. This is where a
parellel=>less resistance=>less RC MOSFET enters saturation region.
delay Question 5. Explain The Three Regions Of
Question 32. How Buffer Can Be Used In Operation Of A Mosfet?
Victim To Avoid Crosstalk? Answer :
Answer : Cut-off region: When VGS < Vt, no channel is
Buffer increase victims signal strength; induced and the MOSFET will be in cut-off
buffers break the net length=>victims are region. No current flows.
more tolerant to coupled signal from Triode region: When VGS ≥ Vt, a channel will
aggressor. be induced and current starts flowing if
VDS > 0. MOSFET will be in triode region as
Question 1. Why Does The Present Vlsi long as VDS < VGS - Vt.
Circuits Use Mosfets Instead Of Bjts? Saturation region: When VGS ≥ Vt, and VDS ≥
Answer : VGS - Vt, the channel will be in saturation
Compared to BJTs, MOSFETs can be made mode, where the current value saturates.
very small as they occupy very small silicon There will be little or no effect on MOSFET
area on IC chip and are relatively simple in when VDS is further increased.
terms of manufacturing. Moreover digital Question 6. What Is Channel-length
and memory ICs can be implemented with Modulation?
circuits that use only MOSFETs i.e. no Answer :
resistors, diodes, etc.
5

In practice, when VDS is further increased There are few steps that has to be performed
beyond saturation point, it does has some to solved the setup and hold violations in
effect on the characteristics of the MOSFET. VLSI. The steps are as follows:
When VDS is increased the channel pinch-off The optimization and restructuring of
point starts moving away from the Drain and the logic between the flops are carried
towards the Source. Due to which the way. This way the logics are
effective channel length decreases, and this combined and it helps in solving this
phenomenon is called as Channel Length problem.
Modulation. There is way to modify the flip-flops
Question 7. Explain Depletion Region. that offer lesser setup delay and
Answer : provide faster services to setup a
When a positive voltage is applied across device. Modifying the launch-flop to
Gate, it causes the free holes (positive have a better hold on the clock pin,
charge) to be repelled from the region of which provides CK->Q that makes
substrate under the Gate (the channel region). the launch-flop to be fast and helps in
When these holes are pushed down the fixing the setup violations.
substrate they leave behind a carrier- o The network of the clock can be
depletion region. modified to reduce the delay or
Question 8. What Is Body Effect? slowing down of the clock that
Answer : captures the action of the flip-
Usually, in an integrated circuit there will be flop.
several MOSFETs and in order to maintain o There can be added delay/buffer
cut-off condition for all MOSFETs the body that allows less delay to the
substrate is connected to the most negative function that is used.
power supply (in case of PMOS most Question 11. What Are The Different Ways In
positive power supply). Which causes a Which Antenna Violation Can Be Prevented?
reverse bias voltage between source and Answer :
body that effects the transistor operation, by Antenna violation occurs during the process
widening the depletion region. The widened of plasma etching in which the charges
depletion region will result in the reduction generating from one metal strip to another
of channel depth. To restore the channel gets accumlated at a single place. The longer
depth to its normal depth the VGS has to be the strip the more the charges gets
increased. This is effectively seen as change accumulated. The prevention can be done by
in the threshold voltage - Vt. This effect, following method:
which is caused by applying some voltage to Creating a jogging the metal line, that
body is known as body effect. consists of atleast one metal above the
Question 9. Give Various Factors On Which protected layer.
Threshold Voltage Depends? There is a requirement to jog the
Answer : metal that is above the metal getting
As discussed in the above question, the the etching effect. This is due to the
Vt depends on the voltage connected to the fact that if a metal gets the etching
Body terminal. It also depends on the then the other metal gets disconnected
temperature, the magnitude of Vt decreases if the prevention measures are not
by about 2mV for every 1oC rise in taken.
temperature. There is a way to prevent it by adding
Question 10. What Are The Steps Required the reverse Diodes at the gates that
To Solve Setup And Hold Violations In Vlsi? are used in the circuits.
Answer : Question 12. What Is The Function Of Tie-
high And Tie-low Cells?
Answer :
6

Tie-high and tie-low are used to connect the time to get removed from the surface
transistors of the gate by using either the of metal.
power or the ground. The gates are connected Use of faster flip-flops that allow the
using the power or ground then it can be transaction to be more faster and it
turned off and on due to the power bounce removes the delay time between the
from the ground. The cells are used to stop one component to another component.
the bouncing and easy from of the current It uses a narrower metastable window
from one cell to another. These cells are that makes the delay happen but faster
required Vdd that connects to the tie-high flip-flops help in making the process
cell as there is a power supply that is high faster and reduce the time delay as
and tie-low gets connected to Vss. This well.
connection gets established and the Question 15. What Are The Different Design
transistors function properly without the need Constraints Occur In The Synthesis Phase?
of any ground bounce occurring in any cell. Answer :
Question 13. What Is The Main Function Of The steps that are involved in which the
Metastability In Vsdl? design constraint occurs are:
Answer : first the creation of the clock with the
Metastability is an unknown state that is frequency and the duty cycle gets
given as neither one or zero. It is used in created. This clock helps in
designing the system that violates the setup maintaining the flow and
or hole time requirements. The setup time synchronizing various devices that are
requirement need the data to be stable before used.
the clock-edge and the hold time requires the Define the transition time according
data to be stable after the clock edge has the requirement on the input ports.
passed. There are potential violation that can The load values are specified for the
lead to setup and hold violations as well. The output ports that are mapped with the
data that is produced in this is totally input ports.
asynchronous and clocked synchronous. This Setting of the delay values for both
provide a way to setup the state through the input and output ports. The delay
which it can be known that the violations that includes the input and output delay.
are occuring in the system and a proper Specify the case-settings to report the
design can be provided by the use of several correct time that are matched with the
other functions. specific paths.
Question 14. What Are The Steps Involved In The clock uncertainty values are setup
Preventing The Metastability? and hold to show the violations that
Answer : are occurring.
Metastability is the unknown state and it Question 16. What Are The Different Types
prevents the violations using the following Of Skews Used In Vlsi?
steps: Answer :
There are three types of skew that are used in
proper synchronizers are used that can VLSI. The skew are used in clock to reduce
be two stage or three stage whenever the delay or to understand the process
the data comes from the asynchronous accordingly. The skew are as follows:
domain. This helps in recovering the
metastable state event. Local skew: This contain the difference
The synchronizers are used in between the launching flip-flop and the
between cross-clocking domains. This destination flip-flop. This defines a time path
reduces the metastability by removing between the two.
the delay that is caused by the data Global skew: Defines the difference
element that are coming and taking between the earliest component reaching the
flip flow and the the latest arriving at the flip
7

flow with the same clock domain. In this Use of redundant vias to reduce the
delays are not measured and the clock is breakage of the current and the
provided the same. barrier.
Useful skew: Defines the delay in capturing Optimal placing of the de-coupling
a flip flop paths that helps in setting up the capacitances can be done so that there
environment with specific requirement for is a reduction in power-surges.
the launch and capture of the timing path. Question 19. What Is The Difference Between
The hold requirement in this case has to be The Mealy And Moore State Machine?
met for the design purpose. Answer :
Question 17. What Are The Changes That Are Moore model consists of the machine
Provided To Meet Design Power Targets? that have an entry action and the
Answer : output depends only on the state of
To meet the design power target there should the machine, whereas mealy model
be a process to design with Multi-VDD only uses Input Actions and the
designs, this area requires high performance, output depends on the state and also
and also the high VDD that requires low- on the previous inputs that are
performance. This is used to create the provided during the program.
voltage group that allow the appropriate Moore models are used to design the
level-shifter to shift and placed in cross- hardware systems, whereas both
voltage domains. There is a design with the hardware and software systems can be
multiple threshold voltages that require high designed using the mealy model.
performance when the Vt becomes low. Mealy machine's output depend on
This have lots of current leakage that makes the state and input, whereas the output
the Vt cell to lower the performance. The of the moore machine depends only
reduction can be performed in the leakage on the state as the program is written
power as the clock in this consume more in the state only.
power, so placing of an optimal clock Mealy machine is having the output
controls the module and allow it to be given by the combination of both input and
more power. Clock tree allow the switching the state and the change the state of
to take place when the clock buffers are used state variables also have some delay
by the clock gating cells and reduce the when the change in the signal takes
switching by the power reduction. place, whereas in Moore machine
doesn't have glitches and its ouput is
Question 18. What Are The Different dependent only on states not on the
Measures That Are Required To Achieve The input signal level.
Design For Better Yield? Question 20. What Is The Difference Between
Answer : Synchronous And Asynchronous Reset?
To achieve better yeild then there should be Answer :
reduction in maufacturability flaws. The Synchronous reset is the logic that
circuit perfomance has to be high that will synthesize to smaller flip-flops.
reduces the parametric yield. This reduction In this the clock works as a filter
is due to process variations The measures providing the small reset glitches but
that can be taken are: the glitches occur on the active clock
Creation of powerful runset files that edge, whereas the asynchronous reset
consists of spacing and shorting rules. is also known as reset release or reset
This also consists of all the removal. The designer is responsible
permissions that has to be given to the of added the reset to the data paths.
user. The synchronous reset is used for all
Check the areas where the design is the types of design that are used to
having lithographic issues, that filter the logic glitches provided
consists of sharp cuts. between the clocks. Whereas, the
8

circuit can be reset with or without Behavioral model of comparator represented


the clock present. like:
Synchronous reset doesn't allow the module comp0 (y1,y2,y3,a,b);
synthesis tool to be used easily and it input [1:0] a,b;
distinguishes the reset signal from output y1,y2,y3;
other data signal. The release of the wire y1,y2,y3;
reset can occur only when the clock is assign y1= (a >b)? 1:0;
having its initial period. If the release assign y2= (b >a)? 1:0;
happens near the clock edge then the assign y3= (a==b)? 1:0;
flip-flops can be metastable. endmodule
Question 21. What Are The Different Design Question 23. What Is The Function Of Chain
Techniques Required To Create A Layout For Reordering?
Digital Circuits? Answer :
Answer : The optimization technique that is used
The different design techniques to create the makes it difficult for the chain ordering
Layout for digital circuits are as follows: system to route due to the congestion caused
Digital design consists of the standard by the placement of the cells. There are tool
cells and represent the height that is available that automate the reordering of the
required for the layout. The layout chain to reduce the congestion that is
depends on the size of the transistor. produced at the first stage. It increases the
It also consists of the specification for problem of the chain system and this also
Vdd and GND metal paths that has to allow the overcoming of the buffers that have
be maintained uniformly. to be inserted into the scan path.
Use of metal in one direction only to The increase of the hold time in the chain
apply the metal directly. The metal reordering can cause great amount of delay.
can be used and displayed in any Chain reordering allows the cell to be come
direction. in the ordered format while using the
Placing of the substrate that place different clock domains. It is used to reduce
where it shows all the empty spaces the time delay caused by random generation
of the layout where there is of the element and the placement of it.
resistances.
Use of fingered transistors allows the Question 24. What Are The Steps Involved In
design to be more easy and it is easy Designing An Optimal Pad Ring?
to maintain a symmetry as well. Answer :
Question 22. Write A Program To Explain To make the design for an optimal
The Comparator? pad ring there is a requirement for the
Answer : corner-pads that comes across all the
To make a comparator there is a requirement corners of the pad-ring. It is used to
to use multiplexer that is having one input give power continuity and keep the
and many outputs. This allows the choosing resistance low.
of the maximum numbers that are required to It requires the pad ring that is to fulfil
design the comparator. The implementation the power domains that is common
of the 2 bit comparator can be done using the for all the ground across all the
law of tigotomy that states that A > B, A < B, domains.
A = B (Law of trigotomy). The comparator It requires the pad ring to contain
can be implemented using: simultaneous switching noise system
that place the transfer cell pads in
combinational logic circuits or multiplexers cross power domains for different pad
that uses the HDL language to write the length.
schematic at RTL and gate level.
9

Drive strength is been seen to check in many technologies to represent the actual
the current requirements and the logic circuit. It defines the logic family that
timings to make the power pads. is dependent on the silicon VLSI. This
Choose a no-connection pad that is consists of pull-down switches and loads for
used to fill the pad-frame when there pull-ups.
is no requirement for the inputs to be Question 27. What Is The Difference Between
given. This consumes less power Nmos And Pmos Technologies?
when there is no input given at a Answer :
particular time. PMOS consists of metal oxide
Checking of the oscillators pads take semiconductor that is made on the n-
place that uses the synchronous type substrates and consists of active
circuits to make the clock data careers named as holes. These holes
synchronize with the existing one. are used for migration purpose of the
Question 25. What Is The Function Of charges between the p-type and the
Enhancement Mode Transistor? drain. Whereas, NMOS consists of
Answer : the metal oxide semiconductor and
The enhancement mode transistors are also they are made on p-type substrates. It
called as field effect transistors as they rely consists of electrons as their carriers
on the electric filed to control the shape and and migration happens between the n-
conductivity of the channel. This consists of type source and drain.
one type of charge carrier in a semiconductor On applying the high voltage on the
material environment. This also uses the logic gates NMOS will be conducted
unipolar transistors to differentiate and will get activated, whereas PMOS
themselves with the single-carrier type require low voltage to be activated.
operation transistors that consists of the NMOS are faster than PMOS as the
bipolar junction transistor. carriers that NMOS uses are electrons
The uses of field effect transistor is to that travels faster than holes. The
physical implementation of the speed is twice as fast as holes.
semiconductor materials that is compared PMOS are more immune to noice
with the bipolar transistors. It provides with than NMOS.
the majority of the charge carrier devices. Question 28. What Is The Difference Between
The devices that consists of active channels Cmos And Bipolar Technologies?
to make the charge carriers pass through. It Answer :
consists of the concept of drain and the CMOS technology allows the power
source. dissipation to be low and it gives
Question 26. What Is The Purpose Of Having more power output, whereas bipolar
Depletion Mode Device? takes lots of power to run the system
Answer : and the ciricutary require lots of
Depletion modes are used in MOSFET it is a power to get activated.
device that remains ON at zero gate-source CMOS technology provides high
voltage. This device consists of load resistors input impedance that is low drive
that are used in the logic circuits. This types current that allow more current to be
are used in N-type depletion-load devices flown in the cirucit and keep the
that allow the threshold voltages to be taken circuit in a good position, whereas it
and use of -3 V to +3V is done. provides high drive current means
more input impedance.
The drain is more positive in this comparison CMOS technology provides scalable
of PMOS where the polarities gets reversed. threshold voltage more in comparison
The mode is usually determined by the sign to the Bipolar technology that
of threshold voltage for N-type channel. provides low threshold voltage.
Depletion mode is the positive one and used
10

CMOS technology provides high per the language, the instanciation of a


noise margin, packing density module will behave like the parent module in
whereas Bipolory technology allows terms of functionality, where during
to have low noise margin so that to synthesis stage we need the full code so that
reduce the high volues and give low the synthesis tool can study the logic ,
packing density of the components. structure and map it to the library cells, so we
Question 29. What Are The Different use a command in synthesis , called as
Classification Of The Timing Control? "UNIQUIFY" which will replace the
Answer : instantiations with the real logic, because
There are different classification in which the once we are in a synthesis stages we have to
timing control data is divided and they are: visualize as real cells and no more modelling
Delay based timing control: this is just for functionality alone, we need to
based on timing control that allows to visualize in-terms of physical world as well.
manage the component such that the Question 31. What Is Tie-high And Tie-low
delay can be notified and wherever it Cells And Where It Is Used?
is required it can be given. The delays Answer :
that are based on this are as: Tie-high and Tie-Low cells are used to
connect the gate of the transistor to either
- Regular delay control: that controls power or ground. In deep sub micron
the delay on the regular basis. processes, if the gate is connected to
- Intra-assignment delay control: that power/ground the transistor might be turned
controls the internal delays. on/off due to power or ground bounce. The
- Zero delay control suggestion from foundry is to use tie cells for
this purpose. These cells are part of standard-
Events based timing control: this is cell library. The cells which require Vdd,
based on the events that are comes and connect to Tie high...(so tie high
performed when an event happens or is a power supply cell)...while the cells
a trigger is set on an event that takes which wants Vss connects itself to Tie-low.
place. It includes Question 32. What Is The Difference Between
Latches And Flip-flops Based Designs?
- Regular event control Answer :
- Named event control Latches are level-sensitive and flip-flops are
- Event OR control edge sensitive. latch based design and flop
based design is that latch allowes time
Level sensitive timing control: this is borrowing which a tradition flop does not.
based on the levels that are given like That makes latch based design more
0 level or 1 level that is being given efficient. But at the same time, latch based
or shown and the data is being design is more complicated and has more
modified according the levels that are issues in min timing (races). Its STA with
being set. When a level changes the time borrowing in deep pipelining can be
timing control also changes. quite complex.
Question 30. We Have Multiple Instances In
Rtl(register Transfer Language), Do You Do Question 33. What Is Local-skew, Global-
Anything Special During Synthesis Stage? skew,useful-skew Mean?
Answer : Answer :
While writing RTL(Register Transfer Local skew : The difference between the
language),say in verilog or in VHDL clock reaching at the launching flop vs the
language, we dont write the same module clock reaching the destination flip-flop of a
functionality again and again, we use a timing-path.
concept called as instantiation, where in as
11

Global skew : The difference between the model is specified by adding an after clause
earliest reaching flip-flop and latest reaching to the signal assignment statement. Inertial
flip-flop for a same clock-domain. delay is basically a default delay, i.e it's a
Useful skew: Useful skew is a concept of component delay.
delaying the capturing flip-flop clock path, 3. Transport delay - Tranport delay adds the
this approach helps in meeting setup propogation delay to the signal. The transport
requirement with in the launch and capture delay model just delays the change in
timing path. But the hold-requirement has to the output by the time specified in the after
be met for the design. clause. Transport delay basically represents a
wire delay.
Question 1. What Is Vhdl? e.g. q <=transport a nor b after 1ns ;
Answer : Question 4. What Are Generics?
VHDL stands for "VHSIC Hardware Answer :
Description Language." VHSIC, in turn, Generics are a way to provide static
stands for "Very High Speed Integrated information to the VHDL
Circuit," which was a U.S. Department of program. Immediately after writing entity
Defense program. name, we will mention the generics, this
Question 2. What Can Be The Various Uses generics will provide the data for entire
Of Vhdl? program. Generics basically allow a design
Answer : entity to be described so that,for each use of
The VHDL language can be used for that component,its structure and behavior can
several goals like - be changed by generic values.In general they
To synthesize digital circuits. are used to construct parameterized hardware
To verify and validate digital designs. components.Generics can be of any type.but
To generate test vectors to test mostly we will give the timing details there.
circuits. E.g. :- generic ( width : integer := 7 );
To simulate circuits. Generic is a great asset when you use your
Question 3. Explain Various Types Of Delays design at many places with slight change in
In Vhdl ? the register sizes,input sizes etc. But if the
Answer : design is very unique then,you need not have
The Various types of delays in VHDL are :- generic parameters. Also, Generic's
are synthesizable.
1. Delta delay - In VHDL simulations, all
signal assignments occur with Question 5. What Is The Difference Between
some infinitesimal delay, known as delta Concurrent & Sequential Statements?
delay. VHDL uses the concept of delta delay Answer :
to keep track of processes that should occur Concurrent statements define interconnected
at a given time step,but are actually evaluated processes and blocks that together describe a
in different machine cycles .A delta delay is design’s overall behavior or structure. They
a unit of time as far as the simulator can be grouped using block statement.
hardware is concerned, but in the simulation Groups of blocks can also be partitioned into
itself time has no advance. Technically, delta other blocks. At the same level, a VHDL
delay is of no measurable unit, but from a component can be connected to define
hardware design perspective one should signals within the blocks It is a reference to
think of delta delay as being the smallest an entity A process can be a single signal
time unit one could measure, such as a assignment statement or a series of sequential
femtosecond(fs). statements (SS) Within a process, procedures
2. Inertial delay - The inertial delay causes and functions can partition the sequential
the pulses less than specified delay to get statements.
suppressed & will not propogate these pulses Question 6. Are Verilog/vhdl Concurrent Or
to change the output. The inertial delay Sequential Language In Nature?
12

Answer : Answer :
Verilog and VHDL both are concurrent using after clause.
languages. Any hardware descriptive Question 15. Mention The Two Delays In
language is concurrent in nature. Vhdl?
Question 7. How Do You Implement Multiply Answer :
And Divide Operation With Power Of 2 In Inertial delay
Vhdl? Transport delay.
Answer : Question 16. What Is An Alias And Write Its
Left shift is equivalent to multiply operation Syntax?
and right shift is equivalent to divide Answer :
operation. Hence using shift operations the Alias is an alternative name assigned to part
same can be easily and efficiently of an object. alias alias_name : subtype
implemented. isname
Question 8. What Is A D-latch? Question 17. What Is The Difference Between
Answer : Array And Record?
D latch is a device it simply transfers data Answer :
from input to output when the enable is Array contain many elements of the same
activated.its used for the forming of d flip type. But Record contains many elements of
flops. different types.
Question 9. What Is The Use Of Subtype In Question 18. Which Are The Two Composite
Vhdl? Types?
Answer : Answer :
Subtype is mainly used for range checking Array and Record.
and for imposing additional constraints Question 19. Which Are The Major Data
ontypes. Types In Vhdl?
Question 10. List Out All Ieee Standard Answer :
Libraries Available In Vhdl? Scalar Types and Composite Types.
Answer : Question 20. List Out The Objects Of Vhdl?
std_logic_1164. Answer :
numeric_std. Signal, Variable, Constant.
numeric_bit.
std_logic_arith. Question 21. Is That Object Of Type Real Is
std_logic_unsigned. Supported In Vhdl? And Mention The
Question 11. Which Is The Default Delay In Reason?
Vhdl? Answer :
Answer : No, because floating point numbers cannot
delta delay. be mapped to hardware.
Question 12. What Is Propagation Delay? Question 22. What Are Signals?
Answer : Answer :
Transport delay models the behavior of a Signals are like a wires which connect design
wire, in which all pulses are entities together and communicatechanges in
propagatedirrespective there width. values within a design.
Question 13. What Is Inertial Delay? Question 23. List Out The Four Modes For
Answer : Port In Vhdl?
This is the delay often found in switching Answer :
circuits where spikes will not in,out,inout,buffer.
propogatefurther in circuit. Question 24. List Out The Levels Of
Question 14. How Will You Specify The Delay Abstractions In Vhdl?
In Vhdl? Answer :
13

Data flow level, Structural Level, Behavioral  Chip is generally rectangular in shape;
Level. blocks can be rectangular, rectilinear.
Question 25. Which Type Of Assignment  Chip design requires several packaging;
Statements Will Be Used In Data Flow Level block design ends in a macro.
And Behavioural Level?
Answer : How do you place macros in a full chip
Concurrent statements will be used in data design?
flow level and Sequential statements will  First check flylines i.e. check net
connections from macro to macro and macro to
beused in behavioral level.
standard cells.
Question 26. What Is The Difference Between  If there is more connection from macro
Sequential Circuit And Combinational to macro place those macros nearer to each
Circuit? other preferably nearer to core boundaries.
Answer :
 If input pin is connected to macro
Sequential circuit uses flip flops. Sequential better to place nearer to that pin or pad.
circuits have state, which means
 If macro has more connection to
basicallythey have memory. They compute
standard cells spread the macros inside core.
the output based on input and the state and
updated basedon clocks. A combinational  Avoid criscross placement of macros.
circuit does not have any states. They are  Use soft or hard blockages to guide
functions of only inputs but not clocks. They placement engine.
are basically used to implement Boolean
function. Differentiate between a Hierarchical Design
and flat design?
Question 27. What Do We Need To Generate
 Hierarchial design has blocks, subblocks
Hardware From Vhdl Model? in an hierarchy; Flattened design has no
Answer : subblocks and it has only leaf cells.
We need following tools
 Hierarchical design takes more run
Simulation tool. time; Flattened design takes less run time.
Synthesis tool.
Implementation tool. Which is more complicated when u have a 48
Question 28. What Are The Properties Of MHz and 500 MHz clock design?
Signal?  500 MHz; because it is more
Answer : constrained (i.e.lesser clock period) than 48
Type and Type attributes, value, time. MHz design.
Question 29. Which Is The Signal Assignment
Operator? Name few tools which you used for physical
Answer : verification?
“ < = “.  Herculis from Synopsys, Caliber from
Mentor Graphics.
Question 30. How The Signal Acts Within A
Process And Outside The Process? What are the input files will you give for
Answer : primetime correlation?
Signal assignment is concurrent outside the  Netlist, Technology library,
process and sequential within a process. Constraints, SPEF or SDF file.
What parameters (or aspects) differentiate
Chip Design and Block level design? If the routing congestion exists between two
 Chip design has I/O pads; block design macros, then what will you do?
has pins.  Provide soft or hard blockage
 Chip design uses all metal layes
available; block design may not use all metal How will you decide the die size?
layers.
14

 By checking the total area of the design


you can decide die size. How did you do power planning? How to
calculate core ring width, macro ring width
If lengthy metal layer is connected to and strap or trunk width? How to find number
diffusion and poly, then which one will affect of power pad and IO power pads? How the
by antenna problem? width of metal and number of straps
 Poly calculated for power and ground?
 Get the total core power consumption;
 get the metal layer current density value from
If the full chip design is routed by 7 layer the tech file; Divide total power by number
metal, why macros are designed using 5LM sides of the chip; Divide the obtained value
instead of using 7LM? from the current density to get core power ring
 Because top two metal layers are width. Then calculate number of straps using
required for global routing in chip design. If top some more equations. Will be explained in
metal layers are also used in block level it will detail later.
create routing blockage. How to find total chip power?
 Total chip power=standard cell power
In your project what is die size, number of consumption,Macro power consumption pad
metal layers, technology, foundry, number of power consumption.
clocks?
 Die size: tell in mm eg. 1mm x 1mm ; What are the problems faced related to
remeber 1mm=1000micron which is a big size !! timing?
 Metal layers: See your tech file.  Prelayout: Setup, Max transition, max
generally for 90nm it is 7 to 9. capacitance
 Technology: Again look into tech files.  Post layout: Hold
 Foundry:Again look into tech files; eg.
TSMC, IBM, ARTISAN etc How did you resolve the setup and hold
 Clocks: Look into your design and SDC problem?
file !  Setup: upsize the cells
 Hold: insert buffers
How many macros in your design?
 You know it well as you have designed In which layer do you prefer for clock routing
it ! A SoC (System On Chip) design may have and why?
100 macros also !!!!  Next lower layer to the top two metal
layers(global routing layers). Because it has less
What is each macro size and number of resistance hence less RC delay.
standard cell count?
 Depends on your design. If in your design has reset pin, then it’ll
affect input pin or output pin or both?
What are the input needs for your design?  Output pin.
 For synthesis: RTL, Technology library,
Standard cell library, Constraints During power analysis, if you are facing IR
 For Physical design: Netlist, Technology drop problem, then how did you avoid?
library, Constraints, Standard cell library  Increase power metal layer width.
 Go for higher metal layer.
What is SDC constraint file contains?  Spread macros or standard cells.
 Clock definitions  Provide more straps.
 Timing exception-multicycle path, false
path Define antenna problem and how did you
 Input and Output delays resolve these problem?
15

 Increased net length can accumulate


more charges while manufacturing of the
device due to ionisation process. If this net is  It is defined as the delay between an
connected to gate of the MOSFET it can input and output pair of a cell, when a near
damage dielectric property of the gate and zero slew is applied to the input pin and the
gate may conduct causing damage to the output does not see any load condition.It is
MOSFET. This is antenna problem. predominantly caused by the internal
 Decrease the length of the net by capacitance associated with its transistor.
providing more vias and layer jumping.
 Insert antenna diode.  This delay is largely independent of the
size of the transistors forming the gate because
How delays vary with different PVT increasing size of transistors increase internal
conditions? Show the graph. capacitors.
 P increase->dealy increase
 P decrease->delay decrease  Net Delay (or wire delay)

 The difference between the time a


 V increase->delay decrease signal is first applied to the net and the time it
reaches other devices connected to that net.
 V decrease->delay increase

 It is due to the finite resistance and


capacitance of the net.It is also known as wire
 T increase->delay increase delay.
 T decrease->delay decrease
 Wire delay =fn(Rnet , Cnet+Cpin)
Explain the flow of physical design and inputs
and outputs for each step in flow.
What are delay models and what is the
 Click here to see the flow diagram difference between them?
 Linear Delay Model (LDM)
What is cell delay and net delay?
 Non Linear Delay Model (NLDM)

 Gate delay
What is wire load model?
 Transistors within a gate take a finite  Wire load model is NLDM which has
time to switch. This means that a change on estimated R and C of the net.
the input of a gate takes a finite time to cause
a change on the output.[Magma]
Why higher metal layers are preferred for
Vdd and Vss?
 Gate delay =function of(i/p transition  Because it has less resistance and
time, Cnet+Cpin). hence leads to less IR drop.

 Cell delay is also same as Gate delay. What is logic optimization and give some
methods of logic optimization.
 Upsizing
 Cell delay
 Downsizing
 For any gate it is measured between
50% of input transition to the corresponding  Buffer insertion
50% of output transition.  Buffer relocation
 Intrinsic delay  Dummy buffer placement
 Intrinsic delay is the delay internal to
the gate. Input pin of the cell to output pin of
the cell.
16

 Network latency
What is the significance of negative slack?
 negative slack==> there is setup  It is also known as Insertion delay or
voilation==> deisgn can fail Network latency. It is defined as "the delay
from the clock definition point to the clock pin
What is signal integrity? How it affects of the register".
Timing?  The time clock signal (rise or fall) takes
 IR drop, Electro Migration (EM), to propagate from the clock definition point to
Crosstalk, Ground bounce are signal integrity a register clock pin.
issues.
 If Idrop is more==>delay increases. What is track assignment?
 crosstalk==>there can be setup as well  Second stage of the routing wherein
as hold voilation. particular metal tracks (or layers) are assigned
to the signal nets.
What is IR drop? How to avoid? How it affects
timing? What is congestion?
 There is a resistance associated with  If the number of routing tracks
each metal layer. This resistance consumes available for routing is less than the required
power causing voltage drop i.e.IR drop. tracks then it is known as congestion.
 If IR drop is more==>delay increases.
Whether congestion is related to placement
What is EM and it effects? or routing?
 Due to high current flow in the metal  Routing
atoms of the metal can displaced from its
origial place. When it happens in larger amount What are clock trees?
the metal can open or bulging of metal layer  Distribution of clock from the clock
can happen. This effect is known as Electro source to the sync pin of the registers.
Migration.
 Affects: Either short or open of the What are clock tree types?
signal line or power line.  H tree, Balanced tree, X tree,
Clustering tree, Fish bone
What are types of routing?
 Global Routing What is cloning and buffering?
 Track Assignment  Cloning is a method of optimization
that decreases the load of a heavily loaded cell
 Detail Routing by replicating the cell.
 Buffering is a method of optimization
What is latency? Give the types? that is used to insert beffers in high fanout nets
 Source Latency to decrease the dealy
 It is known as source latency also. It is Do you know about input vector controlled
defined as "the delay from the clock origin method of leakage reduction?
point to the clock definition point in the
design".
 Leakage current of a gate is dependant
 Delay from clock source to beginning of on its inputs also. Hence find the set of inputs
clock tree (i.e. clock definition point). which gives least leakage. By applyig this
minimum leakage vector to a circuit it is
 The time a clock signal takes to possible to decrease the leakage current of the
propagate from its ideal waveform origin point circuit when it is in the standby mode. This
to the clock definition point in the design. method is known as input vector controlled
method of leakage reduction.
17

How can you reduce dynamic power?

 -Reduce switching activity by designing What is the most challenging task you
good RTL handled?
What is the most challenging job in P&R flow?
 -Clock gating
 -Architectural improvements  -It may be power planning- because you
 -Reduce supply voltage found more IR drop
 -Use multiple voltage domains-Multi  -It may be low power target-because
vdd you had more dynamic and leakage power
What are the vectors of dynamic power?  -It may be macro placement-because it
had more connection with standard cells or
 Voltage and Current macros
 -It may be CTS-because you needed to
If you have both IR drop and congestion how handle multiple clocks and clock domain
will you fix it? crossings
 -It may be timing-because sizing cells in
 -Spread macros ECO flow is not meeting timing
 -Spread standard cells  -It may be library preparation-because
 -Increase strap width you found some inconsistancy in libraries.
 -Increase number of straps  -It may be DRC-because you faced
thousands of voilations
 -Use proper blockage
How will you synthesize clock tree?
Is increasing power line width and providing
more number of straps are the only solution
to IR drop?  -Single clock-normal synthesis and
optimization
 -Spread macros  -Multiple clocks-Synthesis each clock
seperately
 -Spread standard cells
 -Multiple clocks with domain crossing-
 -Use proper blockage Synthesis each clock seperately and balance
the skew
In a reg to reg path if you have setup problem
where will you insert buffer-near to How many clocks were there in this project?
launching flop or capture flop? Why?
 -It is specific to your project
 (buffers are inserted for fixing fanout
voilations and hence they reduce setup  -More the clocks more challenging !
voilation; otherwise we try to fix setup
voilation with the sizing of cells; now just How did you handle all those clocks?
assume that you must insert buffer !)
 Near to capture path.  -Multiple clocks-->synthesize
seperately-->balance the skew-->optimize the
 Because there may be other paths
clock tree
passing through or originating from the flop
nearer to lauch flop. Hence buffer insertion
may affect other paths also. It may improve all Are they come from seperate external
those paths or degarde. If all those paths have resources or PLL?
voilation then you may insert buffer nearer to
launch flop provided it improves slack.  -If it is from seperate clock sources
(i.e.asynchronous; from different pads or pins)
18

then balancing skew between these clock signal switches fast then also we can use
sources becomes challenging. double space.
 -If it is from PLL (i.e.synchronous) then  Double spacing=>width is
skew balancing is comparatively easy. more=>capacitance is less=>less cross talk
 Multiple vias=>resistance in
Why buffers are used in clock tree? parellel=>less resistance=>less RC delay

 To balance skew (i.e. flop to flop


delay) How buffer can be used in victim to avoid
crosstalk?
What is cross talk?
 Buffer increase victims signal strength;
 Switching of the signal in one net can buffers break the net length=>victims are more
interfere neigbouring net due to cross coupling tolerant to coupled signal from aggressor.
capacitance.This affect is known as cros talk. Question 1. What Is Latch Up?
Cross talk may lead setup or hold voilation.
Answer :
Latch-up pertains to a failure mechanism
How can you avoid cross talk?
wherein a parasitic thyristor (such as a
parasitic silicon controlled rectifier, or
 -Double spacing=>more spacing=>less
capacitance=>less cross talk SCR) is inadvertently created within a
 -Multiple vias=>less resistance=>less RC
circuit, causing a high amount of current
delay to continuously flow through it once it is
 -Shielding=> constant cross coupling accidentally triggered or turned on.
capacitance =>known value of crosstalk Depending on the circuits involved, the
 -Buffer insertion=>boost the victim amount of current flow produced by this
strength mechanism can be large enough to result
in permanent destruction of the device
How shielding avoids crosstalk problem? What due to electrical overstress (EOS).
exactly happens there?
Question 2. Why Is Nand Gate
Preferred Over Nor Gate For
 -High frequency noise (or glitch)is
coupled to VSS (or VDD) since shilded layers are Fabrication?
connected to either VDD or VSS. Answer :
 Coupling capacitance remains constant NAND is a better gate for design than
with VDD or VSS. NOR because at the transistor level the
mobility of electrons is normally three
How spacing helps in reducing crosstalk times that of holes compared to NOR and
noise? thus the NAND is a faster gate.
Additionally, the gate-leakage in NAND
 width is more=>more spacing between
two conductors=>cross coupling capacitance is structures is much lower. If you consider
less=>less cross talk t_phl and t_plh delays you will find that
it is more symmetric in case of NAND (
Why double spacing and multiple vias are the delay profile), but for NOR, one
used related to clock? delay is much higher than the
other(obviously t_plh is higher since the
 Why clock?-- because it is the one higher resistance p mos's are in series
signal which chages it state regularly and more
connection which again increases the
compared to any other signal. If any other
resistance).
19

Question 3. What Is Noise Margin? In the serially connected NMOS logic the
Explain The Procedure To input capacitance of each gate shares the
Determine Noise Margin? charge with the load capacitance by
Answer : which the logical levels drastically
The minimum amount of noise that can mismatched than that of the desired once.
be allowed on the input stage for which To eliminate this load capacitance must
the output will not be effected. be very high compared to the input
Question 4. Explain Sizing Of The capacitance of the gates (approximately
Inverter? 10 times).
Answer : Question 10. Why Do We Gradually
In order to drive the desired load Increase The Size Of Inverters In Buffer
capacitance we have to increase the size Design? Why Not Give The Output Of A
(width) of the inverters to get an Circuit To One Large Inverter?
optimized performance. Answer :
Question 5. What Happens To Delay Because it can not drive the output load
If You Increase Load Capacitance? straight away, so we gradually increase
Answer : the size to get an optimized performance.
delay increases. Question 11. What Is Latch Up? Explain
Question 6. What Happens To Delay If Latch Up With Cross Section Of A Cmos
We Include A Resistance At The Output Inverter. How Do You Avoid Latch Up?
Of A Cmos Circuit? Answer :
Answer : Latch-up is a condition in which the
Increases. (RC delay) parasitic components give rise to the
Establishment of low resistance
Question 7. What Are The Limitations In conducting path between VDD and VSS
Increasing The Power Supply To Reduce
with Disastrous results.
Delay?
Answer : Question 12. Give The Expression For
The delay can be reduced by increasing Cmos Switching Power Dissipation?
the power supply but if we do so the Answer :
heating effect comes because of CV2
excessive power, to compensate this we Question 13. What Is Body Effect?
have to increase the die size which is not Answer :
practical. In general multiple MOS devices are
Question 8. For Cmos Logic, Give The made on a common substrate. As a result,
Various Techniques You Know To the substrate voltage of all devices is
Minimize Power Consumption? normally equal. However while
Answer : connecting the devices serially this may
Power dissipation=CV2f ,from this result in an increase in source-to-
minimize the load capacitance, dc substrate voltage as we proceed vertically
voltage and the operating frequency. along the series chain (Vsb1=0, Vsb2
0).Which results Vth2>Vth1.
Question 9. What Is Charge Sharing?
Explain The Charge Sharing Problem Question 14. Why Is The Substrate In
While Sampling Data From A Bus? Nmos Connected To Ground And In Pmos
Answer : To Vdd?
Answer :
20

we try to reverse bias not the channel and Question 18. Why Pmos And Nmos Are
the substrate but we try to maintain the Sized Equally In A Transmission Gates?
drain,source junctions reverse biased Answer :
with respect to the substrate so that we In Transmission Gate, PMOS and NMOS
dont loose our current into the substrate. aid each other rather competing with
Question 15. What Is The Fundamental each other. That's the reason why we
Difference Between A Mosfet And Bjt? need not size them like in CMOS. In
Answer : CMOS design we have NMOS and
In MOSFET, current flow is either due to PMOS competing which is the reason we
electrons(n-channel MOS) or due to try to size them proportional to their
holes(p-channel MOS) - In BJT, we see mobility.
current due to both the carriers.. electrons Question 19. All Of Us Know How An
and holes. BJT is a current controlled Inverter Works. What Happens When
device and MOSFET is a voltage The Pmos And Nmos Are Interchanged
controlled device. With One Another In An Inverter?
Question 16. Which Transistor Has Answer :
Higher Gain. Bjt Or Mos And Why? I have seen similar Qs in some of the
Answer : discussions. If the source & drain also
BJT has higher gain because it has higher connected properly...it acts as a buffer.
transconductance.This is because the But suppose input is logic 1 O/P will be
current in BJT is exponentially dependent degraded 1 Similarly degraded 0;
on input where as in MOSFET it is Question 20. Give 5 Important Design
square law. Techniques You Would Follow When
Question 17. In Cmos Technology, In Doing A Layout For Digital Circuits?
Digital Design, Why Do We Design The Answer :
Size Of Pmos To Be Higher Than The In digital design, decide the height
Nmos.what Determines The Size Of Pmos of standard cells you want to
Wrt Nmos. Though This Is A Simple layout.It depends upon how big
Question Try To List All The Reasons your transistors will be.Have
Possible? reasonable width for VDD and
Answer : GND metal paths.Maintaining
In PMOS the carriers are holes whose uniform Height for all the cell is
mobility is less[ aprrox half ] than the very important since this will help
electrons, the carriers in NMOS. That you use place route tool easily and
means PMOS is slower than an NMOS. also incase you want to do manual
In CMOS technology, nmos helps in connection of all the blocks it
pulling down the output to ground ann saves on lot of area.
PMOS helps in pulling up the output to Use one metal in one direction
Vdd. If the sizes of PMOS and NMOS only, This does not apply for metal
are the same, then PMOS takes long time 1. Say you are using metal 2 to do
to charge up the output node. If we have horizontal connections, then use
a larger PMOS than there will be more metal 3 for vertical connections,
carriers to charge the node quickly and metal4 for horizontal, metal 5
overcome the slow nature of PMOS . vertical etc...
Basically we do all this to get equal rise
and fall times for the output node.
21

Place as many substrate contact as


possible in the empty spaces of the
layout.
Do not use poly over long
distances as it has huge resistances
unless you have no other choice.
Use fingered transistors as and
when you feel necessary.
Try maintaining symmetry in your
design. Try to get the design in
BIT Sliced manner.
Question 21. What Is Metastability?
When/why It Will Occur?different Ways
To Avoid This?
Answer :
Metastable state: A un-known state in
between the two logical known
states.This will happen if the O/P cap is
not allowed to charge/discharge fully to
the required logical levels.
One of the cases is: If there is a setup
time violation, metastability will
occur,To avoid this, a series of FFs is
used (normally 2 or 3) which will remove
the intermediate states.
Question 22. Let A And B Be Two Inputs
Of The Nand Gate. Say Signal A Arrives
At The Nand Gate Later Than Signal B.
To Optimize Delay Of The Two Series
Nmos Inputs A And B Which One Would
You Place Near To The Output?
Answer :
The late coming signals are to be placed
closer to the output node ie A should go
to the nmos that is closer to the output.

S-ar putea să vă placă și