Sunteți pe pagina 1din 6

2015 International Conference on Information Processing (ICIP)

Vishwakarma Institute of Technology. Dec 16-19, 2015

Design of Area and Delay Efficient Vedic Multiplier


Using Carry Select Adder

Ms. G. R. Gokhale Mr. S. R. Gokhale


E&TC dept. Comp dept.
N. K. Orchid college of Engg & Tech Modern Education Society’s College of Engg
Solapur, India Pune, India
gokhalegayatri1991@gmail.com sohamgokhale96@gmail.com

Abstract— In this paper, Vedic multiplier is designed using


The rest of the paper is as follows. Introduction to Urdhva-
area-efficient Carry Select Adder (CSLA). As the multiplication Tiryakbhyam method is given in section II. Architecture of
is the process of subsequent addition, adder is important block in Vedic multiplier is explained in section III. Conventional carry
implementation of multiplier. Digital adder has problem of carry select adder and proposed design are presented in section IV
propagation, thus carry select adder is used instead of simple and V respectively. Implementation results and conclusion are
Ripple Carry Adder (RCA). Carry select adder is known to be given in sections VI and VII respectively.
one of the fastest adder structures. Here Vedic multiplier is
implemented instead of normal multipliers like add and shift II. ANCIENT VEDIC MATHEMATICAL ALGORITHM
multiplier, array multiplier etc. The goal of this paper is to design
Vedic multiplier based on crosswise and vertical algorithms using By applying sutras Vedic mathematics resolves
area-efficient CSLA. Conventional CSLA designs like Binary to complexicty of calculations. It requires less computaion time
Excess one Converter (BEC) based CSLA and Modified CSLA and less hardware for implemetation. These sutras are
(MCSLA) are compared with proposed CSLA design to prove its basically used for decimal multiplication here it is
efficiency. It shows improved performance in terms of area. This incarporated to binary multiplication.
renovated CSLA is used to design proposed Vedic multiplier. It
has 6% less area than Vedic multiplier using MCSLA and 16% A. Urdhva – Tiryakbhyam Sutra(Vertically and Crosswise)
less area than Vedic multiplier using BEC-based CSLA.
Proposed design is also compared with the Booth multiplier.
In this paper implementation of Vedic multiplication
Proposed multiplier showed more excellent results than Booth technique namely “Urdhva-Tiryakbhyam – Vertically and
multiplier. crosswise” is demonstrated. This technique is more popular
for its high speed working as it generates partial products in
Keywords— Carry Select Adder; Ripple Carry Adder; Binary to parallel manner and then adding partial products
Excess one Converter; Vedic Multiplier; Area-Efficient; Delay. simultaneously. There is reinforcing need of high speed data
processing systems. Vedic multiplier conciliates this need
I. INTRODUCTION without increasing power consumption. It has less complexity
compared to booth multiplier. Vedic multiplier requires less
There is booming in use of portable devices in day to day hardware. Thus Vedic multiplier gives numerous advantages
life ultimately demand for high performance Very Large Scale in terms of area, power, delay and complexity.
Integration (VLSI) systems have been increased. To cope with
these demands various researchers are developing improved B. Example for Vedic multiplication (Decimal
VLSI systems in terms of area, power, delay etc. Multiplier is Multiplication)
one of the important blocks in arithmetic unit. High speed and
area-efficient multiplier is required in various Digital Signal Two decimal numbers 234 and 159 are considered.
Processing (DSP) algorithms. Vedic multiplication is used in Multiplication of these two numbers (234 X 159) is described
various DSP applications like convolution, Fast Fourier with the line diagram for clear understanding as shown in fig.
Transform and microprocessor applications. Vedic 1. At first, two numbers shown with line are multiplied, 2
mathematics is an ancient mathematical technique. Vedic is a digits output is generated. One’s place of this generated result
word obtained from the word “Veda” and its meaning is “store is stored as one’s place of final product and ten’s place of the
house of all knowledge” [1]. These mathematical techniques generated output is hooked up as pre-carry for the next step. In
require less area and they work with high speed. 16 sutras are this way the process perpetuated. At which point, there is
basis of Vedic mathematics. In this paper, 8 by 8 multiplier is more than one digit to multiply then multiply those digits
implemented using “Urdhva-Tiryakbhyam – Vertically and shown with lines and accumulate all those generated products.
crosswise.” Output of this summation is again stored in final result with
 forwarding pre-carry to next steps as explained earlier. In this
way process continues to get final result of multiplication of
two numbers (234 X 159).

978-1-4673-7758-4/15/$31.00 ©2015 IEEE 295


These generated product are added that is (A0 X B1) + (A1
X B0) using half adder. This summation generates output of 2
bits. LSB of this generated output is loaded as second bit of
final result and MSB of this generated output is loaded as pre
carry for next step. Last step is multiplication of MSB of A
with MSB of B that is (A1 X B1). One AND gate is utilized for
this multiplication. This generated product is added with pre
carry of previous step. Thus again one more half adder is
required for it. This half adder generates 2 bits output which is
taken as third and fourth bit of final result. The final result is
given by C2S2S1S0. In this way 2 X 2 Vedic multiplication
process is carried out. The 2 X 2 Vedic multiplication process
is shown with equations.

S0 = A0B0 (1)
Fig. 1. Multiplication of two decimal numbers
C1S1 = A0B1 + A1B0 (2)
C2S2 = C1 + A1B1 (3)
III. ARCHITECTURE AND IMPLEMENTATION OF VEDIC
MULTIPLIER B. 4 X 4 Vedic Multiplier Block
In the previous section Vedic multiplication technique for In this section 4 X 4 Vedic multiplier architecture is
decimal numbers is described. This section explains discussed. Consider two 4-bits numbers such as A and B
architecture of N by N bit multiplier. The Vedic multiplication where A = A3A2A1A0 and B = B3B2B1B0. The LSBs of two
technique can be used for multiplication of binary numbers. numbers (A0 X B0) are multiplied to generate LSB S0 of final
Implementation of 2 X 2 Vedic multiplier block is prime result. Same procedure is hunted here as 2 X 2 Vedic
important in the implementation of 4 X 4 and 8 X 8 Vedic multiplication procedure discussed earlier. Initially pre-carry
multiplier architecture. In this section implementation of 2 X is set to zero. In each and every step generated carry is
2, 4 X 4 and 8 X 8 Vedic multiplier architecture are explained. forwarded to next step and process goes on. At the end C6 and
S6 is obtained. Output lines C6S6S5S4S3S2S1S0 gives finally
A. 2 X 2 Vedic Multiplier Block generated result.
Here, actual Urdhva -Tiryagbhyam Sutra multiplication The 4 X 4 Vedic multiplication block diagram is shown in
technique is applied by to 2 binary numbers with 2 bits each. fig. 3. Here, 2 X 2 Vedic multipliers are used to implement 4
Considering two numbers are A and B where A = A1A0 and B X 4 Vedic multiplier to generate partial product. Three ripple
= B1B0. Least Significant Bit (LSB) of first number A that is carry adders of 4 bits each are used for addition of generated
A0 and LSB of second number B that B0 are multiplied with partial products. The carry output of first two ripple carry
each other (vertical). Generated product is saved as LSB of adders are ORed and output of this OR gate is given to next
final result. Thus, AND gate is used for multiplication of A0 ripple carry adder. Zero inputs are given to some of the ripple
and B0. Fig. 2, shows 2 X 2 multiplication process. Next step carry adders wherever required. The arrangement of ripple
is to multiply LSB of number A with MSB of number B that is carry adders is made in such way that computation time
(A0 X B1) and MSB of number A with LSB of number B that required for whole multiplication process is reduced and speed
is (A1 X B0). Thus, two AND gates are required for this of working is increased.
multiplication.

Fig. 2. 2 X 2 Vedic multiplier architecture Fig. 3. 4 X 4 Vedic multiplier architecture

296
C. 8 X 8Vedic Multiplier Block
Here, implementation of 8 by 8 Vedic multiplier is
outlined. Consider two 8-bits binary numbers namely A and B
where A = A7A6A5A4A3A2A1A0 and B = B7B6B5B4B3B2B1B0.
8 X 8 Vedic multiplication process is mirror to 4 X 4 Vedic
multiplier expressed in the previous sub-section B. Pre-carry
at first step is set to zero. In every step generated carry is
shifted to next step for addition and process continues. At the
end C14 and S14 is obtained. Finally generated result is given in
terms of C14S14S13S12S11S10S9S8S7S6S5S4S3S2S1S0.
Implementation of 8 by 8 Vedic multiplier is clearly
understood from the block diagram as shown in fig. 4. Here,
four 4 X 4 Vedic multiplier blocks and three carry select
adders of 8 bits each are used. The arrangement of the carry
select adders is made in different way such that it requires less
computation time. Some of the carry select adders are given Fig. 5. BEC-Based CSLA
with zero inputs, wherever required. Output of middle
multipliers are added using first CSLA. Output of first CSLA According to the input carry Cin = ‘0’ or ‘1’, final output
and first Vedic multiplier are added using second CSLA. is selected. If Cin = ‘0’ then multiplexer will select output of
Carry outputs from first two CSLAs are ORed and given as RCA else it will select output of BEC. BEC takes the output of
input to the third CSLA to generate final result. RCA and gives output to multiplexer. As the name suggests,
BEC generates output by adding one to its input. Thus,
whenever Cin = ‘1’ multiplexer will select output of BEC.
Accordingly final sum and carry is generated. By using this
BEC-based CSLA, Vedic multiplier [1] is implemented.

B. MCSLA
B. K. Mohanty and S. K. Patel proposed modified CSLA
[3]. The block diagram of that carry select adder is shown in
fig. 6. This CSLA has one Half Sum Generation (HSG) unit,
one Final Sum Generation (FSG) unit, one Carry Generation
(CG) unit, and one Carry Selection (CS) unit. The CG unit
composed of two CGs (CG0 and CG1) corresponding to input-
carry ‘0’ and ‘1’ [3]. Two n bit operands (A and B) are given
to HSG unit that generates n bits S0 and n bits C0. These
generated S0 and C0 are given to CG0 as well as CG1 and n-bit
full carry words C01 and C11. CS unit selects one of the outputs
from full carry words C01 and C11. If Cin = ‘0’ then CS will
select C01, else it will select C11. By using this modified
CSLA, Vedic multiplier [8] is implemented.
Fig. 4. 8 X 8 Vedic multiplier architecture

IV. CONVENTIONAL CARRY SELECT ADDER


In [1] Vedic multiplier is implemented using BEC based
carry select adder whereas in [8] Vedic multiplier is
implemented with MCSLA. Still there is scope to use more
efficient carry select adder instead of CSLA [2] [3]. Hence
new Vedic multiplier is proposed using more efficient carry
select adder. In this paper, 8 X 8 Vedic multiplier is
implemented as shown in fig. 4.

A. BEC Based CSLA


B. Ramkumar and H. M. Kittur [2] presented low power &
area efficient CSLA composed of one RCA & one BEC
instead of twin RCA. The structure of that carry select adder is
shown in fig. 5. Fig. 6. Modified CSLA

297
V. PROPOSED DESIGN
BEC-based CSLA and MCSLA are briefly studied and
noticed the possibility of designing more improved CSLA.
Proposed CSLA consists of basically two units 1) sum and
carry generation unit 2) sum and carry selection unit.
Redundant operations present in previous CSLA designs are
identified and eliminated. This has lent a hand to reduce
number of gates required for the proposed design. So the aim
is to reduce number gates required for the design of CSLA.
Minimizing the number of gates of design involves two steps
• Generate all the prime implicants for the given logic
function f [9].
• Find the set of essential prime implicants. Fig.7. Single stage CSLA
Accordingly new area-efficient carry select adder is
designed. B. Multistage CSLA
By cascading single stage CSLAs, multistage CSLAs are
A. Single Stage CSLA formed. Here different bit widths of CSLA are designed such
as 8-16-32 bits. Proposed CSLA structure at gate level is
In proposed design some logic formulations are made.
shown in fig. 8 where fig. 8 (a) stands for gate level design of
Depending upon the sum and carry functions equal to ‘1’
carry generation unit. Gate level implementation of sum
prime implicants are noted down. Essential prime impicants
generation unit is shown in fig. 8 (b). Gate level design of sum
are combined so that final sum and final carry can be
and carry selection unit is shown in fig. 8 (c). For selection of
generated. Separate sum and carry selection units are used
sum and carry multiplexer is utilized. These are 4:2
after sum and carry generation units to eliminate the redundant
multiplexers obtained from two 2:1 multiplexers one for carry
operations. At first, single stage CSLA is implemented.
selection and other for sum selection.
Depending upon bit pattern of input carry ‘Cin’ final sum and
carry is selected. ‘If Cin = ’0’ then carry selection unit will Multistage CSLA of ‘n’ bit width is shown in fig. 9.
select output ‘p’ from carry generation unit else it will select Equations for sum generation carry generation as well as sum
‘q’. If Cin = ‘0’ then sum selection unit will select output ‘r’ and carry selection are given below.
from sum generation unit else it will select‘s’ as shown in the
fig. 7.

Fig.8. (a) Carry generation unit (b) Sum generation unit (c) Sum and carry selection unit

298
MCSLA based Vedic multiplier. Vedic multiplier is also
compared in terms of delay. Delay is maximum
combinational path delay obtained from the final report.
Proposed Vedic multiplier shows lesser delay than Vedic
multiplier using MCSLA but it has higher delay than BEC-
based Vedic multiplier as shown in fig. 11. Proposed Vedic
multiplier is also compared with Booth multiplier [4] in
terms of area and delay. Results obtained from these
implementations are shown in Table III. Vedic multiplier
shows better performance results than Booth multiplier in
terms of area as well as delay as shown in fig. 12 and fig. 13
respectively. Proposed Vedic multiplier is faster compared
to Booth multiplier.

TABLE I. COMPARISON OF PROPOSED AND EXISTING CSLAS


Fig.9. Multistage CSLA
Width Area
Design
p(i) = a(i) ǜ b(i) (4a) (n) (gate count)

q(i) = a(i) + b(i) (4b) 8 188


BEC based CSLA [2] 16 376
s(i) = p(i) + q(i) (5a)
32 752
r(i) = s(i) (5b)
8 133
c(i) = p(i) if (Cin = 0) (6a)
Modified CSLA [3] 16 266
c(i) = q(i) if (Cin = 1) (6b)
32 532
sum(i) = r(i) if (Cin = 0) 7(a) 8 104
sum(i) = s(i) if (Cin = 1) 7(b) Proposed CSLA 16 208

Equations for carry generation are given by 4(a) and 32 416


4(b). Sum generations are given by equations 5(a) and 5(b).
If ‘Cin = 0’ then output carry c(i) will take input from carry TABLE II. COMPARISON OF PROPOSED AND EXISTING VEDIC
generation unit as p(i) and output sum(i) will take input MULTIPLIER
from sum generation unit as r(i) as given in equations 6(a)
and 6(b). If ‘Cin = 1’ then output carry c(i) will take input Design
Width Area Delay
from carry generation unit as q(i) and output sum(i) will (n) (gate count) (ns)
take input from sum generation unit as s(i) as provided in
equations 7(a) and 7 (b). Here, in all the equations ‘i’ is Vedic Multiplier [1] 8 bits 1545 41.696
equal to 0 to n-1.

VI. IMPLEMENTATION RESULTS Vedic Multiplier [8] 8 bits 1380 45.678

Xilinx tool is used for the simulation. First of all, BEC


Proposed Vedic
based CSLA [2] and MCSLA [3] are designed for 8-16-32 multiplier
8 bits 1293 44.358
bit widths in Xilinx schematic entry tool. Results that is area
for these two CSLAs are shown in Table I. Area required is
conveyed as the number of AND, OR, INV (AOI) gates
required for the design. Here gate count is chosen for 1600
comparison. Table I. shows that proposed CSLA has better
1500 BEC Based Vedic
performance than MCSLA and BEC based CSLA. Thus,
Multiplier
proposed Vedic multiplier design is implemented using 1400
refined CSLA. Vedic multipliers based on BEC based 1300
MCSLA Based
CSLA and MCSLA are designed separately. Table II shows Vedic Multiplier
result obtained from all these Vedic multipliers. Vedic 1200 Proposed Vedic
multiplier is also designed in the Xilinx schematic entry tool 1100
Multiplier
and final report generated after post and route simulation. Area
Here, total number of gate count is obtained. Fig. 10, shows
that proposed Vedic multiplier based on this renovated
CSLA is supreme compared to conventional BEC based and Fig. 10. Area comparison of proposed and conventional Vedic multiplier

299
VII. CONCLUSION
46 Vedic multiplier using carry select adder is implemented
45 here. At first conventional CSLAs like (BEC based CSLA
44 BEC Based Vedic
43 Multiplier and MCSLA) as well as proposed CSLA are implemented.
42 MCSLA Based According to the results obtained, it is concluded that
41 Vedic Multiplier proposed carry select adder requires less number of gates
40
Proposed Vedic
than both MCSLA and BEC based CSLA. On an average,
39
Multiplier proposed carry select adder requires 21 % less area than
Delay MCSLA and 44% less area than BEC based CSLA for
(ns) different bit widths. Hence proposed Vedic multiplier is
implemented using this improved CSLA. Proposed Vedic
multiplier requires less number of gates. On an average,
Fig. 11. Delay comparison of proposed and conventional Vedic multiplier proposed Vedic multiplier requires 6% less area than Vedic
multiplier using MCSLA and 16% less area than Vedic
multiplier using BEC based CSLA. Thus proposed Vedic
TABLE III. COMPARISON OF DELAY AND AREA BETWEEN BOOTH AND multiplier is more area-efficient than conventional Vedic
PROPOSED VEDIC MULTIPLIER
multipliers. Proposed Vedic multiplier is also compared
with conventional Vedic multipliers in terms of delay.
Design
Width Area Delay Proposed Vedic multiplier requires less delay than Vedic
(n) (gate count) (ns) multiplier using MCSLA whereas it requires more delay
than Vedic multiplier using BEC – based CSLA. Proposed
Vedic multiplier is also compared with the Booth multiplier.
Booth Proposed Vedic multiplier has approximately 43 % less area
8 bits 2301 52.677
Multiplier [4] than Booth multiplier. Proposed multiplier is faster than
Booth multiplier as it has approximately 15 % less delay
than Booth multiplier. Thus, Proposed Vedic multiplier is
Proposed Vedic superior to Booth multiplier in terms of delay as well as
8 bits 1293 44.358
Multiplier
area.

2500
References
2000 [1] P. Y. Bhavani, G. Chokkakula, S. P. Reddy and N. R. Samhitha,
“Design of low power and high speed modified carry select adder for
1500
16 bit Vedic multiplier,”IEEE, Feb 2014.
1000 Area [2] B. Ramkumar and H. M. Kittur, “Low-power and area-efficient carry-
select adder,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst.,
500 vol. 20, no. 2, pp. 371–375, Feb. 2012.
[3] B. K. Mohanty and S. K. Patel, “Area–delay–power efficient carry-
0
select adder,” IEEE Trans. Circuits and Systems. vol. 61, no. 6, pp.
Booth Vedic 418-422, June 2014.
[4] R. P. Rajput and M. N. Shanmukha Swamy, “High speed modified
Booth encoder multiplier for signed and unsigned numbers”, UKSim
Fig. 12. Area comparison of Booth and proposed Vedic multiplier 14th International Conference on Modelling and Simulation, pp. 649-
654, March 2012.
[5] H. Thapliyal and H. R. Arbania. “A time-area-power efficient
multiplier and square architecture based on ancient Indian Vedic
54 mathematics”, proceedings of the 2004, International Conference on
VLSI (VLSI’04), Las Vegas, Nevada, pp. 434-439, June 2004.
52 [6] H. D. Tiwari, G. Gankhuyag, C. M. Kim, and Y. B. Cho, “Multiplier
50 design based on ancient Indian Vedic mathematics”, Proc. Int SoC
48 Design Conf., pp.65-68. 2008.
Delay [7] H. Thapliyal and M. B. Srinivas, “VLSI implementation of RSA
46
encryption system using ancient Indian Vedic mathematics”, Center
44 for VLSI and Embedded System Technologies, International Institute
42 of Information Technology Hyderabad-500019, India.
40 [8] G. Gokhale and P. D. Bahirgonde, “Design of Vedic Multiplier using
Area-Efficient Carry Select Adder”, 4th IEEE International
Booth Vedic
Conference on Advances in Computing, Communications and
Informatics (ICACCI-2015), Kochi, August 10-13, 2015, India.
[9] S. Brown and Z. Vranesic, “Fundamentals of digital logic design with
Fig. 13. Delay comparison of Booth and proposed Vedic multiplier VHDL. New Delhi, India: Tata McGraw-Hill 2007.

300

S-ar putea să vă placă și