Documente Academic
Documente Profesional
Documente Cultură
use IEEE.STD_LOGIC_1164.ALL;
entity contador3bits is
Port (
Ena : in STD_LOGIC;
X : in STD_LOGIC;
CLK : in STD_LOGIC;
);
end contador3bits ;
component fflop is
Port ( D : in STD_LOGIC;
en : in STD_LOGIC;
clk: in STD_LOGIC;
component deco7 is
Port (
component divclk is
begin
D(2) <= ((not ena)and qt(2)) or ((not x) and qt(2)and (not qt(1))) or (qt(2) and qt(1) and (not qt(0)))
or ( x and qt(2) and qt(0)) or (ena and (not x) and (not qt(2)) and qt(1) and qt(0)) or (ena and x and
(not qt(2)) and (not qt(1)) and (not qt(0)));
D(1) <= ((not ena) and qt(1)) or ((not x) and qt(1) and (not qt(0))) or ( x and qt(1) and qt(0)) or (ena
and (not x) and (not qt(1)) and qt(0)) or (ena and x and (not qt(1)) and (not qt(0)));
en => ena,
q => qt(2));
en => ena,
q => qt(1));
en => ena,
q => qt(0));
q <= qt;
end Behavioral;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity deco7 is
Port (
end deco7;
begin
process (bcd)
begin
case bcd is
end case;
end process;
end Behavioral;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity divclk is
process(CLK)
begin
count_clk1 <= 0;
else
end if;
end if;
end process;
end Behavioral;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--use IEEE.NUMERIC_STD.ALL;
--library UNISIM;
--use UNISIM.VComponents.all;
entity fflop is -- ESTA OK TOMANDO COMO EJEMPLO EL DE DOS BITS
Port ( D : in STD_LOGIC;
en : in STD_LOGIC;
clk: in STD_LOGIC;
end fflop;
begin
begin
if ( en = '1') then
Q <= D;
end if;
end if;
end process;
end Behavioral;