Sunteți pe pagina 1din 36

Digital VLSI Design

Lecture 1: Introduction
Semester A, 2018-19
Lecturer: Dr. Adam Teman

20 October 2018

Disclaimer: This course was prepared, in its entirety, by Adam Teman. Many materials were copied from sources freely available on the internet. When possible, these sources have been cited;
however, some references may have been cited incorrectly or overlooked. If you feel that a picture, graph, or code example has been copied from you and either needs to be cited or removed,
please feel free to email adam.teman@biu.ac.il and I will address this as soon as possible.
EnICS Labs @BIU
“Educating the future of chip design in Israel.”

Electrical Engineering

NanoElectronics Track

2 © Adam Teman, 2018


Lecture Outline

3 © Adam Teman, 2018


1 2 3 4
Motivation Building a Design Chip Design
Chip Automation Flow

Motivation and
Introduction
Motivation

1992 – The Intel 486DX2


1.2M Transistors

1964 – The Integrated Circuit

1971 – The Intel 4004 2006 – Itanium 2 “Montecito”


2,300 Transistors 1.7B Transistors

© Adam Teman, 2018


Introduced May 2016
Motivation Technology 14nm FinFET
Memory 320KB L1-I$
Core i7-6950X Extreme Edition (Broadwell-E) 320KB L1-D$
2.56MB L2$
25MB L3$
Cores 10
Threads 20
Frequency 3.0-3.5 GHz
Die Size 246 mm2
#Transistors 3.2 B

© Adam Teman, 2018


http://en.wikichip.org/wiki/intel/core_i7ee/i7-6950x
Motivation
• Houston, we have a problem…
10,000 100,000

1,000 10,000
Logic transistors per chip

(K) Trans./Staff-Mo.
100 1000

Productivity
(in millions)

10 Gap 100

1
IC capacity 10

0.1 1

0.01 productivity 0.1

0.001 0.01

“Moore’s Law of Engineers”


7 © Adam Teman, 2018
Motivation
• How on earth do we design such a thing???

8 © Adam Teman, 2018


The Solution:

Design Design Design


Abstraction Automation Re-use (IP)

9 © Adam Teman, 2018


Syllabus
• Lecture 1: Introduction
• Lecture 2: Verilog
• Lecture 3: Logic Synthesis
• Lecture 4: Static Timing Analysis
• Lecture 5: Moving to the
Physical Domain
• Lecture 6: Placement
• Lecture 7: Clock Tree Synthesis
• Lecture 8: Routing
• Lecture 9: I/O and Packaging
• Lecture 10: Design for Test
10 © Adam Teman, 2018
References
• Way too many to state all, and hopefully many are cited on the slides
themselves, but here are a few:
• Rob Rutenbar – “From Logic to Layout” (available on Coursera)
• Nir Sever – Low Power Design (BGU)
• Roy Shor – ‫( תכן לוגי‬BGU)
• IDESA Digital Design Course
• Rabaey “Digital Integrated Circuits” 2nd Edition
• Weste, Harris “CMOS VLSI Design”
• Google (oh, thank you Google!)
• Cadence Support (support.cadence.com)
• Synopsys SolveNet (solvenet.synopsys.com)
• And many, many more…

11 © Adam Teman, 2018


1 2 3 4
Motivation Building a Design Chip Design
Chip Automation Flow

Building a Chip
General Design Approach
• How do engineers build a bridge?

• Divide and conquer !!!!


• Partition design problem into many sub-problems, Partition
which are manageable
• Define mathematical model for sub-problem Model/Solution
and find an algorithmic solution
• Beware of model limitations and check them !!!!!!!
• Implement algorithm in individual design tools, define Tools/Interfaces
and implement general interfaces between the tools
• Implement checking tools for boundary conditions Verify/Validate
• Concatenate design tools to general design flows
which can be managed
• See what doesn’t work and start over. Develop Flow

13 © Adam Teman, 2018


Basic Design Abstraction Another view:

Application
System Level
Algorithm

Register Transfer Level Programming Language

Gate Level OS / Virtual Machine

Instruction Set Architecture


Transistor Level
Microarchitecture
Layout Level
Register-Transfer Level
Mask Level
Circuits

Devices

Physics
14 © Adam Teman, 2018
System Level Abstraction System Level

Register Transfer Level


• Abstract algorithmic description of high-level behavior
• e.g., C-Programming language Gate Level
Port* compute_optimal_route_for_packet
(Packet_t *packet, Channel_t *channel)
{
Transistor Level
static Queue_t *packet_queue;
packet_queue = add_packet(packet_queue, packet);
... Layout Level
}

Mask Level
• Abstract because it does not contain any
implementation details for timing or data
• Efficient to get a compact execution model
as a first design draft
• Difficult to maintain throughout project
because no link to implementation

15 © Adam Teman, 2018


Register-Transfer Level (RTL) System Level

Register Transfer Level


• Cycle accurate model “close” to the hardware
implementation Gate Level
• bit-vector data types and operations as abstraction from
bit-level implementation Transistor Level
• sequential constructs module mark1;
reg [31:0] m[0:8192];
(e.g., if-then-else, reg [12:0] pc; Layout Level
while loops) to support reg [31:0] acc;
reg[15:0] ir;
modeling of complex Mask Level
control flow always
begin
ir = m[pc];
if(ir[15:13] == 3b’000)
pc = m[ir[12:0]];
else if (ir[15:13] == 3’b010)
acc = -m[ir[12:0]];
...
end
endmodule

16 © Adam Teman, 2018


Gate Level Abstraction (GTL) System Level

Register Transfer Level


• Model on finite-state machine level
• Models function in Boolean logic using Gate Level
registers and gates
• Various delay models for gates and wires Transistor Level

Layout Level
3ns 4ns
Mask Level
5ns

17 © Adam Teman, 2018


Transistor to Mask Level System Level

Register Transfer Level


• As we’ve seen in previous courses:
• Transistor Level: Gate Level
• Use compact models to enable
accurate circuit simulation. Transistor Level
• Layout Level:
• Draw polygons to implement the Layout Level
devices and interconnect.
• Mask Level:
Mask Level
• Create actual
photo-masks for
performing
lithography during
fabrication process.

18 Images courtesy of wikipedia © Adam Teman, 2018


The Chip Hall of Fame
• To get started, let’s remember the CPU that started it all
IEEE Spectrum
The Busicom Calculator

• The first commercially available monotlithic CPU.


• Release date: March 1971
• Transistor Count: 2,300 Process: 10 um pMOS IEEE Spectrum
Federico Faggin and
• Frequency: 740 KHz 4-bit data bus the 4004 layout
• Designed as a side project to drum up some cash,
while Intel developed its real product line, memory chips.
• Developed as part of a 4-chip product line (MCS-4 chipset)
for the Busicom calculator.
2018 Inductee to the IEEE Chip Hall of Fame wikipedia
1 2 3 4
Motivation Building a Design Chip Design
Chip Automation Flow

Design Automation
The (really) Olden Days
• Early chips were prepared entirely by hand:

Schematic of Intel 4004 (1971)

Mainframe CAD System (1967)


21 http://www.computerhistory.org/revolution/digital-logic © Adam Teman, 2018
The (really) Olden Days
• Early chips were prepared entirely by hand:

Hand drawn gate layout (Fairchild)

Rubylith Operators (1970) The original Tape-Out?

http://www.computerhistory.org/revolution/digital-logic
8088A
22 Mask Transparent Overlays (1976) © Adam Teman, 2018
Design Automation Today
Design: Simulation: Validation:
• High-Level Synthesis • Transistor Simulation • ATPG
• Logic Synthesis • Logic Simulation • BIST
• Schematic Capture • Hardware Emulation
• Layout • Technology CAD
• PCB Design • Field Solvers

Analysis and Verification: Mask Preparation:


• Functional Verification • Optical Proximity Correction (OPC)
• Clock Domain Crossing • Resolution Enhancement Techniques
• Formal Verification • Mask Generation
• Equivalence Checking
• Static Timing Analysis
23
• Physical Verification © Adam Teman, 2018
EDA in this Course
• RTL
• Verilog
• Synthesis
• Cadence Genus
• Place and Route
• Cadence Innovus
• Static Timing Analysis – Tempus
• Power Estimation – Voltus
• Parasitic Extraction – QRC
• Clock Tree Synthesis - CCOpt
• Logic Simulation
• Cadence Incisive

24 Source: IEEE Electronics 360 © Adam Teman, 2018


1 2 3 4
Motivation Building a Design Chip Design
Chip Automation Flow

Chip Design Flow


How a chip is built Definition and Planning

• Definition and Planning Design and Verification


• Design and Verification (Frontend)
Logic Synthesis
• Logic Synthesis (Frontend and Backend)
• Physical Design (Backend) Physical Design
• Signoff and Tapeout
• Silicon Validation Signoff and Tapeout

• Don’t forget package & board design, Silicon Validation


software design, test plan, etc., etc., etc.

26 © Adam Teman, 2018


Definition and Planning
Definition & Planning Design and Verification

• Marketing Requirements Document (MRD) Logic Synthesis


• Chip Architecture
Physical Design
• Define bus structures, connectivity
• Partition Functionality Signoff and Tapeout
• High-Level System Model (Bandwidths, Power, Freq.)
• System partitioning (HW vs SW, #Cores, Memories…) Silicon Validation
• Design Documents
• Floorplan/Board Requirements
• Process and fab
• Project kick-off – transfer to implementation

27 © Adam Teman, 2018


Definition and Planning
Design and Verification Design and Verification

• RTL (Register Transfer Level) Design Logic Synthesis


• Integration/Development of IPs
Physical Design
• RTL Lint/Synthesability checks
• Formal Verification Signoff and Tapeout

• Functional verification all the IPs: Silicon Validation


• Unit level
• Sub-system level
• Chip (SOC) level

28 © Adam Teman, 2018


Design and Verification - IP Integration
Definition and Planning

Design and Verification

• Hard IP
Logic Synthesis

• IP provided as pre-existing layouts with: Physical Design

• Timing models Signoff and Tapeout

• Layout abstracts Silicon Validation


• Behavioral models (Verilog/VHDL)
• Sometimes with Spice models, full-layouts
• This is the standard delivery format for custom digital blocks
• RAMs, ROMs, PLLs, Processors
• Soft IP
• RTL Code
• Can be encrypted
• Instantiated just like any other RTL block
• Sometimes with behavioral models

29 © Adam Teman, 2018


Design and Verification - Prototyping
Definition and Planning

Design and Verification

• Different levels of verification:


Logic Synthesis

• Specification driven testing Physical Design

• Bug driven testing Signoff and Tapeout

• Coverage driven testing Source: mouser.com


Silicon Validation

• Regression
• FPGA Prototyping:
• Synthesize to FPGA
• Speeds up testing
where possible.
• Hardware Emulation:
• Big servers that can
emulate the entire
design.
30 Source: Cadence © Adam Teman, 2018
Definition and Planning
Logic Synthesis Design and Verification

• Inputs: • Synthesis Logic Synthesis


• Technology library file • Converting RTL code into a generic logic
• RTL files netlist Physical Design
• Constraint files (SDC) • Mapping
• DFT definitions • Mapping generic netlist into standard cells Signoff and Tapeout
from the core library
• Output:
• Gate-level netlist • Optimization Silicon Validation
• To meet Timing / Area / Power constraints

module DFF(Clk, D, Q);


D Q • Post Synthesis checks
input Clk;
input D;
• Gate-level simulation
output Q; • Formal verification (Logic Equivalence)
always @(posedge Clk) • Static Timing Analysis (STA)
Q <= D; Clk • Power/Area estimation
endmodule

31 © Adam Teman, 2018


Definition and Planning
Physical Design (Backend) Design and Verification

• Floorplan Logic Synthesis


• I/O Ring
Physical Design
• Power Plan
• Placement Signoff and Tapeout

• Clock Tree Silicon Validation


Synthesis
• Route
• DRC, LVS,
Antennas, EM
• LEC, Post-layout

32 © Adam Teman, 2018


Physical Design – Backend Flow
Definition and Planning

Design and Verification

• Physical Implementation Inputs


Logic Synthesis

Physical Design

Signoff and Tapeout


Front-End Vendors Foundry
Silicon Validation
Spec Standard Cells Device Models

Architecture Memory Compiler Techfile

RTL I/Os Design Rules

Verification Hard IPs

Physical Design
(Backend)
33 © Adam Teman, 2018
Physical Design – Backend Flow
Definition and Planning

Design and Verification

Logic Synthesis
RTL
Synthesizer Gate Level Physical Design
SDC
Signoff and Tapeout
Standard Cells and ATPG GTL with Scan
Silicon Validation
Macros

Scan Chains Placer Placed Design

Floorplan CTS
Design with
Clock Tree
Power Grid,
Special Routing
Router Routed Design
Clock Definitions
Extraction, STA, DRC,
LVS, Density, Antennas, GDSII
Caps, Power/EM
© Adam Teman, 2018
Definition and Planning
Signoff and Tapeout Design and Verification

• Parasitic Extraction Logic Synthesis


• STA with SI
Physical Design
• DRC/LVS/ERC/DFM
• Post-layout Gate-level Simulation Signoff and Tapeout

• Power Analysis Silicon Validation


• DFT
• Logic Equivalence

35 © Adam Teman, 2018


Glossary
• Just to cover most of the terminology of today’s lesson:
• RTL • Hard IP
• GTL • Soft IP
• CAD • FPGA
• EDA • Emulation Special Thanks to:
Nir Sever
• DFT (ATPG, • Lint IDESA Digital Course
Scan, BIST) • Formal Marvell Israel
• OPC Verification For the knowledge and materials
required for preparing this lecture.
• Frontend • STA
• Backend • SDC
• Verification • SI
• Signoff • DRC, LVS, EM
• Tapeout • GDSII
36 © Adam Teman, 2018

S-ar putea să vă placă și