Documente Academic
Documente Profesional
Documente Cultură
Proteus.
F1
F2
f3
QII.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity RLHG is
Port ( A,B,C,D,E : in STD_LOGIC;
F1,F2,F3 : out STD_LOGIC);
end RLHG;
begin
F1<=(A AND NOT B AND D)OR(NOT A AND C AND NOT D)OR(A AND B AND NOT C AND D)OR(NOT A AND NOT B AND C AND NOT D)OR(NOT A AND
B AND C AND D);
UNO<=A&B&C&D;
DOS<=A&B&C&D&E;
WINCUPL
Name RLHG ;
PartNo 00 ;
Date 19.09.2019 ;
Revision 01 ;
Designer Engineer ;
Company Umsa ;
Assembly None ;
Location ;
Device g16v8 ;
F1 = (A&!B&D)#(!A&C&!D)#(A&B&!C&D)#(!A&!B&C&!D)#(!A&B&C&D);
TABLE A,B,C,D,E=> F3
{
'b'00001 => 'b'1;
'b'00010 => 'b'1;
'b'00100 => 'b' 1;
'b'00111 => 'b' 1;
'b'01000 => 'b' 1;
'b'01011 => 'b' 1;
'b'01100 => 'b' 1;
'b'01110 => 'b' 1;
'b'01111 => 'b' 1;
'b'11011 => 'b' 1;
'b'11110 => 'b' 1;
'b'11111 => 'b' 1;
}
TABLE A,B,C,D=> F2
{
'b'0000 => 'b'0;
'b'0001 => 'b'1;
'b'0010 => 'b'0;
'b'0011 => 'b' 0;
'b'0100 => 'b' 1;
'b'0101 => 'b' 1;
'b'0110 => 'b' 0;
'b'0111 => 'b' 0;
'b'1000 => 'b' 1;
'b'1001 => 'b' 0;
'b'1010 => 'b' 0;
'b'1011 => 'b' 1;
'b'1100 => 'b' 0;
'b'1101 => 'b' 1;
'b'1110 => 'b' 0;
'b'1111 => 'b' 0;
}
OREX/NOREX
QII.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity RLHG is
Port ( A : in STD_LOGIC_VECTOR (3 DOWNTO 0);
X : out STD_LOGIC;
Y : out std_logic );
end RLHG;
begin
WITH A SELECT
X <= '1' when "0010",
'1' when "0100",
'1' when "0101",
'1' when "0110",
'1' when "1000",
'1' when "1100",
'1' when "1101",
'1' when "1111",
'0' when others;
With A SELECT
Y <= '1' when "0011",
'1' when "0101",
'1' when "0110",
'1' when "1001",
'1' when "1010",
'1' when "1100",
'0' when others;
end Behavioral;
Diagrama de tiempos QII.
TABLE A, B, C, D => F1
{
'b'0010 => 'b'1;
'b'0100 => 'b'1;
'b'0101 => 'b'1;
'b'0110 => 'b'1;
'b'1000 => 'b'1;
'b'1100 => 'b'1;
'b'1101 => 'b'1;
'b'1111 => 'b'1;
}
TABLE A, B, C, D => F2
{
'b'0011 => 'b'1;
'b'0101 => 'b'1;
'b'0110 => 'b'1;
'b'1001 => 'b'1;
'b'1010 => 'b'1;
'b'1100 => 'b'1;
}
QUINE McCLUSKEY
Proteus.
QII.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity RLHG is
Port ( A,B,C,D,E : in STD_LOGIC;
F1,F2,F3 : out STD_LOGIC);
end RLHG;
WINCUPL
Name RLHG ;
PartNo 00 ;
Date 19.09.2019 ;
Revision 01 ;
Designer Engineer ;
Company Umsa ;
Assembly None ;
Location ;
Device virtual ;
FIELD IN = [A,B,C,D];
TABLE IN => F1
{
'b'0000 => 'b'1;
'b'0011 => 'b'1;
'b'0100 => 'b'1;
'b'0111 => 'b'1;
'b'1011 => 'b'1;
'b'1100 => 'b'1;
}
TABLE IN => F3
{
'b'0000 => 'b'1;
'b'0001 => 'b'1;
'b'0100 => 'b'1;
'b'0101 => 'b'1;
'b'0110 => 'b'1;
'b'1000 => 'b'1;
'b'1001 => 'b'1;
'b'1111 => 'b'1;
}
TABLE A,B,C,D,E=>F2
{
'b'00000 => 'b'1;
'b'00001 => 'b'1;
'b'00010 => 'b'1;
'b'01111 => 'b'1;
'b'10000 => 'b'1;
'b'10011 => 'b'1;
'b'10111 => 'b'1;
}
b)
Proteus.
QII.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity RLHG is
Port ( A,B,C,D : in STD_LOGIC;
F1,F2,F3 : out STD_LOGIC);
end RLHGI;
WINCUPL
Name RLHG ;
PartNo 00 ;
Date 19.09.2019 ;
Revision 01 ;
Designer Engineer ;
Company Umsa ;
Assembly None ;
Location ;
Device virtual ;
TABLE A,B,C,D=> F1
{
'b'0011 => 'b'1;
'b'0101 => 'b'1;
'b'0110 => 'b' 1;
'b'0111 => 'b' 1;
'b'1001 => 'b' 1;
'b'0000 => 'b' X;
'b'0100 => 'b' X;
'b'1000 => 'b' X;
'b'1010 => 'b' X;
'b'1100 => 'b' X;
}
TABLE A,B,C,D=> F2
{
'b'0000 => 'b'1;
'b'0001 => 'b'1;
'b'0011 => 'b' 1;
'b'0101 => 'b' 1;
'b'0110 => 'b' 1;
'b'1011 => 'b' 1;
'b'1110 => 'b' 1;
'b'0100 => 'b' X;
'b'1100 => 'b' X;
'b'1101 => 'b' X;
'b'1111 => 'b' X;
}
TABLE A,B,C,D=> F3
{
'b'0000 => 'b'1;
'b'0001 => 'b'1;
'b'0010 => 'b' 1;
'b'0011 => 'b' 1;
'b'0100 => 'b' 1;
'b'0101 => 'b' X;
'b'0110 => 'b' X;
'b'0111 => 'b' X;
'b'1000 => 'b' X;
'b'1001 => 'b' X;
'b'1010 => 'b' X;
}
VARIABLES BIFORMES
Proteus.
QII.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity RLHG is
Port ( V,W,X,Y,Z : in STD_LOGIC;
FA,FB : out STD_LOGIC);
end RLHG;
FB <= (V AND NOT W AND X AND NOT Y AND Z)OR(NOT V AND W AND NOT X AND Y AND NOT Z)OR
(NOT W AND X AND Y AND NOT Z)OR(W AND NOT X AND Y AND Z)OR(NOT W AND NOT X AND Y AND NOT Z)OR(V AND NOT W AND NOT X AND Y
AND Z);
end Behavioral;
Diagrama de tiempos QII.
Diagrama de tiempos ISE.
WINCUPL
Name RLHG ;
PartNo 00 ;
Date 19.09.2019 ;
Revision 01 ;
Designer Engineer ;
Company Umsa ;
Assembly None ;
Location ;
Device virtual ;
Proteus.
QII.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity RLHG is
Port ( X : in STD_LOGIC_VECTOR (3 downto 0);
L1,L2,L3 : out STD_LOGIC);
end RLHG;
WINCUPL
Name RLHG ;
PartNo 00 ;
Date 19.09.2019 ;
Revision 01 ;
Designer Engineer ;
Company Umsa ;
Assembly None ;
Location ;
Device g22v10 ;
Proteus.
QII.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity RLHG is
Port ( E : in STD_LOGIC_VECTOR (4 downto 1);
W,X,Y : out STD_LOGIC);
end RLHG;
WINCUPL
Name RLHG ;
PartNo 00 ;
Date 20.09.2019 ;
Revision 01 ;
Designer Engineer ;
Company Umsa ;
Assembly None ;
Location ;
Device virtual ;