Sunteți pe pagina 1din 12

5/15/2020 pd freshers: PD interview questions and answers -part 1

More

pd freshers
simple and easy way to learn physical design for freshers

Home PD flow Floorplan Placement CTS Routing STA basic questions and answe

PD interview questions-part 2 STA ASIC design flow Difference between FPGA and ASIC

PD MCQ questions PD interview questions and answers - part 3

PD interview questions and answers -part


by kamalnadh

Q 1.What is physical design?


A. The physical design is the process of transforming a circuit description into the
which describes position of the cells and routs for the interconnections between th

Q 2.which design is more complicated 10MHZ or 100MHz?


A. 100mhz. because high frequency means low time period.So it is difficult to han
violations in low time period.

Q 3.what is floor planing?


A. The floor plan is a process of determining the macro placement,power grid gen
placement.

Q 4.If you have both IR drop and congestion how will you fix it?
A. a) Spreed macros.
b) Spreed standard cells.
c) Increase strap width.
d) Increase no.of straps.
e) Use proper blockage.

Q 5.What are the Tie-high and Tie-low cells?


A. These are used to connect the gate of transistor to either power or ground.It av
connection between power and gate of transistor.
Tie-high:- One terminal is connected to vdd and another terminal is connected to g
transistor.

www.pdfreshers.in/2018/09/pd-interview-questions-and-answers-part.html 1/12
5/15/2020 pd freshers: PD interview questions and answers -part 1

Tie-low:- One terminal is connected to vss and another terminal is connected to g

Q 6.What are the checks to be done before cts?


A. a) Placement -completed.
b) Power ground nets -pre-routed.
c) Estimated congestion -acceptable.
d) Estimated timing -acceptable.
e) Estimated max transition/capacitance -no violations.
f) High fan-out nets.

Q 7.What are the power gating cells?


A. The power gating is to avoid static power dissipation.The power gating cells are
a) Power switches.
b) Level sifters.
c) Retention registers.
d) Isolation cells.
e) Power controller.

Q 8.What is HFNS(high fan-out net synthesis)?


A. HFNS is the process of buffering the high fan-out nets to balance the load.

Q 9.Where HFNS is used?


A. Generally at placement stage HFNS is performed.it is also performed at synthe
design compiler.

Q 10.What is Electromigration(EM)?
A. When high current density continuously flows through a metal due to the high c
atoms moving with kinetic energy and they transfer the energy to another atoms a
the temperature due to these the metal will damage.

Q 11.Is zero skew is possible?


A. Practically it is not possible because all the flip flops are not getting the same c
is exist when the two different clocks are present.Zero skew means all clocks are
it is not possible.

Q 12.How to reduce latchup problem?


A. a) Increase spacing between p-well and n-well.
b) Increase well/substrate doping concentration.
c) Use ground rings around device.

Q 13.What are the check list after cts?


A. a) Skew report.
b) Clock tree report.

www.pdfreshers.in/2018/09/pd-interview-questions-and-answers-part.html 2/12
5/15/2020 pd freshers: PD interview questions and answers -part 1
c) Timing reports for setup and hold.
d) Power and area report.

Q 14.What is synthesis?
A. It is a process to convert RTL code into design implementation.

Q 15.which metal layer will be used for clock in 7 metal layer design.why?
A. Metal 4 and 5.because the clock nets will consume 30 to 40% of power in the d
reduce the IR drop we are using low resistance metal.top 6,7 metal layers for pow
and 5,4 for clock nets.

Q 16.What is antenna effect?


A. Increasing net length can accumulate more changes while manufacturing of th
the ionization process.If this net is connected to gate of the MOSFET it can dama
property of gate and causing damage to MOSFET.

Q 17.What is cloning and buffering?


A. Cloning:-it is a method of optimization that decrease the load of heavily loaded
replacing the cell.
Buffering:-it is a method of optimization that is used to insert buffer in high fan out
decrease the delay.

Q 18.Why NAND gate is preferred than NOR?


A. At transistor level the mobility of electrons is normally three times that of holes
and NAND gate is faster,less leakage.

Q 19.What is LVS(layout vs schematic)?


A. It is a class of EDA software that determines whether a particular IC layout cor
original schematic of design.

Q 20.What is shielding?
A. Placing ground net in between aggressor and victim nets then voltage discharg
net.This will reduce the cross-talk.

Q 21.What is isolation cell?


A. These are special cells required at the interface between blocks which are shu
always on.It is necessary to isolate the floating inputs.

Q 22.What is retention flop?


A. These cells are special flops with multiple power supply.When design blocks ar
for sleep mode data in all flip flop contained desires to retain state for this retentio
used.

www.pdfreshers.in/2018/09/pd-interview-questions-and-answers-part.html 3/12
5/15/2020 pd freshers: PD interview questions and answers -part 1

Q 23.What are the i/p required for CTS?


A. a) Detailed placement database.
b) Target for latency and skew if specified.
c) Buffers or inverters to build the clock tree.
d) NDR rules.
e) Clock tree DRC's.

Q 24.What are the CTS goals?


A. a) Minimize clock skew.
b) Minimize insertion delay.
c) Minimize power dissipation.

Q 25.What are the effects of CTS?


A. a) Clock buffers are added.
b) Congestion may increase.
c) Non-clock cells may have been moved to less ideal location.
d) Can introduces timing and max transition/capacitance violations.

Q 26.What are the different types of cells?


A. Tap cell:- These are used to avoid latch up problem.
End cap cells:- These are placed at the edges to avoid cell damage at the end
Decap cells:- These are placed between power rail and ground rail to avoid dy
Filler cells:- These are used to connect the gap between the cells.
ICG cells:- Clock gating cell to avoid dynamic power dissipation.
Pad cells:- To interface with outside devices.i/p to power,clock pins are connec
and out side also.
JTAG cells:- These are used to check IO connectivity.

Q 27.Why HFNS (high fanout net synthesis)?


A. To balance the load HFNS is performed.too many loads will effects the delay n
transition time.Because load is directly proportional to load.By buffering the HFNS
balanced.

Q 28.What is hard macro?


A. The circuit is fixed and we don't know which type of gates using inside.We know
information not the functional information.

Q 29.What is soft macro?


A. The circuit is not fixed and we know which type of gates using inside.We know
information and also functional information.
www.pdfreshers.in/2018/09/pd-interview-questions-and-answers-part.html 4/12
5/15/2020 pd freshers: PD interview questions and answers -part 1

Q 30.What is the formula for distance between macros?


A. Distance between macros = no.of pins * pitch / total layers.

Q 31.What is CTO(clock tree optimization)?


A. It improves the clock skew and clock insertion delay by applying additional opti
performed during clock_opt process.

Q 32.What is the deference between normal buffer and clock buffer?


A. Clock buffer having equal rise and fall time but normal buffer not like that.Clock
usually designed such that an i/p signal with 50% duty cycle produces an o/p with

Q 33.Why should we solve setup violations before CTS and hold violations a
A. Setup violations depends on data path while hold violations depends on clock p
clock path is taken as ideal because we don't have skew and transition numbers o
but this information is sufficient to perform setup analysis.Clock is propagated afte
why hold violations are fixed after CTS.

Q 34.What is global routing?


A. It is done to provide instructions to the detailed router about route every net.It p
channels for interconnect to be routed.

Q 35.What is detailed routing?


A. It is where we specify the exact location of the wires/inter connects in channels
global routing.Metal layer information of the interconnects are also specified here.

Q 36.What is the use of virtual clock?


A. It will help to reduce the time delay of the overall operation.It is logically not con
pin of design and physically does't exist.

Q 37. What is MMMC(multi mode multi corner)?


A. It is a combination of mode and corner that is required for a particular timing ch
setup and hold.

Q 38.What is the difference between hierarchical design and flat design?


A. Hierarchical design has blocks and sub blocks in an hierarchy.Flat design has
and it has only leaf cells. Hierarchical design takes more run time and flat design t
time.

Q 39.During power analysis if you are facing IR drop problem then how did y
A. a) Increase power metal layer width.
b) Go for high metal layer.
c) Spread macros or standard cells.

www.pdfreshers.in/2018/09/pd-interview-questions-and-answers-part.html 5/12
5/15/2020 pd freshers: PD interview questions and answers -part 1
d) Provide more straps.

Q 40.What are the types of routing?


A. a) Global routing.
b) Track assignment.
c) Detailed routing.
d) Search and repair.

Q 41.What is body effect?


A. It is the change in threshold voltage resulting from a voltage difference betwee
and body.These is caused by body biasing.

Q 42.What is glitch?
A. Glitch is a electric pulse of short duration that is usually the result of fault or de

Q 43.What are the benefits of SOI technology?


A. a) Low parasitic capacitance.
b) High peed performance.
c) Reduce short channel effects.
d) No latch up.
e) Low threshold.

Q 44.What are the guidelines for macro placement?


A. Fly-lines,port communication,macros are placed at boundaries,spacing betwee
grouping,macro alignment,notches avoiding,orientation,blockages,avoid crisscros
macros.

Q 45.What are the sanity checks in pd?


A. a) Check_library.
b) Check_timing.
c) Check_design.
d) Report_constraint.
e) Report_timing.
f) Report_QOR.

Q 46.What is the difference between Halo and Blockage?


A. Halo:- It is the region around the boundary of fixed macros in design in which n
or standard cells can be place.If macros moves halo will also move.
Blockage:- It can be specified for any part of the design.If we move the block b
move.

Q 47.Why we apply NDR rules before routing?

www.pdfreshers.in/2018/09/pd-interview-questions-and-answers-part.html 6/12
5/15/2020 pd freshers: PD interview questions and answers -part 1
A. Some times with default routing it is very hard to avoid cross talk,electromigrati
cross-talk,electromigration in routing stage is difficult.So we are applying ndr rules
space,double width) before routing.

Q 48.What are the types of blockages?


A. Hard blockage:- It does't allow inverters,buffers,standard cells.
Soft blockage:- It allows only inverters and buffers and blocks standard cells.
Partial blockage:- It will allow both buffers and standard cell in a percentage v

Q 49.What is congestion?
A. When the available tracks are less than the required tracks this effect will occu
signals are more than the tracks then congestion will occur.

Q 50.How to fix congestion?


A.
Congestion driven placement.
Adjust cell density in congested area(high cell density cause congestion).
Use proper blockage.
Modify the floor plan design.

Labels: pd, pd basic questions and answers, pd interview questions, pd interview questions and answers, physical design interview qu
questions

1 comment:
Yogesh 24 July 2019 at 02:52

This is an awesome post. Really very informative and creative contents.


ios app Devlopment company in chennai

Reply

www.pdfreshers.in/2018/09/pd-interview-questions-and-answers-part.html 7/12
5/15/2020 pd freshers: PD interview questions and answers -part 1

Enter your comment...

Comment as: RAJKUMAR (G

Publish Preview

Newer Post Home

Subscribe to: Post Comments (Atom)

PD interview questions and answers - part 3

by kamalnadh 1. What are the types of operating modes? A. a) Test mode. b) Scan mode. c) Reset mode. d) Fun

PD interview questions and answers -part 1


by kamalnadh Q 1.What is physical design? A. The physical design is the process of transforming a circuit description in

Add 3

Add

VLSI blogs app

App

September 2018 (11)


October 2018 (2)
November 2018 (1)
December 2018 (1)
June 2019 (1)

Adds

www.pdfreshers.in/2018/09/pd-interview-questions-and-answers-part.html 8/12
5/15/2020 pd freshers: PD interview questions and answers -part 1

Mi Band 3
(Black)
INR 1,599.00

Shop now

Labels

asic design flow (1)


crpr problems with solution (1)
cts (2)
difference between fpga and asic (1)
floorplan (2)
pd flow (1)
physical design mcq questions (1)
placement (2)
routing (1)
sta interview questions and answers (1)
what is OCV and CRPR (1)
what is setup and hold (1)

Report Abuse

VLSI Physical Design App

App

Add 1

www.pdfreshers.in/2018/09/pd-interview-questions-and-answers-part.html 9/12
5/15/2020 pd freshers: PD interview questions and answers -part 1

Add

Pages

Home
Contact us
privacy policy
Terms and conditions
Disclaimer
About us

Contact Form

www.pdfreshers.in/2018/09/pd-interview-questions-and-answers-part.html 10/12
5/15/2020 pd freshers: PD interview questions and answers -part 1
Name

Email *

Message *

Send

Search This Blog

About Me

kamalnadh

View my complete profile

www.pdfreshers.in/2018/09/pd-interview-questions-and-answers-part.html 11/12
5/15/2020 pd freshers: PD interview questions and answers -part 1
Simple theme. Powered by Blogger.

www.pdfreshers.in/2018/09/pd-interview-questions-and-answers-part.html 12/12

S-ar putea să vă placă și