Documente Academic
Documente Profesional
Documente Cultură
1
31000 - Electronics. Tutorial Structural VHDL
library IEEE;
use IEEE.std_logic_1164.all;
entity adder1 is
port (a : in std_logic;
b : in std_logic;
cin : in std_logic;
sum : out std_logic;
cout : out std_logic);
end adder1;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
component ADDER1
port (A, B, CIN: in std_logic; SUM, COUT: out std_logic);
end component;
signal T: std_logic;
begin
end STRUCTURAL;