Sunteți pe pagina 1din 18

M E M S Tr e n d s

M a g a z i n e
ISSUE n°4
o n M E M S T e c h n o l o g i e s

& M a r k e t s
O C T O B ER 2010

e d itorial analysis

CMOS MEMS,
CMOS MEMS: Next generation integration looks for
hybrid
simpler build or bond on top, or lower cost TSVs
integration,
wafer-level Like most everything else in MEMS, it sometimes seems every device needs a
different solution for integration with CMOS. But the view from some of the folks
bonding… on the forefront is that simpler build or bond on top, and lower cost TSVs, are the
what will keys to improving next generation cost and performance.
be the future for MEMS
Analog Devices opts for diversity technology. “For us, it’s the way we started. We’ve eked
production? of solutions every drop we can out of the process and can keep re-
In this issue of MEMSTrends we are giving selling product. But I’m not convinced full integration
some attention to MEMS Technologies The pioneer of monolithic integration questions the is the way to go for everyone. We ate, breathed and
evolution. One big question that arises in the industry’s faith that one-chip solutions will necessarily slept all the related issues in the past. I think folks
MEMS world: How will the manufacturing be lower cost. “There’s a tendency to believe that have underestimated the problems. I still haven’t seen
technologies evolve? Particularly to reduce integrated processes yielding for low margin

2
monolithic could be cheaper, and everyone is talking
cost and provide more integrated devices for about going back to mono,” says Rob O’Reilly, Analog business. Where average selling prices are going,
consumer applications. ... Devices, Inc. staff engineer for MEMS sensors and there’s no margin to recoup all that R&D.” ...
To be continued on page 2

R E V E R S E E N G I N E E R I N G

Three ways of finding magnetic north - a look inside electronic compass chips

Printed on recycled paper


Three-axis electronic compasses, along with accelerometers and gyroscopes, R1 R2

are now being integrated into smart phone and other portable consumer
electronics devices.
R2 R4
The compass provides a definite magnetic reference is lost, sophisticated gesture recognition and
R1 R3
direction vector for the accelerometer and gyroscope authentication, gaming, image stabilization and
sensors. The combination of these three sensors pedometer functions. The benefits of 9DoF sensing M3 coil
M4 coil
provides nine degrees of freedom (9DoF) sensing have been recently discussed in an
for applications such as dead reckoning for GPS
positioning during times when the satellite signal
InvenSense Whitepaper. ...
14 R3 R4
LSM303DLH XY AMR Sensor Die Photograph

EVENTS C O N T E N T S
Chipworks

ANALYSIS 2
MEMS Technology Summit: Vision for the future
is healthcare and connectivity
COMPANY v ision 5
MEMS technology to introduce products the market
didn’t want, on the way to creating some of the
sector’s most successful businesses.
ANALYST CORNE R 1 2
But the industry luminaries gathered at the recent
MEMS Technology Summit in Palo Alto, CA,

P
ioneers of the MEMS industry told the usual organized by the founders of NovaSensor for that R e v erse
war stories of how it took much longer than company’s 25th anniversary, also see a maturing
E n g ineerin g 14
expected to make MEMS devices in volume, industry that can now get products to market faster,
how the competing incumbent technologies and that has finally become a real systems business,

16
improved faster than the MEMS products could poised for significant growth in networks
be developed, and how they got seduced by cool and biomedical applications. ... E V ENTS 1 6

platinum partners:

Free registration on
www.i-micronews.com
OCTOBER 2010 i s s u e n ° 4
M E M S Tr e n d s
M a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

E D I T O R I A L analysis

We will review several different opinions.


Although they have pioneered the CMOS
CMOS MEMS: Next generation integration
MEMS integration, Analog Devices Inc.,
today opts for diversity of solutions. For them,
looks for simpler build or bond on top,
the question is not only to choose between or lower cost TSVs
monolithic or hybrid integration but to rethink
From page 1
the partitioning of different functional blocks
among the chips in a multichip module. Here ADI intends to keep using its well established process functional blocks among the chips in the multichip
interconnects are really important and TSV for making its MEMS sensors, a relatively complex modules, re-distributing the functions for the system
cost might be an issue. interweaving within the CMOS flow, primarily across multiple die in non-traditional ways, by, say,
because it offers a predictable cycle time, as well putting all the high voltage circuitry on a separate chip,
On the other hand, Dalsa, currently producing as small size and good performance, especially for to optimize the system design for cost and performance.
CMOS MEMS, strongly believes wafer- automotive applications. But the company is now
level integration is a cost efficient solution. making even some of its automotive inertial sensors One function it’s including in these systems is self
Dalsa uses TSVs technology but also tries as hybrid devices, with two chips in a package, test, so users don’t have to do their own motion
to use the efficiencies of the CMOS into the for more flexibility and faster time to market. The testing, aimed particularly at industrial markets
MEMS world. They propose standard process separate ASIC can be more easily replaced to where users just expect long term reliability without
modules that speed up the development of add new features or updates, without having to special treatment for MEMS. ADI is aggressively
MEMS devices. SVTC also believes MEMS
on CMOS approach ease the management of
the supply chain. “We [Analog Devices] ate, breathed and slept all the related
Analog Devices has a very interesting [CMOS MEMS] issues in the past. I think folks have underestimated
perspective on this discussion. Testing is the problems,” says Rob O’Reilly.
an important factor that is often over looked
as part of the MEMS development process.
For example, a high- g shake test is required redesign the whole unit. And the more different modeling the behavior of its accelerometers and
for qualification in the automotive market, chips in a module, the more useful this flexibility. gyroscopes under all kinds of conditions and
but the MEMS industry has not developed O’Reilly argues that hybrid solutions of multiple temperatures, then testing them on its homegrown
the equipment to produce such a test. This chips in one package are especially good for mixed equipment to verify each axis and quantity and the
obviously puts a lot of strain on the growing signal applications that combine multiple different interactions. Then the on-board test system keeps
plug and play products used for stability control, chip technologies and devices from different fab checking performance in use, and lets the user
cruise control and pedestrian protection. All locations, as the way to add more features and know if there’s a problem.
of which have a lot of MEMS, with a variety functions for more specialized applications.
How are all the chips in these multi chip modules going
of specs, which in turn creates the need for
Besides trying to choose the best monolithic or to be interconnected? Alternatives that shorten the
MEMS testing at high volume. Is this possible?
hybrid integration approach for each product, ADI is connections to reduce noise and improve performance
Dr Eric Mounier, also focusing on re-thinking how best to partition the and reduce size are needed, but most solutions
Project Manager,
Yole Développement
The 4 evolutionary steps for MEMS manufacturing
(Yole Développement – October 2010)
e v ents

 re-use of semiconductor manufacturing lines


 cost can be lower compared to hybrid
 increased performances
 BUT process compatibility is an issue
 XeF2 use

• MEMS Executive Congress,


Scottsdale, AZ, USA:
 Higher design freedom.
November 3 to 5, 2010  More sophisticated devices.

• Electronica, Munich, Germany :  Integration with high-voltage


CMOS (reduced parasitances)
November 9 to 12, 2010  Structure increased vertical
deflection (micro mirrors);
higher design freedom

 New design freedom

• SEMICON Japan, Tokyo, Japan:  imves elecprotrical perf.


 improves yield
 CMOS standard
December 1 to 3, 2010

gold partners:

2
O C to B E R 2010 i s s u e n ° 4
M E M S Tr e n d s
M a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

layers are too much,” says Robert. “We see more and
more that wafer-level bonding is more cost efficient.”
Example of Monolithic Integration Dalsa aims to reduce the cost of closer integration
of the MEMS and CMOS wafers by wafer stacking
with lower cost copper TSVs. It’s working with
Alchimer and its wet processes for via filling and
isolation that use fewer process steps than vacuum
deposition to reduce capital costs and increase
throughput. Alchimer has reported work with Korean
tool maker KPM on a tool for use with its materials.
“We believe we will have a cost advantage over
other TSV approaches,” says Robert. Dalsa is now
building a customer product with the process, and
plans commercial launch later next year, offering
the TSV interface as a standard process module.

More standard process modules

Like most producers, Dalsa is trying to incorporate


more of the efficiencies of the CMOS world, by offering
re-usable standard processes whenever possible.
Currently it offers standard process modules, like low
stress film, that enable it to run different products, like,
have yet to be demonstrated in serious volumes at level bonding to combine the MEMS with the control say, mics and pressure sensors, on the same tool at
reasonable cost. “That’s a whole blank page,” says circuits,” argues Dalsa Corp.’s Donald Robert, VP of the same time. Each customer gets a unique platform
O’Reilly. “Interconnect work is just starting to catch up. sales and marketing. While the company currently of his particular sequence of process modules,
It’s all up for grabs.” He argues that costs of most of the manufactures a significant portion of its portfolio with for which he gets a kit that enables much faster
alternative interconnection technologies, like TSVs, are monolithic integration of the MEMS and CMOS, for development of the next generation product.
too high, and quality and reliability still too unproven in
high volumes, for wide adoption anytime soon, though “More and more the trend for the next generation is wafer-level
ultimately costs will come down. “I’m still looking to
see a viable product released that meets quality and bonding to combine the MEMS with the control circuits,” argues
reliability standards,” he says. Dalsa Corp.’s Donald Robert.
Dalsa sees more complex chips
limiting usefulness of monolithic the next generation more customers are moving to But more re-usable modules would ease development.
integration more advanced CMOS. That means 25-30 CMOS “We often do the same function for different customers
mask layers, and adding another 2-25 MEMS mask in different ways, but the end result is very similar for
Increasingly complex chips are also impacting yields layers on top of that quickly becomes unwieldy for cost and performance,” notes Robert. “If we could
and costs of monolithic integration, making wafer-level cycle time and yields with anything more than a very standardize that, it would be much more efficient.”
bonding look a more attractive alternative. “More limited number of MEMS mask layers. “Thirty mask So the company is also developing standard
and more the trend for the next generation is wafer-
building blocks of microvalves and pumps for
microfluidic devices. And it’s been looking at
standard processes for accelerometers, gyros and
Examples of Package Level Integration pressure sensors, to allow all to be processed in the
same flow, or integrated on the same die. While it is
of course not possible to make all MEMS devices
with a standard process, Robert argues, it is
probably possible to develop a technology platform
that would work for a family of related products, and
half a dozen of these family platforms could suffice
for most types of MEMS devices.

More standard manufacturing means that more of


the value of the device would move from the MEMS
component up the chain to the system supplier,
a change not in the interests of many MEMS
component makers, and thus likely to happen only
slowly. But IC makers entering the field are already
driving this change, asking the foundry for, say, a
quick, cheap MEMS device to replace quartz for
the timing function to add value to their system,
for which a generic product made on a standard
process platform is the ideal option. “They’d like
to get a process design kit from the foundry for the

3
OCTOBER 2010 i s s u e n ° 4
M E M S Tr e n d s
M a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

Wafers come from the foundries with a top layer of as offering its xenon recovery service, and a new
TaN sealing off the copper, then SVTC adds TiN to supplier in Japan has reportedly announced plans
get good adhesion and electrical performance with to offer material as well, for an increased supply
the subsequent tungsten or aluminum. that could bring competition to help bring down
costs and give credibility to the technology.
Equipment trends: New demands
for automation, test and etch The technology is still largely used in R&D labs, and
some users suggest it still needs to mature some
As the MEMS sector moves towards higher volumes more, but there are now more than 100 tools in the
it’s making some new demands on its equipment field, and Yole has identified several customers now
Wafer Level Packaged MEMS device suppliers, for more automation and for new testing using or planning to use it in production of displays
with Through Silicon vias. (Courtesy of Dalsa)
equipment. More new materials getting into volume and RF devices.
MEMS function to add to their IC,” says Robert. production may start to mean more demand for
“It’s doable, but we need to look at the economics. more selective etch technology as well. Paula Doe for Yole Développement
It’s a lot of effort for such a small market.”
Rakesh Kumar, director of MEMS for Global Donald Robert VP, of Sales
SVTC sees more MEMS built & Marketing at DALSA’s
Foundries, suggests higher volume markets will
Semiconductor Division
or bonded on CMOS mean equipment makers will need to develop
In this role, he is responsible
MEMS tools with more automation and other
With its roots in the CMOS world and its customer for the strategic and tactical
productivity improvements more suitable for high
base that includes a wide range of new silicon activities related to the
volume production, as well as tweak their legacy
development of DALSA’s
devices, development foundry SVTC reports their 200mm IC tools to make them more suitable semiconductor products &
increasing interest among its customers for putting for MEMS. Though MEMS equipment is not a foundry services. He holds a degree in electronics
MEMS on top of CMOS wafers. “What I’m seeing is huge market overall, it is a big part of the market from Sherbrooke College and a degree in Business
more MEMS on top of CMOS, whether built directly for 200mm tools, he argues, and says he sees Management from the University of Sherbrooke and
or bonded on top of ASIC wafers from the foundry,” increasing commitment from tool makers to invest has over 29 years of industry experience. His areas
says Wilbur Catabay, SVTC VP of technology in MEMS development. Kumar aims to work with of expertise include business development and
and engineering. “High volume needs integration equipment makers to solve some of the challenges semiconductor manufacturing.
because of cost. If it’s all made in one fab, the for MEMS automation, like the variability in wafer
supply chain is easier to manage.” thickness, wafer deformation, and wafer handling. Rob O’Reilly,
Member Technical Staff
MEM Sensors,
“What I’m seeing is more MEMS on top of CMOS, whether built Analog Devices, Inc.
Rob O’Reilly began his career
directly or bonded on top of ASIC wafers from the foundry,” at Analog Devices in 1993
says Wilbur Catabay, SVTC. and is the former Director
of the Product Test and
Measurement groups for the
Catabay argues that SVTC has been doing this Analog Devices’ Rob O’Reilly challenges MEMS Micromachined Products Division. Rob currently leads
kind of integration for some time, building new equipment makers to offer the equipment for high-g the Advanced Test needs for inertial sensors and
technologies like magnetic memory, phase change shake tests required for qualification for automotive as well as developing unique business and market
memory, or 3D anti-fuse memory devices on top markets. “There are no high-g production shake test opportunities. Rob joined Analog Devices in the very
systems out there,” he says. “They’re all going into early days of MEMS and has spent the last 17 years
of foundry CMOS wafers. It has been regularly
creating test and characterization capabilities for
building MEMS on top of CMOS wafers from flipping.” But with the automotive trends to plug and
MEMS accelerometers, gyros and optical devices. A
TSMC, UMC and Global Foundries, particularly for play, and the burgeoning of units for stability control,
former flight engineer in the US Navy, Rob attended
resonator makers, including SiTime, who need the cruise control, and pedestrian protection, there are
Northeastern University with focus in the area of Shock
performance of the shorter circuits, for micromirror lots of MEMS and lots of specs involved. Volumes
and Vibration analysis.
arrays that need pixel by pixel addressing, and are significant and reliability is needed. “Somehow we
for lab-on-a-chip devices. Complex sensors like need to test all these things,” he notes. “How do we do Wilbur Catabay,
multiaxis inertial sensors and magnetometers units, that in large volume and still make money?” Vice President Technology,
however, remain more challenging to integrate. SVTC Technologies
If there is a major die size mismatch, customers The plethora of new materials beyond silicon finally
Wilbur Catabay is a veteran
are choosing die-to-die or die-to-wafer stacking moving into volume production are starting to boost
of the semiconductor industry
on the CMOS. This also of course allows the use demand for the XeF2 etch tools, claims David
with more than 23 years
of known good die to improve yields, so makes Springer, president of Xactix. The highly selective of experience. Recently,
sense for complex 6-axis devices, especially for etch compound does not release its fluorine until it Mr. Catabay was President
the development and qualification stages. Though touches silicon, so doesn’t attack Al, SiN, or metal- of Silicon Integrated Solutions, Inc. He also was
SVTC is using TSVs to connect CMOS to CMOS or dielectric stacks, making it potentially useful for Senior Director for LSI Logic’s Foundry Engineering &
memory to CMOS, it has yet to see much demand applications that use more non-silicon materials, Integration organization and Director of the Advanced
for it for MEMS. like RF MEMS, optical and reflective devices, Process Module Development in the R&D organization.
microbolometers and microphones. Springer also Mr. Catabay has submitted more than 100 invention
SVTC has developed technologies for aligning its reports seeing work in piezoelectric and ferroelectric disclosures and has been awarded more than 60
first lithography steps to the foundry wafers, and devices for resonators, pumps and little actuators. patents with more than 26 patents pending during his
for adding barrier/seed layers to integrate tungsten tenure. He has a BS degree in Industrial Technology
interconnect, says Catabay. It coordinates alignment The cost of xenon may be coming down, to ease the from San Jose State University with a minor in
marking with the foundry, and matches SVTC adoption of XeF2 etching, he argues. Air Products Business Management.
scanners with those at the foundry to adjust offsets. has announced it will supply the compound, as well

4
O C to B E R 2010 i s s u e n ° 4
M E M S Tr e n d s
M a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

company v ision

EVG launches new high performing L3 Bonder


By separating the bonding process into three distinct steps, EVG innovates their bonding
equipment with increasing throughput for high temperature or high vacuum bonding.

Yole Développement: Can you explain to our


readers why EV Group decide to develop such
equipment?
Eric Pabo: In keeping with our Triple I (invent,
innovate, and implement) philosophy EVG decided
to develop an automated wafer bonding system
capable of increased throughput while performing
high temperature and high vacuum bonding.
Also, this wafer bonding system needed to be
capable of being fully integrated into the EVG
Gemini fully integrated cassette to cassette wafer
bonding systems.

YD: How long does it take to develop it? And


did you collaborate with an industrial partner?
EP: We did the development internally based on
the feedback of multiple high volume customers
looking for increased throughput high yield wafer
bonding.

YD: What are the main characteristics of the


L3 Bonder?
EP: The primary advance of the EVG L3 bonder
is the separation of the process into three distinct
steps. The first chamber heats the wafers and EVG 520 L3 (Courtesy of EVGroup)
allows pump down of the chamber or pump and This process separation can result in a three to YD: Which markets do you expect to address?
purge to fill the chamber with an inert gas. When five fold increase in throughput compared to a EP: EVG expects the EVG L3 bonder to address high
the first step is completed the wafer pair is passed single bond chamber. Of course this increase is volume production of products requiring vacuum
through a gate valve into the primary chamber. dependent on the process being performed. sealing using metal bonding technologies. We see
This primary chamber can be kept pumped that many MEMS manufacturers are switching
down to the 1e-6 mbar range. In the primary YD: According to you, what is the added-value to metal bonding (both thermo-compression and
chamber the bonding process is completed using this new bonder? eutectic) from glass frit and anodic bonding. The
force, temperature and time. After this process EP: The added value of the EVG L3 bonder is EVG L3 bonding system is designed to address
is completed the bonded wafer pair is moved increased throughput for wafer to wafer bonding volume production of MEMS devices which have
through another gate valve to the third location processes that require high temperature or high high hermeticity requirements such as micro-
where it is cooled prior to unloading. vacuum. bolometers, accelerometers, gyroscopes, and other
devices. Integration of the L3 bonding system into
the field proven fully integrated EVG Gemini system
will provide a high throughput, high yield, cassette
to cassette fully automated wafer bonding system.

www.evgroup.com

Eric Pabo is the business development


manager for MEMS for EVGroup,
prior to accepting this position he was
the bonding applications engineer
for North America for EV Group.
Before joining EVG he spent 5 years
working on wafer level packaging and assembly
processes for Agilent Technologies. He has over
20 years experience in electronics manufacturing,
is a professional engineer registered in the State
of Colorado, is finishing his Six Sigma Black Belt
Certification and earned a Bachelor’s Degree in
Mechanical Engineer from Colorado State University.
EVG 520 L3 (Courtesy of EVGroup)

5
M E M S Tr e n d s
M a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

company v ision

CMOS players eye MEMS system business


Maturing process technology and tighter integration of MEMS and CMOS
systems are attracting a range of CMOS players to the MEMS business, with
strategies as varied as Global Foundries’ CMOS-compatible standard processes
to Silicon Laboratories’ monolithic integration using SiGe.

A
re MEMS veterans right that the CMOS Though it has been reported that GlobalFoundries GlobalFoundries is working closely with
folks don’t realize how hard it will be to ramp plans CMOS MEMS, Kumar clarifies that what Singapore’s Institute of Microelectronics for
MEMS to good yields in high volumes? Or the company means by that is CMOS-compatible development, and getting support from the
is the value moving up to the system, with some manufacturing, by making the MEMS process as government in Singapore, who aims to develop a
MEMS just a commodity component in the IC close to CMOS as possible. That means sharing local MEMS industry, with research grants and
makers’ system? tools with CMOS where possible to reduce capital support of IME’s development fab. A consortium
spending, making most of the front end on CMOS has also formed to try to bring in standards in design
GlobalFoundries targets more processes first, doing the contaminating MEMS and packaging to help develop the local industry
CMOS-like approach to production processes separately afterwards. It also means infrastructure. Singapore already claims 12% share
making sure the MEMS is compatible with the of worldwide MEMS manufacturing, though almost
GLOBALFOUNDRIES argues MEMS is poised for CMOS and the WLP, looking at the CMOS design all of that is from the STMicroelectronics plant there.
big volumes, and for more CMOS-like approaches to to understand the issues like thermal mismatch that
high volume manufacturing, including more standard impact MEMS system performance. Silicon Laboratories opts
process modules. Rakesh Kumar, director of MEMS for monolithic integration
But it doesn’t mean monolithic integration. Kumar
for Global Foundries, is emphatic that the company
notes that hybrid integration makes most sense
with SiGe MEMS
sees high volume business in MEMS. “We’ve
for low cost consumer inertial MEMS, though high
done a lot of work looking at the market and feel Coming down firmly for monolithic integration is
frequency RF devices may need short interconnects
that this is a turning point for the MEMS business,” Silicon Laboratories, who aims to make a higher
to avoid parasitics, probably with TSVs, though the
he says, noting all the products just entering the performance MEMS timing product, to add to the
process may be too expensive. Wafer-to-wafer
consumer market and starting growth, with multiple product lineup in its fast growing ~$60 million timing
bonding looks promising if die sizes are similar. It’s
sensors in all those millions of cell phones and business. The company has never made a MEMS
developing a wafer-level packaging module and
most other consumer electronics products. “As device, but prides itself on solving similar integration
may start to go to TSV technology in perhaps 2013,
volumes increase, costs will decrease, and spur problems in building its complicated mixed signal
if customers require it.
further growth in volume. That’s a huge number of products in mainstream CMOS processes.
wafers that will become a real business for CMOS Coming from the CMOS world, however,
foundries.” GlobalFoundries counts on standard process “MEMS timing hasn’t lived up to its promise so far,”
modules to make production more efficient and argues Mike Petrowski, GM of the SiLabs timing
The company targets applications that can run reduce time to market. Kumar argues that most products business. “There’s room for improvement
1000 wafers a month or more, starting with MEMS production processes are in fact already in performance, and customers find comfort in an
accelerometers, gyroscopes and RF devices, and becoming fairly standard, and most MEMS makers established supplier with high volume capacity….
focusing on bulk MEMS with engineered SOI wafers already develop a few process modules and then We looked at the technology and considered the
to simplify manufacturing. It’s finishing installing try to build all new products on that same platform. other MEMS structures being used, and decided
its infrastructure this year, aiming at starting risk “MEMS is not like CMOS where you can go from the easiest possibility was just to add a few more
production with two customers in 3Q 2011. And it design to product in 3-6 months. It won’t happen that layers on to the CMOS wafer, as long as the recipe
recently announced a partnership with SVTC for way,” he says. “But new entrants will find it too costly worked to grow the structures.” So the company
a window in the US for development and to align to enter the business unless they develop on standard acquired Silicon Clocks last spring for its relatively
manufacturing for porting to the volume fab. processes, and CMOS foundries can do that.” straightforward low temperature SiGe MEMS on
CMOS process to eliminate the bonding wires with
their parasitic capacitance. Monolithic integration
also made sense because the timing products
could potentially be very high volume, and the
programmability already offered in the CMOS
meant there wasn’t much need for flexibility in
switching out the parts.

Petrowski argues that SiGe has good mechanical


properties, but more importantly can be deposited
without high heat on top of the CMOS wafer,
with existing equipment in a CMOS foundry with
some retrofit, but without major additional capital
investment. CTO Emmanuel Quevy has reported in
presentations that the LPCVD deposition process
stays under 425°C and produces a polycrystalline
material similar to polysilicon, with aging of less
than 1ppm per year. He said the process used gold
SiGe bonding with getters to prevent out gassing.
(Courtesy of GlobalFoundries)

6
O C to B E R 2010 i s s u e n ° 4
M E M S Tr e n d s
M a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

SiLabs sees potential for making other products


with SiGe MEMS on CMOS going forward, and
Petrowski notes that the extendablity of the
technology was a major factor in the acquisition.
“We have microprocessors with good analog
converters for access to the analog world—that
start to look a lot like things that use sensors,” he
says. The company also recently acquired CMOS

www.silabs.com
www.globalfoundries.com
Silicon Clocks CMOS MEMS monolithic integration

Michael Petrowski, General engineering, applications and marketing roles for DSP, Punjab Engineering College, India and Nanyang
Manager, Timing Solutions - Silicon wireless communications and multimedia audio products. Technological University, Singapore, respectively. He
Laboratories Inc. Mr. Petrowski has co-authored numerous technical articles is currently Director of MEMS program (200mm
Michael Petrowski serves as general and presented at technical conferences on digital signal Business Unit) at GlobalFoundries, Singapore.
manager for Silicon Laboratories’ processing, frequency synthesis and receiver architectures. Prior to this, he was deputy director of Semiconductor
timing solutions, responsible for the Mr. Petrowski has a bachelor’s and master’s degree in Process Technology Lab at Institute of Microelectronics,
company’s clock generators, oscillators, jitter-attenuating electrical engineering from North Carolina State University. Singapore where he was responsible for MEMS process
clocks and other timing products. Prior to joining Silicon development and technology transfer. His areas of
Laboratories, Mr. Petrowski served as a product manager interest include advanced copper interconnects, 3D
for Crystal Semiconductor where he was responsible for Rakesh Kumar, Director of MEMS wafer level packaging and MEMS technologies. He
the strategic direction of the T1 Line Interface Units and program, GlobalFoundries has authored and co-authored more than 90 research
Ethernet PHY products. Mr. Petrowski also spent more than He received his B.S. (Hons.) and Ph.D. publications in journals and conferences.
11 years at Harris Semiconductor where he served in senior degrees in electrical engineering from

Status of the MEMS Industry 2010

Growth is back, but only a limited number of players benefit

MaRKEt tREnDs
MEMS market forecast 2009-2015 in $M
“the growth is back, but the industry infrastructure has changed: a limited $18 000

number of companies are taking full benefit of the growth of the market. $16 000

Industry restructuration is now about to happen…,” says Jean-christophe


$14 000 Others (microstructures, micro tips, flow meter …)
Micro speakers
Oscillators

Eloy, Yole Développement.


$12 000 RF MEMS
Microdispensers (microfluidics)
Microfluidics for IVD
$10 000 Microfluidics for Research
Other optical MEMS
Projection systems
$8 000 Micro displays
Microbolometers
Digital Compass
$6 000 Gyroscopes

KEY FEatuREs
Accelerometers
Microphones
$4 000
Pressure Sensors
InkJet Heads

the objectives of this report are to provide: $2 000

TOP 30 MEMS Company ranking 2009-2008


• The expected evolution of the MEMS markets applications by
$0
2009 2010 2011 2012 2013 2014 2015

application
• A long term vision of MEMS markets 2008-2020
• Technology and business trends at equipment and materials level
• The evolution of the MEMS foundry business, the MEMS production
equipment business and the MEMS packaging YOLE DÉVELOPPEMENT

• An analysis of the strategies of the TOP 30 MEMS manufacturers


• An analysis of the business trends in the major 15 applications areas of
MEMs devices
Y O L E D É V E L O P P E M E N T

contact us
For more information, feel free to contact David Jourdan:
tel: +33 472 83 01 90, Email: jourdan@yole.fr Y O L E D É V E L O P P E M E N T

7
W o r ld ,
r th e e d
All ov e
o n n e c t
s ta y c r b u s i n e s s . . .
rs, you
re a d e
Ou r

YOLE DÉVELOPPEMENT

In-depth Market & Technology Analysis


Quarterly Magazines
Combined reach to over 15,000 subscribers
Y O L E D É V E L O P P E M E N T

Become a sponsor today!


Contact: Editorial, Advertising & Subscriptions leroy@yole.fr / www.i-micronews.com Y O L E D É V E L O P P E M E N T
OCTOBER 2010 i s s u e n ° 4
M E M S Tr e n d s
M a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

company v ision

The MEMS pioneer VTI relies on its proprietary


3D MEMS technology
VTI Technologies can be considered as a pioneer in MEMS for the past 20 years.

T
he company is a leading supplier of acceleration, Yole Développement: VTI is one of the very few YD: VTI has recently achieved the smallest
inclination and angular motion sensor solutions MEMS companies using a Through-Glass Vias accelerometer on the market (2x2 mm²). Do you
for transportation, medical, instrument and technology for its 3-axis accelerometer. Why plan to go even smaller?
consumer electronics applications. VTI develops and using glass wafers instead of Si? AK: “Smallest size components can be achieved with
produces silicon-based capacitive sensors using its Anssi Korhonen : “We are actually using a silicon the Wafer Level Packaging (WLP) technology, which
proprietary 3D MEMS (Micro Electro-Mechanical wafer and molten glass material for isolation of is close to WLCSP technology that has received
System) technology. TSVs. Benefits of the VTI cap wafer technology wide acceptance in the market. VTI WLP goes one
include good insulation and very low parasitic (stray) step further by flip chip attaching ASIC on the MEMS
In 2009 VTI was the first MEMS company to adopt capacitance. Glass, on the other hand, provides sensing element.”
Wafer Level Packaging in the world’s smallest and planar surface and reliable bonding interface to the
least power consuming three-axis acceleration structural wafer. Also, glass is very inexpensive “Further size reduction is possible and restricted to
sensor, the CMA3000, and the company has already starting material”, Mr. Korhonen explains. specific MEMS or ASIC design requirements, not so
announced that it will launch new MEMS solutions at much on packaging technology”, Mr. Antti Korhonen
Electronica 2010. YD: There are different ways to do TGV. What concludes.
makes the VTI technology specific?
Mr Anssi Korhonen, VTI Chief Technology Officer, AK: “The process is VTI proprietary technology. We www.vti.fi
was interviewed for the MEMS Trend Magazine. avoid using plating processes in forming the vias. It is
compatible for wafer level processing although needs
some specific equipment. Currently we are satisfied Mr. Anssi Korhonen, M.Sc.
with the via resistance in the tens of ohms range.” in electrical engineering, has
worked as Chief Technology
YD: Is VTI Technologies planning to use its TGV Officer for VTI Technologies
technology to other MEMS devices? Moving to since 2008.
different wafer size? He has worked for electronics
AK: “The technology in its initial form (planar manufacturing services industry
isolation and one via) has been in use since 1984. since 15 years.
In the late 90’s due to requirements by multi-axis He has held various management positions in
accelerometers and gyros we added the capability manufacturing, account management, business
for a multitude of vias. More recently this technology development and general management. Early in
has been developing for finer pad pitch and size by his career Mr. Korhonen worked as a development
utilizing dry etching of silicon instead of mechanical engineer in packaging and assembly as well as a
machining. The process is scalable for larger wafer research scientist of MEMS technologies.
CMA3000 (Courtesy of VTI) sizes. It is used for all VTI MEMS designs.”

APM on MEMS manufacturing and production


High volume MEMS mass production has been the mission for APM since it was founded in 2001.

A
PM currently ships tens of thousands of wafers on-Glass process platform, which makes this
to customers in a variety of MEMS devices. platform more considerate for different customer
APM, as an independent pure-play foundry, requirements.
accomplishes high productivity with two success
factors: responding to customers’ manufacturing Temporary wafer bonding and debonding are the
needs and investing resources on the development other technologies under development in APM.
of versatile process modules and platforms. They are considered to greatly enhance the flexibility
of manufacturing sequences, and allow handling
thin wafers through processes. APM also see their
SOI process platform, for example, has long been huge potential in wafer packaging technology. Other
extensively recognized advantageous to many MEMS techniques that catch our eyes are, for example, dry
devices both on performance and manufacturability. release and monolayer coating. They play a grate role
In response to this increasing demand for SOI when talking about device reliability. APM prioritizes
MEMS, a great deal of APM’s internal resources has these techniques in the roadmap while working closely
been placed into the area in order to bring varieties with customers on their needs.
to SOI platform. APM has accumulated extensive
process experiences on making complex structures APM believes it can stand out in the MEMS
on the SOI of very thin to thick device layer, multiple manufacturing competition through proactive mindset
device layers, or the one with embedded cavities. and rigorous production discipline on customers’
Fine combs and flexures, two-step structures, multi- needs, and, as a result, assists them in staying
step well-aligned structures have been achieved in competitive in their MEMS product races. Deep Silicon Ecth on cavity SOI wafer
APM and qualified by numerous customers. Today, with high verticality
the SOI platform is being expanded into the Silicon- www.apmsinc.com

9
OCTOBER 2010 i s s u e n ° 4
M E M S Tr e n d s
M a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

company v ision

Compugraphics continues to build on its


expertise in making MEMS photomasks
Compugraphics has been making photomasks for over 40 years and today provides top-class photomask solutions
for MEMS companies and research institutes all over the world.

P
hotomask technology has been used since Compugraphics, who then write the masks using
manufacture began of the earliest semi- sophisticated laser and e-beam exposure tools and
conductors over 40 years ago. It was then finish them in a Class 1 clean room environment.
that Compugraphics made its first photomask in
Aldershot, UK. In 1970 the company moved north With the growth in MEMs and Optoelectronic devices
to Glenrothes, Scotland, to be closer to the multitude over the past 10 years, Compugraphics has seen its
of technology companies emerging in the area that customer base expand to include these market sectors.
became known as "Silicon Glen". Compugraphics This has presented just a few challenges. MEMs and
has continued to expand and is today one of the Optical applications often use structures where smooth
most highly regarded and established Photomask curves and accurate radius is critical for example.
names in Europe and USA. It has an experienced Conventional writing tools used to make photomasks Quartz photomask during the production process
team of photomask professionals to rival any of its are predominantly designed to write Manhattan or
global competitors with similar sized operations in straight-line geometries for the IC sector. on meeting the needs of emerging markets like
Glenrothes, Scotland and Los Gatos, California. MEMS, not leading edge IC market needs. We have
Dave Muir, Customer Interface Manager, explained a reputation for quality, reliability and flexibility as
Photomasks are high precision plates made out of the key to Compugraphics’ success in this area : well as a wealth of experience that can only benefit
quartz or soda lime glass with a chrome layer on our customers."
one side, usually containing microscopic pictures of "Our people have been trained in MEMs design to
electronic circuits etched into the chrome. Whilst ensure a better understanding of our customers’ Compugraphics is owned by OM Group and
the technology has advanced dramatically since needs. We know what is important to ensure that their certified to ISO 9001 and ISO 14001.
the start, the principal remains the same with the design will translate into an application that works.
photolithography process now also an integral part Our business model is unique: The MEMs market
of the production of many MEMs and Optoelectronic place is our market place, our best and most
devices. Customers send their design data to experienced people have been steadily working www.cgi.co.uk

IMU & High Performance Inertial MEMS 2011

Complete review of inertial sensors market 2009-2015

MaRKEt tREnDs Application Space for IMU


Application Space for IMU & High Performance Inertial MEMS
& High Performance Inertial MEMS
… “the market for IMus is estimated to be $1.55B in 2009, dominated by
defense and aerospace applications. Yole sees this market growing at a Industrial,

9% annual growth rate, to reach $2.60B in 2015…” says Laurent Robin, • Agriculture
• AUVs
• Freight transport ship
civil naval
and offshore
Defense
• Defense ships
• Defense transport aircraft

Market analyst, MEMs, Yole Développement.


• Defense UAVs
• Healthcare IMU & High • Guided munitions
• High speed train
• Inclinometers Performance • LAV/Artillery Guns
• MAV/Tanks
• Oil drilling heads
• ROV Inertial MEMS • Military & special mission helicopters
• Military fighters
• Satcom antenna stab
• Military submarines
• Stabilization of optical systems
• Nuclear missiles
• Survey instruments
• Short, medium and long range missiles
• UGVs
• Vibration monitoring
Commercial • Soldier
•…
•… Aerospace
• Business Jets
• Civil aircraft
• Civil helicopters
• Civil and paramilitary UAVs
• General aviation

2009-2015 global market for high-performance IMUs


• Satellites

KEY FEatuREs
• Spacecrafts & skyrockets
•…

Breakdown by field in $M (Sept. 2010 Yole Développement)


the objectives of this report are to provide:
• Market data on high performance Inertial Measurement Units and MEMS
accelerometers / gyroscopes: key market metrics & dynamics
• Application focus on key existing markets and most promising
emerging ones YOLE DÉVELOPPEMENT

• Deep understanding of inertial sensor value chain, level of integration


& players

Y O L E D É V E L O P P E M E N T

contact us
For more information, feel free to contact David Jourdan:
tel: +33 472 83 01 90, Email: jourdan@yole.fr Y O L E D É V E L O P P E M E N T

10
OCTOBER 2010 i s s u e n ° 4
M E M S Tr e n d s
M a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

company v ision

Plan Optiks next step in MEMS cap wafer technology:


LED packaging
Plan Optik AG, the leading Cap wafer producer for wafer level packaging applications has launched it’s fully
equipped 200 mm cap wafer production line for LED packaging wafers recently.

Plan Optik’s new 200 mm cap wafer line

T
his new line is capable of making glass and processes used by Plan Optik are grinding, polishing The recent capacity expansion includes investments
glass-silicon cap wafers mainly for high (CMP), (ultra sonic) drilling, sand blasting, wet etching in latest grinding, CMP, anodic bonding equipment
power LED packaging as well as packaging and bonding glass and glass-silicon compound and automation of existing processes.
wafers for projection technology. substrates. Materials used are borosilicate and
alkaline free glass as well as silicon and silica. Plan Optik, a public company located in Germany
Light emitting diodes (LEDs) require hermetic produces wafers for MEMS applications such as tire
packaging which protects them from environmental Combining the big variety of processing techniques, pressure monitoring systems and drug dispensing
impacts such as humidity, heat and dust. Standard Plan Optik also offers cap wafers with optical solutions since almost 20 years and can refer to a
packaging methods sometimes struggle with (transparent) cavities, through holes for conductive large experience in providing such wafers to almost
these requirements since they are typically based connection as well as high accuracy blank glass all key players in the MEMS industry.
on polymer materials which do not fulfil long term substrates for various MEMS packaging tasks.
reliability requirements. Plan Optik’s LED packaging These wafers are clean room suitable and are Plan Optik’s ISO TS 16949, ISO 14001 and ISO
wafers consist of glass and silicon only - both already implemented in many high end sensor 9001 certifications ensure the quality all substrates
materials are widely used in the MEMS industry applications. are produced to.
for packaging solutions. This makes these LED
packaging wafers suitable for automotive, medical All wafers produced by the latest production
and projection applications since they work technology are characterized by low ttv, thickness
fine under harsh environmental conditions. The tolerance, low roughness and high surface quality.
temperature resistance is up to 400 centigrade. Plan Optik set the benchmark in respect to virtually
perfect glass wafer surfaces by introducing it’s
The used borosilicate glass provides an excellent proprietary development MDF polishing to the
transmission of the LED light whilst the silicon market. MDF (Micro Damaging Free) polished wafers
typically acts as a spacer. Anodic bonding of the are suitable for wet etching processes and avoid
glass lid and the silicon spacer creates a cavity the well known issue sub surface damaging which
for a single or multiple LEDs whilst an optional can lead to defects. Sub surface damaging leads
double side anti reflection coating increases the to small cavities and interconnections between the
transmittance to more than 97%. Due to anodic etched structures, weakens the bonding interface
200 mm LED packaging (cap) wafer
bonding spacer and lid glass are coupled in a non- and results in a low yield. The use of MDF polished
reversible way - even under extremely challenging wafers leads to reliable wet etching results and
conditions such as permanent temperature and provides a high wafer processing yield. www.planoptik.com
humidity cycles as often found in the automotive
industry. By implementing this new LED packaging wafer
production line Plan Optik has increased it’s capacity
Plan Optik is already running a mass production for cap wafers for wafer level packaging by 80% - in
of such LED packaging wafers which have been addition to the already existing 150-300 mm blank Carsten Wesselkamp,
Sales Manager of Plan Optik AG
developed together with one of the biggest LED and drilled glass wafer production capacity.
Mr. Wesselkamp serves as the
manufacturers world wide and the packed LEDs
international sales manager of Plan
are already implemented in large executive cars. Plan Optik is reacting to the rapidly increasing
Optik AG, the technology leader in
Demans are dramatically increasing due to the fast demand for MEMS cap wafers since late 2009 –
the production of structured cap
implementation of high power LEDs in cars. mainly for sophisticated glass-silicon compound
wafers for MEMS applications in various industries.
substrates for wafer level packaging of optical MEMS Plan Optik’s head quarter is based in Elsoff near
Plan Optik produces cap wafers from glass as well (MOEMS) such as CMOS image sensors, projection Frankfurt, Germany. The company is listed in the
as glass silicon compound wafers since many years. technology and LED applications as well as carrier Entry Standard at the Frankfurt stock exchange under
They can be bonded to device wafers in various substrates for semiconductor wafer handling and ISIN DE000A0HGQS8.
ways (mainly anodic and adhesive bonding). Core processing.

11
OCTOBER 2010 i s s u e n ° 4
M E M S Tr e n d s
M a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

A N A L Y S T C O R N E R

MEMS technology roadmap: Demand for smaller, lower cost


devices drives major technology trends for next decade
MEMS may still be largely a one product, one process business, but customers across diverse applications share a
common set of demands for smaller devices with better performance at lower cost.

A
nd that will drive the industry towards new applications where users are willing to But another technology also starting
assembly solutions for tighter integration pay the higher cost to get the better to change the integration game is
and more standard process modules over performance and smaller size from wafer-level bonding or active capping,
the next decade. Yole Développement sees most the shorter connections. Yole projects bonding the ASIC wafer to directly to
common applications shrinking to 1mm2 or 2mm2 demand for MEMS with 3D TSV will the MEMS wafer as a functional cap.
die or smaller by 2020, and price points continuing reach several hundred thousand InvenSense has led the way with its
on a sharp downward path, driving demand for 3D wafers a year by 2015. unique metal-to-metal bonding of the
TSV, active capping, thin film capping, and CMOS ASIC to the MEMS with AlGe, which
MEMS technologies going forward. Cost and Early uses of the TSV technology are efficiently and compactly makes both the
time-to-market pressures will also favor increased as varied as microphones from Sonion connection and the hermetic seal for its
reliance on standard process modules.... and fingerprint readers from IDTECK/ Dr Eric Mounier, consumer gyroscopes. But other sensor
STMicroelectronics. MEMS foundries Project Manager, makers are expected to develop wafer-
Yole Développement
Demand for smaller die, closer Dalsa, Silex and Xintec are running level bonding approaches as well.
integration drives new assembly the 3D process for various applications
that include gyros, microphones and oscillators Also coming into wider use to shrink device size
solutions
for customers like InvenSense, VTI, Epcos, and and cost is thin film capping, where the wafer
SiTime. Yole has indentified at least 20 companies with released MEMS devices is sealed under an
The biggest change coming down the pipeline is
developing TSV for MEMS, spread across the value encapsulation layer. Though the high temperature
chip stacking with through-silicon vias. This 3D TSV
chain from MEMS foundries, packaging houses, encapsulation process developed by Bosch with
technology is now starting production for those few
and IDMS. Stanford University was originally seen by some
as a step towards building CMOS on top of MEMS,
—the process has turned out to be most useful for
Yole Développement has identified at least 20 companies wafer-level encapsulation to shrink die size. The
developing TSV for MEMS, spread across the value chain thin film cap layer eliminates the need to devote
from MEMS foundries, packaging houses, and IDMS. wafer surface area to all the framing frit lines
usually used for bonding on the glass or silicon cap

New MEMS manufacturing processes drivers


(Yole Développement – October 2010)

12
OCTOBER 2010 i s s u e n ° 4
M E M S Tr e n d s
M a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

wafers, making it particularly useful for ultra small Pros & Cons for the different ASIC MEMS integration approaches
devices like oscillators and RF MEMS. SiTime is (Yole Développement – October 2010)
using the thin film capping for its oscillators, and
Pros Cons
Bosch is now using the technology in a least one
CMOS First • IC foundry can be used
of its accelerometer products. MEMtronics uses a • Thermal budget is an issue
• Chip area may be minimized
different thin film capping process on its RF MEMS
devices, while RFMD, WiSpry, ASE and CEA Leti • Microstructure topography is an issue
are all also developing capping films. • Electronics and MEMS cannot be
easily stacked
MEMS First • No thermal budget for MEMS
More CMOS-MEMS and standard • IC foundries are worried to accept
process modules coming too pre-processed wafers
(materials constraints)
These alternative TSV and capping technologies get • More control over materials,
• Need your own fab
some of the gains of shorter electrical connections processes
• Cost of increased chip area
between the MEMS and ASIC of the CMOS MEMS • Optimize/compromise mechanical
• Mechanical properties of CMOS layers
approach without having to deal with the many and electrical components
Interleaved MEMS compromised
complexities of monolithic integration. But real one- • Economical, reliability
• Supply chain issue: the MEMS
chip solutions –with the MEMS layers made before, and yield high
technology is linked to the CMOS
during or after the CMOS layers—may further • Simple post processing step
technology (that evolve much quicker!).
improve performance and reduce size and cost, in releases MEMS
part by using the existing CMOS infrastructure and
avoiding the cost of separate assembly of the MEMS in other cases, especially for small volumes, as well to control each pixel individually will require CMOS
with the ASIC. Though of course wire- bonded, two- as greater design flexibility in using different chips. MEMS, as do micromirror digital light processors
chip system-in-a-package solutions offer lower costs Going forward, all MEMS array devices that need or microbolometerswhich build the MEMS directly
above the CMOS. The very small geometries
MEMS ASIC Integration in nano MEMS chemical sensors will also likely
(Yole Développement – October 2010) require monolithic integration. A lineup of startups
has also turned to some flavor of CMOS MEMS in
recent years in hopes of disruptive advantage in
lower costs and faster volume ramps, from Akustica
and MEMSiC to Silicon Clocks and now Baolab.

The growing use of MEMS’ own standard process


modules may, however, also start to challenge
CMOS-MEMS’ purported advantage in established
processes and faster ramp to volume. As the
industry has matured, both the IDMs and the
MEMS foundries have built up repertoires of well-
characterized process blocks that can be used again
in other devices. Back end processes modules for
things like wafer-level packaging and TSVs were
the easiest and first to be applied across products,
but the approach is also now being extended to
things like silicon membranes. CEA-Leti and Silex
are most vocal about the advantages of standard
modules, but we hear a similar story from most of
MEMS A&M, fund raising 2010 the other leading MEMS foundries, and from IDMs
(Yole Développement – October 2010) like STMicroelectronics, who forces almost all its
MEMS devices into one of two established process
Company Round type Acquired Amount raised
company USD flows.

Calient Technologies First round - $10M


Additional Funds
Mezmeriz - $50,000
phase 1
DelfMEMS VC funds - $1.9M
CardioMEMS Equity Financing - $60M
Dr Eric Mounier, Yole Développement
Microvisk Financing round - $3.95M*
Measurement Pressure Systems Dr. Eric Mounier has a PhD in microelectronics from
Acquisition $25M
Specialties Inc. the INPG in Grenoble. Since 1998 he is a cofounder
Microvision Equity Financing - $12M of Yole Developpement, a market research company
based in France. At Yole, Dr. Eric Mounier is in
Microstaq Series B - $10.2M charge of market analysis for MEMS, equipment &
Silicon Labs Acquisitio Chip Sensors - material. He is Chief Editor of Micronews, a bi-monthly
magazine dedicated to micro and nano technologies.
*£2.5M converted in USD on 27/10/2010

13
OCTOBER 2010 i s s u e n ° 4
M E M S Tr e n d s
M a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

R e v erse E n g ineerin g

Three ways of finding magnetic north - a look inside


electronic compass chips
From page 1

A
variety of technologies are being used to Chipworks C hipworks

create electronic compass devices. Hall


Sensors constitute the dominant technology,
die
with AKM being the major manufacturer of
devices for consumer applications. MEMSIC and solder
ball
STMicroelectronics offer devices based on the Hall
S ens or
magnetic concentrator s olenoid
anisotropic magneto-resistance (AMR) effect, coil

while Achi Steel offers devices based on the 500 µm

magneto-impedance (MI) effect. At the present Figure 2 AK8975 Package Cross Section
time, Chipworks has seen AKM Hall Sensor based The magnetic concentrator can be seen in cross-
devices in several downstream consumer products. section in Figure 2. The cross-section shows the 50 µm

Chipworks has analyzed the AKM AK8974 from the upside down AK8975 die and cross-sectioned
Figure 4 AK8975 Hall Sensor Device
Nokia N97, the AK8973 from the iPhone 3G and the through three solder balls. The concentrator is
formed using a circular pad of FeNi alloy, known each of which is formed using an N-well in the
AK8975 from the recent iPhone 4. We have done
as “permalloy”, which has very high magnetic P-substrate. Current flows diagonally across each
analysis of samples of the Achi Steel AMI302 found
permeability. This pad was deposited and patterned sensor. A magnetic field perpendicular to the die
in a Ball It “blobo” gaming device. In addition, we have
over a die coat layer. surface will result in a measureable voltage between
analyzed samples of the MEMSIC MMC3120XM
the two contacts perpendicular to the current flow,
and the STMicroelectronics LSM303DLH electronic
due to the Lorentz force.
compass devices. In this article we will focus on
an example of each technology type, namely the
AK8975 Hall, the AMI302 MI and the LSM303DLH Achi Steel AMI302
AMR electronic compass devices. Magneto-Impedance Sensor

AKM AK8975 Hall-Effect Sensor The three-axis Achi Steel AMI302 was found in
Hall S ens or the “blobo”, a novel gaming device. It is the first MI
Y logic
The AKM devices are based on integrated CMOS electronic compass that Chipworks has seen in a
Hall Sensor technology. Figure 1 shows an X-ray of X X consumer product. The “blobo” also contains an
the AK8975 three-axis electronic compass, found in accelerometer and a pressure sensor, both from
magnetic
the iPhone 4. The device is packaged as a 2.0 mm
Y
concentrator STMicroelectronics. Figure 5 shows an X-ray of the
x 2.0 mm x 0.6 mm thick wafer level chip scale BGA AMI302 package. The device contains an ASIC plus
package. Creating a three-axis electronic compass three MI sensors, one each for X, Y and Z sensing.
using planar CMOS technology is challenging, CHIPWORKS
Decapsulation of the AMI302 revealed a signal
since the Hall Sensor structures are only sensitive Figure 3 AK8975 Die Photograph processing ASIC with RICOH die marks, indicating
to the vector component of the magnetic field that Ricoh fabricated the ASIC for Achi Steel.
perpendicular to the die surface. Thus, a critical The decapsulated AK8975 die is shown in Figure
feature of AKM’s three axis electronic compass 3. The location of the magnetic concentrator is Chipworks

technology is the use of a “magnetic concentrator”, annotated in pink. Separate Hall Sensors structures
which serves to bend field lines parallel to the die are located beneath the four quadrants of the
surface to give a perpendicular component that magnetic concentrator. Operation of the AK8975
Y

can be sensed by the integrated Hall Sensors. depends on deconvolution of the signal from
The location of the 0.33 mm diameter magnetic each of these four structures. A field vector in AS IC

concentrator, among the array of solder balls, is the horizontal direction parallel to the die surface
annotated on the X-ray. will give maximum differential signal for the two
sensors labeled X, while a field vector in the vertical
CHIPWORKS direction will give maximum differential signal for Z
X

the sensors labeled Y. A field perpendicular to the


die surface (Z-direction) will result in an equal signal
from all four sensors. The direction of the magnetic
Figure 5 AMI302 Package X-ray
vector can thus be extracted from the signal level
0.48 mm for the four structures. The electronic compass
sensor on the AK8975 die also features a coil, which
likely serves as a solenoid to provide a reference Figure 6 shows a cross-section through the Y-axis
0.33 mm
magnetic field, perhaps for calibration purposes or sensor in the AMI302. The sensor is comprised
magnetic
concentrator for demagnetizing the magnetic concentrator. of an iron-cobalt amorphous ferromagnetic wire
surrounded by a copper coil. The operation of a
A detailed optical view of the left X Hall Sensor MI sensor is described on the Aichi Steel web site
structure is provided in Figure 4. Each structure is and by K. Morie, et al. Essentially, a high frequency
actually comprised of two separate Hall Sensors, alternating current is passed through the FeCo wire.
Figure 1 AK8975 Package X-ray

14
O C to B E R 2010 i s s u e n ° 4
M E M S Tr e n d s
M a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

Chipworks of the sensor, H. If we consider a device located M2 "barber pole"


shorting strip
within an external magnetic field vector B, then the
Al M2 artifact

F eC o amorphous ferromagnetic wire


magnetizing field inside the material is given by H interconnect
90 µm
= B/µo – M, where µo is the permeability of free
930 µm
space and M is the magnetization of the material. AMR strip

410 µm
Y -s ens or
It is worth noting that in free space, outside of current
flow
9.3 µm
a magnetic material the B and H are the same,
differing only in their units and magnitude. 2.0 µm Al M2

current
The operation of AMR sensors has been nicely 10 µm flow

described by S. Andreev and P. Dimitrova. In AMR


Figure 6 AM302 Y-Sensor Cross Section C hipworks 10 µm
ferroelectric materials the resistance varies with a Figure 9 LSM303DLH XY Sensor “Barber Pole”
The impedance of the wire changes significantly in cosine-squared dependence on the angle between Strips on the AMR Film
response to an external magnetic field aligned along the direction of current flow and the direction of
the wire, due to the “skin effect”. The phenomenon the magnetizing field vector. The resistance has Concluding Comments
is known as the Giant Magneto-Impedance (GMI) a maximum value for current flowing, in either The earth’s magnetic field strength ranges from 0.3
effect. The signal is picked up by the copper coil, direction, along the vector of magnetization. gauss to maximum of 0.6 gauss, in areas near the
which apparently detects the imaginary part of the Application of a transverse magnetic field B magnetic poles, such as in northern Canada. Table
MI effect. perpendicular to a stripe of magnetized AMR 1 shows the range and resolution provided by the
material will rotate the magnetization vector H three commercial magnetic compasses discussed
STMicroelectronics LSM303DLH resulting in a reduction (ΔR) in the resistance of here. The compasses all appear to provide sufficient
Anisotropic Magneto-Resistance the strip. The sensitivity can be increased by the sensitivity for measurement of the earth’s magnetic
Sensor application of “barber pole” strips of high conductive field, with the AK8975 apparently providing the best
material onto the magnetized ARM stripe, which overall range and resolution. The AKM sensor also
The STMicroelectronics LSM303DLH is based on rotates the direction of current to 45° with respect to has the advantage of being a single chip CMOS-
Honeywell AMR technology. STMicroelectronics has the magnetization direction to the point of maximum based solution, and hence likely is the lowest cost
publicly partnered with Honeywell for the fabrication slope on the ΔR curve. Furthermore, the sign of ΔR to produce. The earth’s magnetic field strength is
of the device. The device also incorporates an then depends on the polarity of the field B. weak compared to the overall sensitivity rangeof
ST accelerometer. Figure 7 shows a plan-view these devices, which likely explains why the AKM
package X-ray of the LSM3030DLH, annotated with sensors do apparently required fairly regular
the location of the various chips. Decapsulation of calibration, as described on the AKM Electronic
the device revealed the accelerometer to be the R1 R2 Compass Calibration web site.
same MEMS and ASIC die combination used in
the LIS331DLH. The package also contained two Related Chipworks Reports
AMR sensing chips (one for XY and one oriented at • AKM AK8973 and AK8974 3-Axis Electronic
R2 R4
90° for Z) and an AMR signal processing ASIC. The Compass Process Review (MPR-0910-801)
AMR sensing chips had Honeywell die markings.
R1 R3 • AKM Semiconductor AK8973 3-Axis Electronic
Figure 8 shows a photograph of the XY AMR sensor M3 coil Compass Circuit Analysis of Analog Blocks (CAR-
found in the LSM3030DLH. The die features two
M4 coil 1001-801)
independent Wheatstone Bridge structures, one for R3 R4
•AKM AK8975 3-Axis Electronic Compass Exploratory
X and one for Y axis magnetic sensing, color coded Report (EXR-1007-804)
red and yellow in the annotations. •MEMSIC MMC3120M Tri-Axis Magnetic Sensor
Process Review (MPR-0907-801)
pin 1
Chipworks • STMicroelectronics LSM303DLH 3-Axis Accelerometer
XY-magnetometer Figure 8 LSM303DLH XY AMR Sensor Die Photograph and 3-Axis Honeywell Magnetometer Sensor
MEMS Process Review (MPR-1002-801).
accelerometer
The “barber pole” strips used on the LSM3030DLH
and ASIC can be seen in Figure 8 for each of the eight For references please contact the author.
Wheatstone Bridge resistor structures on the
sensor die. A more detailed SEM micrograph of the
AMR layer in the LSM303DLH is shown in Figure
9. The AMR strips are magnetized lengthwise by
a metal 4 coil on the die. Cross-sectional analysis
magnetometer ASIC
showed that the metal 1 AMR film to be composed
of FeNi permalloy, while metal 2 was composed of St.J. Dixon-Warren - Chipworks Inc.
Al and TiW. sdixonwarren@chipworks.com

Z-magnetometer
Chipworks
Figure 7 LSM303DLH Package X-ray Manufacturer Part Number Type Range Resolution ADC
AKM AK8975 Hall Sensor ±12 gauss 0.003 gauss 13 bit
Understanding AMR compass devices requires STMicroelectronics LSM303DLH AMR ±8.1 gauss 0.004 gauss 12 bit
a more careful consideration of the physics of Achi Steel AMI302 MI ±10 gauss 0.01 gauss analog
magnetism. Magnetic sensors are sensitive to the
magnetic field strength vector inside the material Table 1 Magnetic Sensor Range and Resolution

15
OCTOBER 2010 i s s u e n ° 4
M E M S Tr e n d s
M a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

e v ents

MEMS Technology Summit:


Vision for the future is healthcare and connectivity
From page 1

B
ut the industry luminaries gathered at the Others outlined a staggering array of the desired range for several days.
recent MEMS Technology Summit in Palo biomedical products coming out of the Patients monitored with the device
Alto, CA, organized by the founders of lab. Neural probes of micromachined had 38% fewer hospitalizations
NovaSensor for that company’s 25th anniversary, arrays of silicon are in use for research, than those getting the current gold
also see a maturing industry that can now get while stents with sensors in their walls and standard of care. But Allen also
products to market faster, and that has finally gas analyzers to identify breath markers warned of the hurdles involved in
become a real systems business, poised for for TB just need further integration and making devices stable enough to
significant growth in networks and biomedical miniaturization, reported U. of Michigan last with minimal drift in the very
applications. professor Ken Wise. Janusz Bryzek, now harsh environment of the body for
CEO of his sixth startup Jyve, noted that a decade or more. “The average
Kurt Petersen,
The hyper connected socially networked world, the smart phone was emerging as an $40M in MEMS development costs is
President
connecting people for tasks in entirely new ways, enabling platform for potential MEMS- of KP-MEMS about right, but you have to double
is one of the major technology trends driving all based medical tools, from ultrasound that for medical approval,” he noted.
disruptive innovation, argued Kaigham Gabriel, imaging to breath analysis for disease. However, this second company
deputy director of DARPA, the US defense product took only five years to
research agency whose job it is to foresee the CardioMEMS’ wireless condition monitor develop, half the time of the first.
unexpected. “Every person and every object is for heart failure is now out of clinical
going to be connected in 3-5 years,” he noted. trials and ready to be submitted for Major suppliers all also saw plenty
The agency recently challenged groups to find ten FDA approval, reported Georgia Tech of new applications for their core
big red weather balloons tethered across the US, professor and company co-founder Mark technologies. Besides HP’s MEMS
and found that groups used social networks and Allen. High pressure puts stress on the data storage technology turned
Twitter to find them quite efficiently, with the winning heart and leads to heart failure, but if it to ultrasensitive accelerometers
team recruiting some 5000 members to locate all can be monitored, it can be controlled for wireless sensor nodes, Avago
balloons in about nine hours. The second major with the proper mix of medication, Technology is looking at using its
Benedetto Vigna,
disruptive technology trend is the democratization reducing the need for hospitalization and General Manager,
FBAR technology for oscillators and
of innovation, so many more people will be involved potentially saving some $15 billion a year MEMS Prod. Div., nano particle detectors. Knowles
in creating new things, much like open system in direct medical costs. The company’s ST Microelectronics is looking at using microphones as
software or IC design tools enable complex systems capacitive pressure sensor with wireless sensors for sounds other than voice,
to be developed by many more designers in much antenna is inserted near the heart with though still sees a big run up in
less time. “I am most excited by the potential of a catheter --which takes on average only seven voice applications. “I’m not a futurist,” noted Pete
applying semiconductor design and fab technology minutes--then monitors blood pressure and sends Loeppert, VP of R&D at Knowles Electronics. “Back
to things other than semiconductors,” says Gabriel, results to a wireless scanner. Doctors can be in 1988 I predicted I could make a microphone in a
noting the potential of simplifying the design of notified by cell phone when data remains outside year.” After ten years he did create a product, but
electromechanical systems from planes to toasters.

“We think the internet of things will be a huge market,” Status of the BioMEMS industry
concurred Horst Muenzel, regional president, (Yole Développement, BioMEMS report, Oct. 2010)
Robert Bosch LLC Research and Technology
Center, citing figures from UC Berkeley of 7 trillion
devices to serve 7 billion people worldwide in 2017.

Lots of enthusiasm for future


of bioMEMS

Asked what the likely topic for the 50th anniversary


of MEMS would be, serial entrepreneur Kurt
Petersen offered “implantable things.” Benedetto
Vigna, STMicroelectronics group VP and GM,
MEMS, sensors, and analog also saw bioMEMS
as the next wave “In my opinion, we are now at the
dawn of an new age,” argued Vigna, pointing to a
market for MEMS in, on and around the body, for
sensing, drug delivery, and cell and fluid handling.
ST is working with partners on a small, wearable
electrocardiogram sensor, a biochip that measures
lactic acid, nozzles for drug dispensing, and
disposable contact lenses with pressure sensors to
test for glaucoma.

16
O C to B E R 2010 i s s u e n ° 4
M E M S Tr e n d s
M a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

it was too expensive for the consumer market. The


first sale finally came in 2002. Now the company Heard at MEMS Technology Summit
has sold more than 1.5B units, and is shipping ~2M
units a day. Loeppert projects growing demand for
MEMS needs to move beyond MEMS.
noise cancellation will push demand up fast from While DARPA used to put a significant budget because it made more sense to manufacture gyros
the current 1.3 mics per smart phone, to a potential into funding MEMS development each year, it no with the same process as the accelerometers. It’s
market of perhaps 1 billion units. longer does so directly, but instead funds MEMS working on moving its pressure sensors to the
as part of a lot of other programs, from navigation same process as well.
to thermal management to 3D interconnect,
All these growing applications will generating almost
pointed out Stanford professor Thomas Kenny, Cars with MEMS electronic stability controls
a $50 billion MEMS market by 2020, supporting a
just retired from the US defense research reduce fatalities by 40%-50% wherever
$1.7 billion market in equipment, suggested Yole organization. “MEMS may be starting to hold us introduced. All thanks to a Swedish journalist
president Jeff Perkins. However, by 2015 very few back,” he suggested, noting the need now for testing cars for that important feature of moose
MEMS devices will cost more than a dollar, and more interdisciplinary exchange and synergies. avoidance who famously rolled a Mercedes,
only some of the dozens of companies competing in “Maybe we should end some MEMS conferences, creating the market for MEMS gyroscopes in ESC
some of these niches will survive. then the contents of the meeting would diffuse to systems, pointed out by just about everybody.
other meetings,” he proposed to stir up discussion.
A MEMS-based spectrometer developed by
“It’s taken 40 years, but we have finally crossed Polychromix, now Thermo Fisher Scientific,
a tipping point to a systems business,” said analyzed the composition of a plume of debris
professor Ken Wise of the University of Michigan. ejected from a crater on impact to show that there
The new electronics takes us into health, is water on the moon. Details are out in the Oct 22
transportation, security and energy systems. I issue of Science.
always sort of wanted to be a DRAM designer
because they were mainstream, but today MEMS There’s a corollary to the rule that the typical
is mainstream.” MEMS company goes through 3-4 CEOs before
shipping its first commercial MEMS product:
MEMS needs to escape the trap of one process, The typical MEMS company founder starts 3-5
one product, one application-the negation of all different companies.
the experience of CMOS, argued ST’s Benedetto
Vigna, noting that the company worked three years
on a new gyro technology, but then scrapped it Paula Doe for Yole Développement
Paula Doe for Yole Développement

BioMEMS 2010
Microsystems devices driving healthcare applications
MaRKEt tREnDs
Trends in IVD:
•Major trend towards point

“the microsystem technologies market for healthcare applications will of care testing through
integration of disposable
biosensors in portable

grow from $1.2 B in 2009 to $4.5 B in 2015, representing over 1 B units Pharmaceutical research
Industrial and environmental testing
devices
•Combination of
biosensors and dispensing

per year in 2015. In the Meanwhile wireless systems will exceed 50%
Analytical devices devices f or theranostics
•Increase sensor density to

Dispensing IVD Diagnostic enable multiplexing and


screening

market share,” says Frédéric Breussin, Project Manager, Microfluidics.


Kidney Dialysis Safety
Trends in medical:

KEY FEatuREs •Development of BioMEMS


lead to a technology shif t
towards “home Care”
Infusion devices Point of care
Comfort
Trends in Home Care:
through miniaturization and •Home care consists today
Blood Monitoring
the BioMEMs 2010 report is a robust analysis of the Micro Devices with integration, f rom portable
to implantable Surgery , imaging,
Camera pills
Patient monitoring
mainly in monitoring of
some basic parameters,
•Combination of diagnostic
Home Care such as temperature, blood
Medical
the most advances to develop solutions for vital bio-medical applications.
via Bio Sensors and pressure, glucose, comf ort
treatment such as drug Cardiac Monitoring and activity.
delivery systems will lead •Development towards
to improved patient Activity Monitoring portable technology will
Microsystem devices for healthcare applications
Monitoring market 2009-2015 in $M
the devices considered are: monitoring Respiratory
lead to a transf er of post-
Oxygen concentrators operation monitoring
Capnographs Hearing aids
5000 towards home care

• Pressure sensors 4500 Medical devices Ventilator and anesthesia


SEPTEMBER 2010 - BIOMEMS REPORT
•Improvements of sensors
and bio-sensors will lead to
improved patient

• Silicon microphones Home Care monitoring and prevention

4000 In Vitro Diagnostics

• Accelerometers
Pharmaceutical and biological Research
3500

• Gyroscopes 3000

• Optical MEMS and Image sensors 2500

• Microfluidic chips 2000


YOLE DÉVELOPPEMENT

• Microdispensers for drug delivery 1500

• Flow meters
1000

500

• Infrared temperature sensors 0

• Emerging MEMS (RFID, Strain sensors, Energy Harvesting) 2009 2010 2011 2012 2013 2014

Y O L E
2015

D É V E L O P P E M E N T

contact us
For more information, feel free to contact David Jourdan:
Y O L E D É V E L O P P E M E N T
tel: +33 472 83 01 90, Email: jourdan@yole.fr

17
OCTOBER 2010 i s s u e n ° 4
M E M S Tr e n d s
M a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

About Yole Développement


Beginning in 1998 with Yole Développement, we have grown to
become a group of companies providing market research, strategy
consulting, teardown analysis, media and finance services. With
a solid focus on emerging applications using silicon and/or micro
manufacturing Yole Développement group has expanded to include
more than 40 associates worldwide covering MEMS, Microfluidics,
Compound Semiconductor, Power Electronics, Photovoltaic,
Advanced Packaging and Nanomaterial. The group supports
companies and investors worldwide to help them understand
markets and follow technology trends to develop their business.

SERVICES
• Market research and market data
• Technology analysis

SOLUTIONS FOR
• Market workshop
• Strategy consulting
• Corporate Finance Advisory

PUBLICATIONS
• Collection of market & technology reports
• Players & technology databases and market data
MEMS
• Manufacturing cost simulation tools
• Component reverse costing analysis
More information on www.yole.fr
PROCESSES
MEDIA
• Critical news, Bi-weekly: Micronews, the magazine
• In-depth analysis & Quarterly Technology Magazines: MEMS Lithography, spray coating,
Trends Magazine – 3D Packaging Magazine – PV Manufacturing top/bottom alignment
Magazine - Efficien’Si
• Online disruptive technologies website:
www.i-micronews.com
• Exclusive Webcasts
Nano imprint lithography and
• Live event with Market Briefings hot embossing

CONTACTS
For more information about : 3D integration and wafer level
• Yole Développement: Jean-Christophe Eloy (eloy@yole.fr) packaging
• Publications: David Jourdan (jourdan@yole.fr)
• Media activities: Sandrine Leroy (leroy@yole.fr)

Editorial Staff
Managing Editor: Jean-Christophe Eloy
Editor in chief: Dr Eric Mounier
Editors: Docteur Eric Mounier, Laurent Robin,
Jérôme Mouly, Paula Doe
PR & Media Manager: Sandrine Leroy
Assistant: Camille Favre
Production: Atelier JBBOX

18

S-ar putea să vă placă și