Sunteți pe pagina 1din 5

Programul cod

SUBDESIGN lungu_project
(
clk : INPUT;
verde_nord_sud, galben_nord_sud, rosu_nord_sud, verde_vest_est,
galben_vest_est, rosu_vest_est : OUTPUT;
timer[6..0] : OUTPUT;
)
VARIABLE
CT : lpm_counter WITH (LPM_WIDTH=7, LPM_MODULUS = 80);

BEGIN
CT.clock = clk;
timer[6..0] = CT.q ;
if(timer[] <30) then
verde_nord_sud = VCC;
galben_nord_sud =GND;
rosu_nord_sud = GND;
verde_vest_est = GND;
galben_vest_est = GND;
rosu_vest_est = VCC;
elsif (timer[] < 35 AND timer[] >= 30) then
verde_nord_sud = GND;
galben_nord_sud =VCC;
rosu_nord_sud = GND;
verde_vest_est = GND;
galben_vest_est = VCC;
rosu_vest_est = VCC;
elsif (timer[] >= 35 AND timer[] < 75) then
verde_nord_sud = GND;
galben_nord_sud =GND;
rosu_nord_sud = VCC;
verde_vest_est = VCC;
galben_vest_est = GND;
rosu_vest_est = GND;
elsif (timer[] >= 75) then
verde_nord_sud = GND;
galben_nord_sud = VCC;
rosu_nord_sud = VCC;
verde_vest_est = GND;
galben_vest_est = VCC;
rosu_vest_est = GND;
endif;
END;

Compilation Report
Schema RTL
Schema „post-fitting”

Schema „post-mapping”

.
Concluzii
În această lucrare de laborator am am elaborat o unitatea de comandă prin
cod pentru două semafoare rutiere, instalate la intersecţie, ce dirijează traficul
rutier în direcţia Nord-Sud şi Vest-Est și ele funcționează concomitant, am
elaborat laboratorul prin aceiași modalitate care am utilizato în cazul primii lucrări
de laborator

S-ar putea să vă placă și