Documente Academic
Documente Profesional
Documente Cultură
Integrated Circuit
Design
www.ies.tu-darmstadt.de
Integrated Electronic
Systems Lab
Integrated Electronic
Systems Lab
1. Introduction
Contents
2. Repetition MOS Transistors
5. CMOS Inverter
6. CMOS Technology
7. CMOS Logic
8. Passtransistor Logic
10. Performance
13. FSM
17. Microarchitectures
20. Testing
Exercises
Integrated Electronic
Systems Lab
Integrated Electronic
Systems Lab
Advanced Digital Integrated
Circuit Design
http://www.ies.tu-darmstadt.de
Integrated Electronic
Systems Lab
Organisational (I)
• This lecture is intended for students of the following subjects:
– Wirtschaftsingenieurwesen Elektrotechnik (FB1, >= 5. Semester)
– Elektrotechnik und Informationstechnik (FB18, >= 5. Semester)
– Informatik (FB20, nach dem Vordiplom)
– Intern. Master Program Information & Communication Engineering
Integrated Electronic
Organisational Systems Lab 2
Organisational (II)
Lecture:
Tuesday 800h - 940h in room S3|06/052
Friday 800h - 940h in room S3|06/052
Practice:
The excercises will take place within the lecture hours (Tue. or Fri., to be
announced depending on progress)
Attending Staff:
Information:
You must register for this lecture and the exam using TUCAN. We will use
the TUCAN messaging system to communicate
Consultation hours:
Directly after the lecture/exercise, or upon request
Integrated Electronic
Organisational Systems Lab 3
Exam
Examination:
You must register for this exam using TUCAN! (Some exceptions may apply,
e.g. diploma students, or students from non FB-18/20 departments).
Integrated Electronic
Organisational Systems Lab 4
Overview
Integrated Electronic
Organisational Systems Lab 5
Literature
[1] John P. Uyemura: Fundamentals of MOS Digital Integrated
Circuits, Addison Wesley, 1988
Integrated Electronic
Organisational Systems Lab 6
1. Introduction
Integrated Electronic
Systems Lab
Silicon
Siliconcomponents
components
Discrete
Discretedevices
devices
Integrated
Integratedcircuits
circuits and optoelectronics
and optoelectronics
Analog
Analogand
and Logic Memory
Memory Microcomponets
Microcomponents
Logic
Mixed signal
Mixed signal ••Logic ••DRAMs
DRAMs ••Microprocessors
Microprocessors
Logic
••Gate
Gatearrays
arrays ••SRAMs
SRAMs ••Microcontrolers
Microcontrollers
••Cell based
Cell based ••Flash
Flash ••Microperipherals
Microperipherals
••FPLDs
FPLDs ••Other
Other
••SoC
Other
Integrated Electronic
1: Introduction Systems Lab 8
WW Semiconductor Sales 2008
Rank Company Origin Revenue Market
(Mio US$) Share (%)
1 Intel Corp. U.S.A. 33767 13.1
2 Samsung South 16902 6.5
Korea
3 Toshiba Japan 11081 4.3
4 Texas Instrum. U.S.A. 11068 4.3
5 STMicroelectronics France/ 10325 4.0
Italy
6 Renesas Japan 7017 2.7
7 Sony Japan 6950 2.7
8 Qualcomm U.S.A. 6477 2.5
9 Hynix South 6023 2.3
Korea
10 Infineon Germany 5954 2.3
Foundries excluded (Revenue: TSMC: 10000 Mio US$, UMC: 3500)
Integrated Electronic
1: Introduction Systems Lab 9
Integrated Electronic
1: Introduction Systems Lab 11
Integrated Electronic
1: Introduction Systems Lab 12
11326.74um
Example 3: Analog/Mixed Signal RF
Infineon E-Gold Radio, 2005
Application area:
BB+RF Part of entry-
level mobile phone
GSM/GPRS
Quadband
Support of Camera,
Keyboard, 2
Displays, MP3 ...
1st chip that
combines logic + RF
Technology: 130nm
Integrated Electronic
1: Introduction Systems Lab 13
Example 4: AMB
Infineon/Qimonda: Advanced Memory Buffer, 2006
Integrated Electronic
1: Introduction Systems Lab 14
Example 4: AMB
Infineon/Qimonda: Advanced Memory Buffer, 2006
Integrated Electronic
1: Introduction Systems Lab 15
Area: 25400mm2
1
0.02 0.05 0.1 0.5 1
MOSFET channel length (µm)
Integrated Electronic
1: Introduction Systems Lab 17
Interconnect
Passivation
Technology Requirements:
Dielectric
Inductive effects will become
Etch stop
increasingly important layer
Additional metal patterns or Global Dielectric
ground planes for inductive diffusion
barrier
shielding
Thinner metallization
Lower line-to-line Copper
conductor
capacitance with metal
Intermediate barrier liner
Increasing pitch and
thickness at each
conductor level to alleviate
the impact of interconnect Local Pre-metal
dielectric
delay Tungsten
contact
plug
Source: SIA Roadmap 1999
Integrated Electronic
1: Introduction Systems Lab 18
Productivity Gap: Technology vs. CAD
Integrated Electronic
1: Introduction Systems Lab 19
Integrated Electronic
1: Introduction Systems Lab 20
ITRS roadmap
ITRS Feature Size Projections
1000000
uP chan L
DRAM 1/2 p Human hair
100000 thickness
min Tox
max Tox
10000 Eukaryotic
cell
Feature Size (nanometers)
1000 Bacterium
100 Virus
10 Protein
molecule
DNA molecule
1
thickness
Atom
0,1
1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 2005 2010 2015 2020 2025 2030 2035 2040 2045 2050
Year of First Product Shipment
We are here
Integrated Electronic
(Sources: 1994-2009 SIA/ITRS roadmaps, 1997 lecture
SystemsbyLab
Gordon Moore)
ITRS roadmap
(source: ITRS ‘08 roadmap)
Integrated Electronic
Systems Lab
NOW
Technology Scaling: Notation
Integrated Electronic
Systems Lab
Resistance Scaling
Integrated Electronic
Systems Lab
Capacitance Scaling
w
s
• Fixed-shape structure (any):
C ∝ lw/s ∝ ⇓⇓/⇓ = ⇓
– E.g. scaled devices/wires
• Per unit wire length:
– C ∝ •w/s ∝ •⇓/⇓ ∝ • (constant)
• Cross-chip thin wire: C ∝ ↑
• Per unit area: C ∝ ••/s ∝ ⇑
– E.g., total on-chip cap./cm2
Integrated Electronic
Systems Lab
Some 1st-order
Semiconductor Scaling Laws
Integrated Electronic
Systems Lab
Why Voltage Scaling?
• For many years, logic voltages were maintained at
fairly constant levels as transistors shrunk
– TTL 5V logic – was standard for many years
– later 3.3 V, now: ~1V within leading-edge CPUs
• Further shrinkage w/o voltage scaling is no longer
possible, due to various effects:
– Punch-through
– Device degradation from hot carriers
– Gate-insulator failure
– Carrier velocity saturation
• In general, things break down at high field
strengths
– constant-field voltage scaling may be preferred
Integrated Electronic
Systems Lab
Punch-Through
Vbias
gate
electrode
n p n
e− e− e− p+ p+ p+ p+ e− e− e− Zero bias
e− e− e−
e− e− e−
e− e− e− Moderate bias
e− e− e−
e− e− e− Strong bias
e−
e−
n n n p n
p
e− e− e− p+ p+ p+ p+ e− e− e− e−e−e− p+p+p+p+ e−e−e−
e− e− e− e−e−e−
e− e− e− e−e−e−
e− e− e− − −
e ee − −
e −
e −
e
e− e− e− e−e−e−
e− e− e−
e−
e− Smaller size & same voltage →
higher electric field strengths →
e− e− e− easier punch-through
Integrated Electronic
Systems Lab
Integrated Electronic
Systems Lab
Delay Scaling
Integrated Electronic
Systems Lab
Performance scaling
• Performance characteristics:
– Clock frequency for small, transistor-delay-dominated local
structures: f ∝ 1/t ∝ ⇑ (up 14%/yr)
– Transistor density (per area): d = 1/⇓⇓ = ⇑⇑
– Perf. density RA = fd = ⇑⇑⇑; chip area: A ∝ ↑↑
– Total raw performance (local transitions / chip / time): R = fd A =
⇑⇑⇑↑↑ = 1.55year
• Increases 55% each year!
• Nearly doubles every 18 months (like Moore’s Law).
• Raw performance has (in the past) been harnessed for improvements
in serial microprocessor performance.
• Future architectures will need to move to more parallel programming
models to fully use further improvements.
Integrated Electronic
Systems Lab
Charges & Currents
Integrated Electronic
Systems Lab
Interconnect Scaling
Integrated Electronic
Systems Lab
3-D Scalability?
Integrated Electronic
Systems Lab
Types of Limits
Integrated Electronic
Systems Lab
Dielectric Constants
Integrated Electronic
Systems Lab
Some Device Limits
• MOSFET channel length
– Generally, the lower, the better!
• Reduces load capacitance & thus load charging time.
– But, lengths are lower-bounded by the following:
• Manufacturing limits, such as lithography wavelengths.
• Supply voltage lower-limits to keep a decent Ion/Ioff.
• Depletion region thickness due to dopant density limits.
• Yield, in the face of threshold variation due to statistical fluctuation in
dopant concentrations.
• Source-to-drain tunneling.
• Distributed RC network response time
– Limited by:
• ρ of wires (e.g. the recent shift from Al to Cu)
• κ of insulators (at most, 4x less than SiO2 is possible)
• Widths, lengths of wires: limited by basic geometry
Integrated Electronic
Systems Lab
Circuit Limits
Integrated Electronic
Systems Lab
System Limits
• Architectural limits
• Power dissipation
• Heat removal capability of packaging
• Cycle time requirements
• Physical size
Integrated Electronic
Systems Lab
Integrated Electronic
Systems Lab
Manufacturing Limits
Integrated Electronic
Systems Lab
Integrated Electronic
Systems Lab
Energy Limits in Electronics
Integrated Electronic
Systems Lab
Integrated Electronic
Systems Lab
Challenge: System-on-a-Chip Design ?
System on a Chip
Reuse, IP Cores
Design RTL
Complexity
Synthesis
Gates
All layers
Non-standard Custom
customised
IC
ASIP
(application Circuit with fuse,
Programmable
specific) antifuse or
memory that can
be programmed
Integrated Electronic
1: Introduction Systems Lab 48
Market for Systems-on-a-Chip
Source:
Hugo De Man Services Broadband
EIS´99, Darmstadt Network
100Mb/sWLAN
RF
20Gop/s
Java <1 Watt
WWW Configurable
LAN
Multi-Standard
Info Plug...
MPEG 4-7
100 Gop/s
??
5 Gtr/s
10 Watt
Area Examples:
-> Domain Specific Computing
Multimedia
Mobile Communication SoC
Automotive
...
Integrated Electronic
1: Introduction Systems Lab 49
Integrated Electronic
Systems Lab
Structure of MOSFET
vS vG vD
iS iG iD
Gate (G)
Source (S) Drain (D) D
n+ Channel Region n+ G B
P-Type Substrate
S
Body (B)
iB
vB
Integrated Electronic
2: Transistors Systems Lab 51
Inversion
Integrated Electronic
2: Transistors Systems Lab 52
Ohmic region
8.00e-4
• Increasing VDS to a value VDS > 0
VGS= 5 V
leads to a current ID.
• Near the drain the voltage
0.00e+0
0.0 0.2 0.4 0.6 0.8
Drain-Source Voltage (V)
Integrated Electronic
2: Transistors Systems Lab 53
Pinch - off
Integrated Electronic
2: Transistors Systems Lab 54
Saturation
Integrated Electronic
2: Transistors Systems Lab 55
Output Characteristics
2.20e-4
Linear VGS = 5 V
2.00e-4 Region
1.80e-4
Drain-Source Current (A)
Pinchoff Locus
1.60e-4
Saturation Region
1.40e-4
1.20e-4 VGS= 4 V
1.00e-4
8.00e-5
6.00e-5 VGS = 3 V
4.00e-5
VGS< 1 V
2.00e-5 VGS= 2 V • VT = 1V
0.00e+0
0 2 4 6 8 10 12
Drain-Source Voltage (V)
Integrated Electronic
2: Transistors Systems Lab 56
Channel Length Modulation
Integrated Electronic
2: Transistors Systems Lab 57
250
drain current versus gate-source Enhancement-Mode
voltage for a fixed drain-source 200 Depletion-Mode
voltage
• If threshold voltage of NMOS 150
transistor negative → depletion
mode MOSFET (there exists an 100
implanted n-type channel region)
50
S G D
0
VTN = -2 V VTN = +2 V
n+ n+
Implanted n-type
Channel Region -50
-4 -2 0 2 4 6
L Gate-Source Voltage (V)
p-type substrate
Integrated Electronic
2: Transistors Systems Lab 58
P-channel MOSFET (PMOS)
p+ Channel Region p+
1.00e-4
V SG = 3 V (VGS= -3V)
L 5.00e-5
VSG= 2 V (VGS= -2 V)
n-type substrate 0.00e+0 V SG< 1 V (VGS > -1 V)
Body
iB vB > 0 -5.00e-5
-2 0 2 4 6 8 10 12
Source-Drain Voltage (V)
Integrated Electronic
2: Transistors Systems Lab 59
S S
(a) NMOS enhancement-mode device (b) PMOS enhancement-mode device
D D
G G
B B
S S
(c) NMOS depletion-mode device (d) PMOS depletion-mode device
D D
G G
S S
(e) Three-terminal NMOS transistor (f) Three-terminal PMOS transistor
Integrated Electronic
2: Transistors Systems Lab 60
Summary of MOS Equations
D S
G iDS
G B
B
iSD
S D
Integrated Electronic
2: Transistors Systems Lab 61
n+ n+
C n-type channel C
SB DB
p-type substrate
NMOS device in
the linear region Bulk
Integrated Electronic
2: Transistors Systems Lab 62
MOS Capacitances - Saturation
The channel shields the bulk electrode from the gate since the inversion layer acts
as conductor between drain and source. The channel is pinched off and does not
contact the drain n+ region. Gate
Source Drain
n+ n+
C n-type channel C
SB DB
p-type substrate
Integrated Electronic
2: Transistors Systems Lab 63
C' C'OL
OL
n+ n+
CGB
CSB C DB
Depletion region
p-type substrate
Integrated Electronic
2: Transistors Systems Lab 64
Small-Signal Models for Field-Effect
Transistors (I)
+
ig
+ v
ds
v
gs
-
-
ig id
G D
+ +
v g v rο v
gs m gs ds
- -
S
Integrated Electronic
2: Transistors Systems Lab 66
Body Effect in the
Four-Terminal MOSFET
G D B
+ + +
gmv gs gmbvbs ro vds vbs
vgs
- -
-
S
Integrated Electronic
2: Transistors Systems Lab 67
High-Frequency MOSFET
Small Signal Model
D*
CGD RD C BD
CGB
B
D
G + + +
gmv gs gmbvbs ro vds vbs
vgs
- -
-
S
CGS RS C BS
S*
Integrated Electronic
2: Transistors Systems Lab 68
High-Frequency MOSFET
Small Signal Model
Integrated Electronic
2: Transistors Systems Lab 69
Integrated Electronic
Systems Lab
Overview.
• Short Channel
Devices.
• Velocity Saturation
Effect.
• Threshold Voltage
Variations.
• Hot Carrier Effects.
• Process Variations.
Integrated Electronic
3: Short Channel Effects Systems Lab 71
Integrated Electronic
3: Short Channel Effects Systems Lab 72
Velocity Saturation Effect (I)
L x
Qi(x)=-COX[VGS-V(x)-VT] (1)
p-substrate
ID=-vn(x)Qi(x)W (2)
Integrated Electronic
3: Short Channel Effects Systems Lab 73
Integrated Electronic
3: Short Channel Effects Systems Lab 74
Velocity Saturation Effect (III)
vn (m/s)
Integrated Electronic
3: Short Channel Effects Systems Lab 75
Integrated Electronic
3: Short Channel Effects Systems Lab 76
Velocity Saturation Effect (V)
Integrated Electronic
3: Short Channel Effects Systems Lab 77
ID
Short-channel device
VDS
VDSAT VGS-VT
Integrated Electronic
3: Short Channel Effects Systems Lab 78
Simplificated model for hand calculations (I)
Lν sat
VDSAT = LΕ C = (11)
µn
Under these conditions the equation for the current in the linear
region remains unchanged from the long channel model. The
value for IDSAT is found by substituting eq. (11) in (5).
Integrated Electronic
3: Short Channel Effects Systems Lab 79
W ⎡ 2
VDSAT ⎤
I DSAT = µ n COX (V
⎢ GS − VT )VDSAT − ⎥
L ⎣ 2 ⎦
⎡ V ⎤
I DSAT = vsat COX W ⎢(VGS − VT ) − DSAT ⎥ (12)
⎣ 2 ⎦
Integrated Electronic
3: Short Channel Effects Systems Lab 80
I-V characteristics of long- and short-
channel MOS transistors both with W/L=1.5
Integrated Electronic
3: Short Channel Effects Systems Lab 81
Integrated Electronic
3: Short Channel Effects Systems Lab 82
Threshold Voltage Variations (I)
VT = VT 0 + γ ( − 2φ F + VSB − − 2φ F ) (11)
• Eq. (11) states that the threshold Voltage is only a function of the
technology and applied body bias VSB
Integrated Electronic
3: Short Channel Effects Systems Lab 83
VT VT
L
VDS
Integrated Electronic
3: Short Channel Effects Systems Lab 84
Hot Carrier Effects (I)
Integrated Electronic
3: Short Channel Effects Systems Lab 87
2.10
2.10
1.90
Delay (nsec)
Delay (nsec)
1.90
1.70
1.70
1.50 1.50
1.10 1.20 1.30 1.40 1.50 1.60 –0.90 –0.80 –0.70 –0.60 –0.50
Integrated Electronic
3: Short Channel Effects Systems Lab 88
Parameter values for a 0.25µm CMOS
process. (minimum length devices).
Integrated Electronic
3: Short Channel Effects Systems Lab 89
Integrated Electronic
Systems Lab
Four mask layout and cross section of a N
channel MOS Transistor.
Integrated Electronic
4: MOSFET Model Systems Lab 91
Integrated Electronic
4: MOSFET Model Systems Lab 92
Equations for the different operation regions
I DS = 0 (VGS ≤ VTH )
KP
I DS = (W Leff )VDS [2(VGS − VTH ) − VDS ](1 + LAMBDA ⋅ VDS ) (0 ≤ VDS ≤ VGS − VTH )
2
I DS =
KP
(W Leff )(VGS − VTH )2 (1 + LAMBDA ⋅VDS ) (0 ≤ VGS − VTH ≤ VDS )
2
(
VTH = VT 0 + GAMMA 2 ⋅ PHI − VBS − 2 ⋅ PHI )
and the channel length:
Leff = L − 2 ⋅ LD
Integrated Electronic
4: MOSFET Model Systems Lab 93
Integrated Electronic
4: MOSFET Model Systems Lab 94
MOSFET SPICE PARAMETERS.
Parameter Name SPICE Symbol Analytical Symbol Units
Lateral diffusion/
Gate-source overlap LD LD M
Transconductance
parameter KP µnCOX A/V2
Threshold voltage/
Zero-bias threshold VTO VTO V
Channel-length
modulation parameter LAMBDA λn V-1
Bulk threshold/
Backgate effect parameter GAMMA γn V1/2
Surface potential/
Depletion drop in PHI -φP V
inversion
Integrated Electronic
4: MOSFET Model Systems Lab 95
Integrated Electronic
4: MOSFET Model Systems Lab 96
LEVEL 1 MOSFET MODEL PARAMETERS.
Integrated Electronic
4: MOSFET Model Systems Lab 97
CBD CBS
C BD (VBD ) = C BS (VBS ) =
(1 − VBD PB )MJ (1 − VBS PB )MJ
Integrated Electronic
4: MOSFET Model Systems Lab 98
Large-signal, charge-storage capacitors of
the MOS device.
Integrated Electronic
4: MOSFET Model Systems Lab 99
CJ ⋅ AD CJSW ⋅ PD
C BD (VBD ) = +
(1 − VBD PB )MJ (1 − VBD PB )MJSW
CJ ⋅ AS CJSW ⋅ PS
C BS (VBS ) = +
(1 − VBS PB )MJ (1 − VBS PB )MJSW
Integrated Electronic
4: MOSFET Model Systems Lab 100
Bottom and Sidewall components of the
bulk junction capacitors.
Bottom=ABCD
Sidewall=ABEF+BCFG+DCGH+ADEH
Integrated Electronic
4: MOSFET Model Systems Lab 101
Integrated Electronic
4: MOSFET Model Systems Lab 102
Overlap Capacitances of an MOS transistor.
(a) Top view showing the overlap between the source or drain
and the gate. (b) Side view.
Integrated Electronic
4: MOSFET Model Systems Lab 103
Transconductance
parameter KP 50 x 10-6 25 x 10-6 A/V2
Channel-length
modulation parameter 0.1/L (L in µm) 0.1/L (L in µm) V-1
LAMBDA
Gate-Drain overlap
capacitance. CGDO 5 x 10-10 5 x 10-10 F/m
Gate-Source overlap
capacitance. CGSO 5 x 10-10 5 x 10-10 F/m
Integrated Electronic
4: MOSFET Model Systems Lab 104
5. CMOS Inverter
Integrated Electronic
Systems Lab
v v v
I O O
vI VO
V DD VCC
R R
v v
i O
i
D C
O VI
vI
vI
M Q
S S
Integrated Electronic
5: CMOS Inverter Systems Lab 106
Logic Voltage Levels
Integrated Electronic
5: CMOS Inverter Systems Lab 107
Noise Margins
vO vI
V+
"1"
NML: Noise margin associated with V OH "1"
a low input level NMH
VIH
NML
NMH = VOH - VIH "0"
VOL
"0"
V-
Integrated Electronic
5: CMOS Inverter Systems Lab 108
Dynamic Response of Logic Gates
v
I
• Rise time tr: time required for the VOH
90%
transition from V10% to V90%.
• Fall time tf: time required for the 50% V +V
OH OL
transition from V90% to V10%. 2
10%
VOL
V10% = VOL + 0.1(VOH - VOL) (a) t
tr tf
V90% = VOL + 0.9(VOH - VOL)
vO
τ PHL τ PLH
VOH
• Propagation delay τP: difference 90%
in time between the input and V
OH
+V
OL
50%
output signals reaching V50%. 2
10%
VOL
V50% = (VOH + VOL)/2
(b) t 1 t t2 t3 t t4 t
τ PLH + τ PHL
f r
V =5V
DD
• NMOS switching device MS
designed to force vO to VOL R
v
• Resistor load R to pull the output O
up toward the power supply VDD i
D
+
• VOH = VDD (driver in cut off v M v
⇒ iD = 0) I S DS
• VOL determined by W/L ratio of
MS -
Integrated Electronic
5: CMOS Inverter Systems Lab 110
Example
V = 5V VDD= 5V
DD i
DD
R R 95 k Ω
v =V =5V
O OH
v =V
O OL
0 50 µA
M +
S
M v = 0.25 V
S DS
2.06
1 -
v =V <V v =V =5V
I OL TH I OH
(a) (b)
Integrated Electronic
5: CMOS Inverter Systems Lab 111
On - Resistance
VDD VDD
R R
VOH VOL
v = V OL v =V
I I OH
R on R on
(a) (b)
vDS 1 Ron 1
Ron = = VOL = VDD = VDD
iD W ⎛ v ⎞ Ron + R R
K 'n ⎜ vGS − VTN − DS ⎟ 1+
L ⎝ 2 ⎠ Ron
Integrated Electronic
5: CMOS Inverter Systems Lab 112
Transistor Alternatives to the Load Resistor
VDD VDD
ML ML
+
vO vO
vI MS vI MS
(a) NMOS inverter with gate of the load (b) NMOS inverter with gate
device connected to its source of the load device grounded
V DD V DD
VGG
ML ML
vO vO
vI MS VI
MS
Integrated Electronic
5: CMOS Inverter Systems Lab 113
B S D vo D S B
p+ n+ n+ p+ p+ n+
n-well
Ohmic NMOS transistor
contact PMOS transistor Ohmic
contact
p-type substrate
C M O S T ra n sisto r P a ra m e te rs
N M O S D e vice P M O S D e vice
VTO 1 V -1 V
γ 0 .5 0 V 0 .7 5 V
2 φF 0 .6 0 V 0 .7 0 V
K' 2 5 µA /V 2 1 0 µA /V 2
Integrated Electronic
5: CMOS Inverter Systems Lab 114
Complementary MOS (CMOS) Logic Design
VDD = 5 V VDD = 5 V
• Inverter with resistive S
load ⇒ power R onp
dissipation when the M
P
input is high. G
• If an NMOS and D v
I
PMOS transistor is v v
v O
I D O
used ⇒ CMOS.
• One transistor is G
M
N
always off while the
other is on ⇒ no S
R onn
static power
consumption.
Integrated Electronic
5: CMOS Inverter Systems Lab 115
VIL
1 2
4.0V M N off M N saturated
M P linear
v o = v I - VTP
vo M and M P saturated
N
2.0V 3
M P saturated
M N linear
VIH
v o = v I - VTN 5
0V 4 M P off
0V 1.0V 2.0V v 3.0V 4.0V 5.0V
I
Integrated Electronic
5: CMOS Inverter Systems Lab 116
Regions of Operation of Transistors in a
Symmetrical Inverter
Integrated Electronic
5: CMOS Inverter Systems Lab 117
K R = 0.2
0V 0V
0V 1.0V 2.0V 3.0V 4.0V 5.0V 6.0V 0V 1.0V 2.0V 3.0V 4.0V 5.0V
vI vI
Integrated Electronic
5: CMOS Inverter Systems Lab 118
Calculation of VIL
Integrated Electronic
5: CMOS Inverter Systems Lab 119
Calculation of VIH
At the point VIH the NMOS device is nonsaturated and the PMOS
transistor is saturated (region 4):
Kp
Kn
2
[2(VIH − VTn )Vout − Vout ] =
2
2
(VDD − VIH − VTp )
2
⎛ Kp ⎞ Kp
VIH ⎜⎜1 +
K
⎟⎟ = 2Vout + VTn +
K
(VDD − VTp )
⎝ n ⎠ n
This equation forms together with the first equation a quadratic in VIH
which has to be solved.
Integrated Electronic
5: CMOS Inverter Systems Lab 120
Calculation of Vth
2 2 vo
M N and M P saturated
Solving for Vth yields: 2.0V 3
VTn + K p / K n (VDD − VTp )
Vth = VIH
1+ K p / Kn 0V 4 5
0V 1.0V 2.0V 3.0V 4.0V 5.0V
vI
Vth
Integrated Electronic
5: CMOS Inverter Systems Lab 121
• KR = Kp / Kn 3.0 NM
H
2.5
• Remember: K n = K 'n ⎛⎜ ⎞⎟
W
⎝ L ⎠n 2.0
⎛W ⎞
K p = K 'p ⎜ ⎟ 1.5
⎝ L ⎠p
NM L
⇒Influence of the symmetry via 1.0
W/L of transistors!
0.5
0 1 2 3 4 5 6 7 8 9 10 11
KR
Integrated Electronic
5: CMOS Inverter Systems Lab 122
Design of CMOS inverter (II)
Kp µ p (W L ) p
The ratio (W/L) in CMOS design is =
used to set the level of Vth. Kn µn (W L )n
Integrated Electronic
5: CMOS Inverter Systems Lab 123
Summary
Integrated Electronic
5: CMOS Inverter Systems Lab 124
Dynamic Behavior of the CMOS Inverter
High to Low Output Transition (I)
MN goes from Cutoff over Saturation into Nonsaturation region for the given
input.
The border between Saturation and Nonsaturation is reached at the time tx
and the output voltage Vout = VOH - VTn v
I
V DD= 5 V + 5V
MP
0V t
v I = 5V v O (0+) = 5V 0
v
O
MN C MN saturated
VOH = 5V
MN nonsaturated
(Vin - VTn)
VOL = 0 V t
t1 tX t2
Integrated Electronic
5: CMOS Inverter Systems Lab 125
Saturation:
VDD −VTn
dVOUT 2CoutVTn
t x − t1 = −COUT ∫ Kn
=
K n (VDD − VTn )
2
VDD (VDD − VTn )2
2
Nonsaturation:
V0 V0
dVOUT 2C 1 ⎛ VOUT ⎞
t 2 − t x = −COUT ∫ = − OUT ln⎜⎜
K n 2(VDD − VTn ) ⎝ 2(VDD − VTh ) − VOUT
⎟⎟ =
Kn
VDD −VTn
2
[
2(VDD − VTn )VOUT − VOUT
2
] ⎠ VDD −VTn
Integrated Electronic
5: CMOS Inverter Systems Lab 126
High to Low Output Transition (III)
dx 1 ⎛ xn ⎞
We have used the following integral: ∫ x a + bx n = an ln⎜⎜⎝ a + bx n ⎟⎟⎠
( )
dx 1 ⎛ x ⎞
In our case: n = 1, b = −1 ∫ ax − x 2
= ln⎜ ⎟
a ⎝a−x⎠
t HL = (t x − t1 ) + (t 2 − t x )
⎡ 2VTn ⎛ 2(VDD − VTn ) ⎞⎤
therefore: t HL = τ ⎢ + ln⎜⎜ − 1⎟⎟⎥
V − V
⎣ DD Tn ⎝ V 0 ⎠⎦
COUT
where τ=
K n (VDD − VTn )
Integrated Electronic
5: CMOS Inverter Systems Lab 127
From symmetry (VTn → VTp; Kn → Kp) follows for the high to low transition
time:
⇒ t LH =
COUT ⎡ 2 VTp
⎢
⎛ 2 VDD − VTp
+ ln⎜
⎞⎤
− 1⎟⎥
( )
(
K p VDD − VTp ⎢VDD − VTp
⎣
)⎜
⎝
V0 ⎟⎥
⎠⎦
V =5V
DD v
I
+ 5V
MP
0V t
V =0V
I 0
v (0+) = 0V
O v
O
M C
N + 5V
0V t
0
Integrated Electronic
5: CMOS Inverter Systems Lab 128
Dynamic Behavior of the CMOS Inverter
(cont’d)
• The choice of size of the NMOS and PMOS transistors can be dictated by the
desired average propagation delay τP
t PHL + t PLH
• For symmetrical inverter: τP = = t PHL = t PLH Kn' ≈ 2.5 K p'
2
tr = t f = 2τ P
Example:
VDD= 5 V
V =5V V =5V
DD DD
M 5 32.5
P 1 M M 20
P 1 P 1
v v v v
I o I v I v
o o
M 2 13 8
N C M M
1 N 1 N 1
1 pF 2 pF
(a) (b)
Power Dissipation
6.0V
• Two kinds of power
dissipation in digital Output Voltage
electronics: 40uA
Integrated Electronic
5: CMOS Inverter Systems Lab 130
Dynamic Power Dissipation (I)
R1 Switch closes at t = 0
The power P(t) = VDDi(t), and because The current supplied by source VDD is
VDD is a constant, also equal to the current in capacitor C,
and so ∞ dv
E D = VDD ∫ C C
dt
∞ ∞
ED = ∫ VDD i (t )dt = VDD ∫ i (t )dt
0 dt
0 0 VC ( ∞ )
= CVDD ∫ dvC
VC ( 0 )
Integrated Electronic
5: CMOS Inverter Systems Lab 131
Integrated Electronic
5: CMOS Inverter Systems Lab 132
Dynamic Power Dissipation (III)
Thus, every time a logic gate goes through a complete switching cycle, the
transistors within the gate dissipate an energy equal to ETD. Logic gates
normally switch states at some relatively high frequency (switching
events/second), and the dynamic power PD dissipated by the logic gate is
then
PD = CVDD
2
f
Integrated Electronic
5: CMOS Inverter Systems Lab 133
• Power dissipation due to the “short circuit current” (when both transistors
are on during transition)
• The short circuit current reaches a peak for Vin = Vout = VDD/2
VDD = 5 V
5.0 V
vO
Voltage
R onp
Vin = Vout = VDD/2
vI
0.0 V vout
30uA
i DD
Current
R onn
0 uA
0s 4ns 8ns 12ns 16ns
Time
Integrated Electronic
5: CMOS Inverter Systems Lab 134
Summary
Let’s repeat:
6.0V
• What is the dynamic behaviour of
Output Voltage
40uA the inverter?
4.0V • What do we need it for?
• What kind of power dissipation is
there?
20uA
2.0V • What kind of power dissipation is
dominant with CMOS logic?
Drain Current
0V 0A >>
0V 2.0V v 4.0V 6.0V
I
PD = CVDD
2
f
Integrated Electronic
5: CMOS Inverter Systems Lab 135
6. CMOS Technology
Wafer Terminology
The number of steps in IC fabrication flow depends upon the technology process
and the complexity of the circuit
Example:
CMOS n-Well process - 30 major steps, and each major step may involve up to
15 substeps
Only three basic operations are performed on the wafer:
• Layering
• Patterning
• Doping
Layering
Layers Technique
Thermal ChemicalVapor Evaporation Sputtering
oxidation Deposition (CVD)
Natural oxide: silicon will readily grow an oxide (5-10nm) if exposed to oxygen in the air!
The range for useful oxide thickness: 25nm (MOS gates) - 1500nm (field oxide)
Dry oxidation
Si + O2 → SiO2 (900-1200°C)
O2
700nm oxide: 10hours (1200°C)
SiO2
Good oxide quality: gate oxide
Silicon
Wet oxidation (water vapor or steam)
Si + H2O → SiO2 + 2H2 (900-1200°C)
700nm oxide: 0.65hours (1200°C)
Poor oxide quality: field oxide
wafer
Wafer
Magnet High Vacuum
1.A current flowing Al
(10-5-10-7 torr)
through a filament
Crucible
Layering - Sputtering
Photoresist
5.SiO2 etching
2.Photoresist deposition
UV light
5.SiO2 etching (end)
Mask
Insoluble
photoresist
Soluble
photoresist 3.UV Exposure 6.Photoresist etching
Doping
Thermal diffusion:
- heat the wafer to the vicinity of 1000°C
- expose the wafer to vapors containing the desired dopant
- the dopant atoms diffuse into the wafer surface creating a p/n region
Ion implantation:
- room temperature
- dopant atoms are accelerated to a high speed and “shot” into the wafer surface
- an annealing (heating) step is necessary to reorder the crystal structure damaged by implant
Si Substrate (p)
Oxidation (Layering)
n type
n+ n+
Oxidation (Layering)
Contact windows
n+ n+
Al evaporation
n+ n+
G
n+ n+
Si Substrate (p)
Silicon substrate
The thin pad oxide - protect the silicon surface from stress caused by nitride
3) channel stop implant: p-type regions that surround the transistors
p+ p+ p+
Field oxide is partially recessed into the surface (oxidation consume some of the silicon)
Field oxides forms a lateral extension under the nitride layer - bird`s beak region
Bird’s beak region limits device scaling and device density in VLSI circuits!
5) Etch the nitride layer and the thin oxide pad layer
Active Active
area area
• Process starts with a moderately doped (1015 cm-3) p-type substrate (wafer)
• An initial oxide layer is grown on the entire surface (barrier oxide)
SiO2
Si (p)
SiO2
n-well
Si (p)
SiO2
p+
n-well
Si (p)
Polysilicon gate
SiO2
p+
n-well
Si (p)
SiO2
S n+ n+ D n+
p+
n-well
Si (p)
5. Complement of the n-select mask - define the p+ source/drain regions of PMOS transistors
• Define the ohmic contacts to the substrate
• Implant p-type impurity atoms (boron)
• Polisilicon layer protects transistor channel regions from the boron dopant
p+ S n+ n+ D SiO2 D p+ p+ S n+
p+
n-well
Si (p)
SiO2
p+ S n+ n+ D SiO2 D p+ p+ S n+
p+
n-well
Si (p)
Contact window
SiO2
p+ S n+ n+ D SiO2 D p+ p+ S n+
p+
n-well
Si (p)
Metal
SiO2
p+ S n+ n+ D SiO2 D p+ p+ S n+
p+
n-well
Si (p)
Out
Poly
Metal
SiO2
p+ S n+ n+ D SiO2 D p+ p+ S n+
p+
Gate oxide n-well
Si (p) N-channel transistor P-channel transistor
In
GND VDD
Out
Design Rules
Well Polysilicon
6 9 2
10 2
Active
3 Metal1
3
3
3
Select 2 Metal2
4
2
3
Contact/Via
hole Minimum dimensions and distances
2
6: CMOS Technology Integrated Electronic
Systems Lab 168
Inter-Layer Design Rules - Transistor Layout (λ)
Transistor
1
3 2
Well boundary
2
m2 4
Via
1 1
m1 5
Metal1 to Metal to
Metal2 contact Poly contact
1
Metal to 3 2
Active contact Via
m2 m1
2 m1
2 2
poly
n+
Select
2
Contact to Contact to
well substrate
2
Select 1
3 3
2
5
Well
Substrate
GND In VDD
Out
Poly
Metal
SiO2
p+ S n+ n+ D SiO2 D p+ p+ S n+
p+
Gate oxide n-well
Si (p) N-channel transistor P-channel transistor
B S D D S B
p+ n+ n+ p+ p+ n+
Rn
n-well
npn transistor
Rp
p-type substrate
pnp transistor
Integrated Electronic
Systems Lab
Basic CMOS Logic Gate Structure
VDD
Integrated Electronic
7: CMOS Logic Systems Lab 175
10
1 MP 5
1
v
I vo
2 2
1 1 0 0 1
A B
0 1 0
1 0 0
1 1 0
Integrated Electronic
7: CMOS Logic Systems Lab 176
Transistor Sizing for CMOS Gates: Review
Goal: To maintain the delay times equal the reference inverter design
under the worst-case input conditions
Integrated Electronic
7: CMOS Logic Systems Lab 177
V =5V
0 0 1
Z DD 0 1 1
1 0 1
M 5 1 1 0
4 P 1
1
A v v
I O
M 2
4 N 1
1
B
Integrated Electronic
7: CMOS Logic Systems Lab 178
Multi-Input NAND Gate
V =5V
DD
Y= ABCDE
5 5 5 5 5
1 1 1 1 1
Y
Y
10 C
1 Why should one
A
prefer a NAND
10
gate rather than a
1 NOR gate?
B
10
1
C
10
1
D
10
1
E
Integrated Electronic
7: CMOS Logic Systems Lab 179
A PMOS
B Switch
C Network
D
Y
B MB
B (C + D)
A MA C MC D MD
C+D
A + B (C + D) Y = A + B (C + D)
Integrated Electronic
7: CMOS Logic Systems Lab 180
Steps in Constructing Graphs for NMOS and
+5 V
3
PMOS Networks (II)
A PMOS
(d) Graph with
2
B Switch PMOS Arcs Added
C Network B
D
Y 3
2 4 4
(a) B MB
1
A 1 2
1 C 5
4
A MA C MC D MD D
2 4 4
1 1 0
1
3
0 (c) NMOS Graph with
1
2 New Nodes Added 2
B B
(b) NMOS Graph
3
A 1
A 4 1 2
C 2
C 5
D
D
0
Integrated Electronic 0
7: CMOS Logic Systems Lab 181
2
D Y
0 MB 4
B 1
1
A MA C MC D MD 4
2 4 1
1 1
Integrated Electronic
7: CMOS Logic Systems Lab 182
Summary
+5 V
15
A 1
• AND - serially connected FET
• OR - parallel connected FET 15
C 1
7.5
• NMOS network implements B 1
“zeros” 15
D
• PMOS network implements 1
“ones”
Y
4
• W/L ratio has to be determined as B MB
1
a design parameter
A MA C MC D MD 4
2 4 1
1 1
Integrated Electronic
7: CMOS Logic Systems Lab 183
Example:
Integrated Electronic
7: CMOS Logic Systems Lab 184
CMOS Gate Design: Minimum Size Vs.
Performance (II)
⎛5⎞
⎜ ⎟
1
(W/L) for PMOS network = 2/3 τ PLH = ⎝ ⎠ τ PLHI = 7 . 5 τ PLHI
⎛2⎞
⎜ ⎟
⎝3⎠
τ PLHI =τ PLH of reference inverter
τP =
(τ PHL + τ PLH ) = (2 τ PHLI + 7 .5 τ PLHI ) 9 .5 τ PLHI
= = 4 .75 τ PLHI
2 2 2
Mininimum size gate will 4.75 times slower than reference inverter when
driving the same load capacitance
Integrated Electronic
7: CMOS Logic Systems Lab 185
Integrated Electronic
7: CMOS Logic Systems Lab 186
Power-Delay Product (cont’d)
Integrated Electronic
7: CMOS Logic Systems Lab 187
8. Passtransistor and
Transmission Gate Logic
Integrated Electronic
Systems Lab
Passtransistor Logic: Basic Principle
Idea:
0=open
control 1=closed
Vin Vout
Vin control Vout
1 0 x
Implementation: 1 1 1
0 0 x
Vin Vout 0 1 0
control
Integrated Electronic
8: Transmission Gate Logic Systems Lab 189
B
A B OUT
OUT
0 0 1
0 1 0
A
1 0 0
B
1 1 1
Integrated Electronic
7b: Transmission Gate Logic Systems Lab 190
Passtransistor: Charging Characteristics
Vctrl (t )
NMOS Vctrl (t < 0) = 0
Vctrl (t >= 0) = VDD
Transistor is in
VGS
Saturation during
Vin = VDD Vout (t ) Charging Process
Cout Vout ( t = 0) = 0
Vout (t )
VDD − VT ( VSB )
Integrated Electronic
7b: Transmission Gate Logic Systems Lab 191
Passtransistor Cascades
VDD VDD VDD VDD
VDD
Integrated Electronic
7b: Transmission Gate Logic Systems Lab 192
Passtransistor: Discharging Characteristics
Vctrl (t )
NMOS Vctrl (t < 0) = 0
Vctrl (t >= 0) = VDD Transistor is always in
VGS Nonsaturation during
Discharging Process
Vin = 0 Vout (t )
Cout Vout (t = 0) = VDD − VT ( VSB )
Vout (t )
VDD − VT ( VSB )
NMOS Passtransistor:
Discharging faster than
Charging, since Device
t Impedance is lower in NSat
than in Sat
Integrated Electronic
7b: Transmission Gate Logic Systems Lab 193
Integrated Electronic
7b: Transmission Gate Logic Systems Lab 194
From Passtransistors to Transmission Gates
Logic
NMOS PMOS CMOS
Vctrl Level
Logic 0 0 VTP 0
Logic 1 VDD − VTN VDD VDD
VDD
Vin Vout
dVout
I DN + I DP = Cout *
Vctrl dt Vctrl
CMOS Transmission Gate Symbol: CMOS Transmission Gate
Integrated Electronic
7b: Transmission Gate Logic Systems Lab 195
VDD − VTN
nonsaturated
Mp
Mn saturated
VTP
sat.
Mp
Initial Voltage : 0
Integrated Electronic
7b: Transmission Gate Logic Systems Lab 196
CMOS Transmission Gate: On-Resistance
R onP R onN
R EQ =
R onP + R onN
On-resistance of a transmission
gate, including body effect
Integrated Electronic
7b: Transmission Gate Logic Systems Lab 197
C BIGVBIG + CSMALLVSMALL
VF =
C BIG + C SMALL
= A⊕B B
S B
A A
S F B F A F
B A
S B
B
Integrated Electronic
7b: Transmission Gate Logic Systems Lab 199
F
1 0 0 1 Step 1: find minimum decomposition in such a
way, that each selected field is
0 0 1 0 depending on one variable or constant 0
or constant 1 only
b
1 0 1 1
(in our case: decompose with
a combinations of the literals b and d
1 1 1 1
c
d
Integrated Electronic
7b: Transmission Gate Logic Systems Lab 200
Function Implementation with Passtransistor Logic
Step 2: Attach decomposition variables to
selection lines VDD
Step 3: Determine the line input signals Sustainer transistor
(implement inverted function to
compensate output inverter
c
a
F
b b d d
Integrated Electronic
7b: Transmission Gate Logic Systems Lab 201
Integrated Electronic
Systems Lab
RS Flipflop
RS-Flipflops
There are two ways to implement a RS-flipflop:
• based on NOR-gates: positive logic
• based on NAND-gates: negative logic
To achieve a synchronous
operation, we can add a clock
signal
D-Latch
• Clock= 0: Q unchanged
• Clock= 1: Q= D
Clocked JK-Latch
It is also possible to
build a JK-flipflop with
transmission gates as
a edge-triggered
flipflop.
This achieves that the
output state can only
change at the rising
edge of the clock
signal
Dynamic RAM
A special kind of memory is dynamic RAM. The major advantage is
the low transistor count, DRAM requires only one transistor and
one (small) capacitor per bit.
The first disadvantage is the destructive read. After reading a cell
the red value must be written back to keep the data in the RAM.
The second disadvantage is the limited duration of storage. After
some milliseconds the cell must be refreshed (read and written
back).
Clocking
Clock Signal:
• used to synchronize data flow though
a digital network
⇒ clocked static or dynamic circuits
• problems: clock skew(delay caused by
clock distribution wires)
φ1( t )φ 2 ( t ) = 0 ∀t
Ideal nonoverlapping 2-phase clocks
⇒ For nonoverlapping clock phases φ and φ fine tuned and well designed
delay lines (realized as Transmission gates) have to be inserted in order to
avoid overlapping of φ and φ.
TG delay circuit
Shift register
dQstore
= ILp − ILn
dt
dQstore
Cstore =
dV
Assuming that the leakage currents ILp and ILn are constant and that the node
charge voltage relation is linear of the form
Qstore = CstoreV
N
Initial charge: QT = ∑ CiVi ( 0 )
i =1
QT = ⎛⎜ ∑ Ci ⎞⎟Vf
N
After connecting nodes:
⎝ i =1 ⎠
∑Ni =1 CiVi ( 0 )
Final voltage: Vf =
∑Ni =1 Ci
Precharge Phase
If Vin=0 then
Cout
τch = = RpCout
β p( VDD − VTp )
⎡ ⎛ 2 ( VDD − ⎞⎤
2 VTp VTp )
⎢
= τch , max ⎢ + ln ⎜⎜ − 1 ⎟⎟ ⎥⎥
⎢ ( VDD − VTp ) ⎜ V0 ⎟⎥
⎣ ⎝ ⎠⎦
For the case that M1 is switched on and identically designed channel width for M1
and Mn the discharge time constant is given by
( L1 + Ln )Cout
τdis =
k ′nW ( VDD − VTn )
⎡ ⎤
tdis = τdis ⎢⎢ 2VTn + ln ⎛⎜ 2 ( VDD − VTn ) − 1 ⎞⎟ ⎥
⎜ ⎟⎥
⎢⎣ ( VDD − VTn ) ⎜
⎝ V0 ⎟⎥
⎠⎦
φ=1 Precharge
φ=0 Evaluate
Complex Logic
Wrongly coupled
stages: while the first one
is in precharge, the second
is in evaluation.
The result of the second
stage will be influenced
by the precharge process
of the first stage
Dynamic cascades
Domino timing
Analysis
CX=C0+CT. C0 represents the capacitance due to M0, while CT is the total of all
other contributions.
Evaluate
If all inputs Ai are set to logic 1, the worst case delay time can be estimated by
tD ≅ RnCn + ( Rn + R 3 )C 3 + ( Rn + R 3 + R 2 )C 2 +
+ ( Rn + R 3 + R 2 + R1 )C1 + ( Rn + R 3 + R 2 + R1 + R 0 )CX
with 1
Rj =
k ′n(W / L) j (VDD − VTn )
NORA Properties
• NORA is very insensitive to clock delay
• one clock signal and the inverted clock signal with short slopes rise times are
sufficient
• no inverter is needed between the logic stages, because of alternate use of
n-type and p-type blocks
• the last stage is a clocked inverter, a C2MOS latch
• ideal to clock pipelined logic systems
The signal race problem can be seen: a signal race can arise, when both
transmission gates conduct at the same time. If the new input from TG1 reaches
the input of TG2 while TG2 is still transmitting the output, the output information
will be lost. Imperfect TG synchronization occurs because of normal transmission
intervals or clock skew.
Clock skew
φ=0 Precharge
φ=1 Evaluate
C2MOS latch
φ = 0: P P locked E E transp.
φ = 1: E E transp. P P locked
φ
NORA φ and φ sec tions
9: Memory Elements & Integrated Electronic
Systems Lab 260
Dynamic Logic
?
0V
φ = 0: P P locked E E transp.
φ = 1: E E transp. P P locked
φ
NORA φ and φ sec tions
? Integrated Electronic
9: Memory Elements &
Systems Lab 261
Dynamic Logic
0V
φ = 0: P P locked E E transp.
φ = 1: E E transp. P P locked
C²MOS Latch
φ locked during
clock skew
period!
φ
NORA φ and φ sec tions
? Integrated Electronic
9: Memory Elements &
Systems Lab 262
Dynamic Logic
Duration of initial Value of Evalutation Phase (VDD) will be enhanced
Precharged
to 0V
φ = 0: P P locked E E transp.
φ = 1: E E transp. P P locked
And the other Duration of provision of logical
way round: output value to next stage will
φ eventually be enhanced
φ
NORA φ and φ sec tions
? Integrated Electronic
9: Memory Elements &
Systems Lab 263
Dynamic Logic
Concerns / Disadvantages:
• Capacitive coupling to dynamic nodes
• Charge sharing with dynamic nodes
• Subthreshold leakage in eval logic
• Minority carrier injection and latchup
• Alpha particle immunity
• Vdd/gnd noise vulnerability / IR-drop
Integrated Electronic
Systems Lab
10. Performance, interconnect and
packaging
Integrated Electronic
Systems Lab
Summary
Integrated Electronic
10: Performance Systems Lab 266
Interconnect Parameters
Integrated Electronic
10: Performance Systems Lab 267
Modern Interconnect
Integrated Electronic
10: Performance Systems Lab 268
Full Wire Model
Assume that all wires in a bus network are implemented in a single interconnect layer (Al),
isolated from the silicon substrate and from each other by a layer of dielectric material (SiO2):
Schematic view
Physical view
Integrated Electronic
10: Performance Systems Lab 269
Integrated Electronic
10: Performance Systems Lab 270
Wire Parallel-Plate Capacitance
The capacitance of a wire is function of:
• shape of the wire
• environment
• distance to substrate
Current Flow
• distance to surrounding wires
L
Simple model - the parallel-plate capacitance:
W
Electrical-field
ε ox
C wire = C pp = WL H
lines
tox
tox SiO2
Cwire is the total capacitance of the
wire (pF)
Substrate
True for W >> tox ⇒ electric field lines are orthogonal to the capacitor plates
Integrated Electronic
10: Performance Systems Lab 271
SiO2 tOX
Cfringe Substrate Cpp
Substrate Cpp
cwire = c pp + c fringe
cwire ≈
(W − H / 2)ε ox + 2πε ox
tox log(tox / H ) cfringe
cwire
cwire is the wire capacity per unit length (pF/cm)
cpp
cpp
For W/H large cfringe < cpp, cwire ~ cpp
For W/H < 1.5 ⇒ cfringe > cpp
Integrated Electronic
10: Performance Systems Lab 272
Interwire Capacitance
Level2 In multilevel interconnects technologies the
wires are not completely isolated
Integrated Electronic
10: Performance Systems Lab 273
Wiring Capacitances
Cplate (aF/µm2) 88
Poly
Cfringe (aF/µm) 54
Cplate (aF/µm2) 30 41 57
Al1
Cfringe (aF/µm) 40 47 54
Cplate (aF/µm2) 13 15 17 36
Al2
Cfringe (aF/µm) 25 27 29 45
Plate and fringe capacitance values for a typical 0.25 µm CMOS process
Integrated Electronic
10: Performance Systems Lab 274
Wire Resistance
ρ L L
R= = R
H W W
L R - Sheet Resistance
H
W R1 ≡ R2
Integrated Electronic
10: Performance Systems Lab 275
Integrated Electronic
10: Performance Systems Lab 276
Other Resistive Effects
(1) Contact resistance
• Extra resistance added by transition between routing layers
• Can be reduced by making the contact holes larger
• Current crowding upper limits the size of the contact
(3) Electromigration
• Limits the DC currents to 1mA/µm
Integrated Electronic
10: Performance Systems Lab 277
Wire inductance
At switching frequencies in GHz range the wire inductance must be considered
di
A changing current passing through an inductor generates a voltage drop: ∆v = L
dt
On-chip inductance effects are:
• reflection of signals due to impedance mismatch
• inductive coupling between lines
• ringing effects
• switching noise due to Ldi/dt voltage drops
It is possible to compute the wire inductance directly from its geometry and its environment
A more simple approximation is given by following relation:
cl = εµ
where c is capacitance per unit length, l inductance per unit length, ε electric permittivity and
µ magnetic permeability of the surrounding dielectric
Ex.: 0.25 µm technology a 0.4µm width Al wire routed on top of the field oxide (SiO2) has
c = 92aF/µm, l = 0.47pH/µm
Integrated Electronic
10: Performance Systems Lab 278
Example: Intel 0.25 micron Process
Integrated Electronic
10: Performance Systems Lab 279
Conditions:
• resistive component of the wire is small
• consider only the capacitive component
• switching frequencies are in medium range
The wire still represents an equipotential region and does not introduce any delay
The distributed capacitance is lumped into a single capacitor
The only impact on performance:
• loading effect of Clumped on the driving gate
Integrated Electronic
10: Performance Systems Lab 280
The Lumped RC Model
Metal wires of few mm length have a significant resistance and the equipotential assumption is
no longer adequate!
New model:
• Lumps the total resistance of the wire into a single resistor R
• Combines the global capacitance of the wire into a single capacitor C
The estimated wire delay: τ = RC
This model is pessimistic and inaccurate for long interconnect wires!
Integrated Electronic
10: Performance Systems Lab 281
Assume that each node of the network is initially discharged and a step input is applied at t=0
The Elmore delay at node i, for a network with N nodes, is given by:
N
τ Di = ∑ C k Rik
k =1
Ex.: τDi = R1C1 + R1C2 + (R1 + R3)C3 + (R1 + R3)C4 + (R1 + R3 + Ri)Ci
Integrated Electronic
10: Performance Systems Lab 282
The RC Chain Model
RC chain - a special case of the RC-tree network:
R1 1 R2 2 Ri-1 i-1 Ri i N
Vin VN
C1 C2 Ci-1 Ci
N i N
τ DN = ∑ Ci ∑ R j = ∑ Ci Rii Ex.: τ Di = C1R1 + C2(R1 + R2) + ... + Ci(R1 + ... + Ri)
i =1 j =1 i =1
Assume that a wire of length L is modeled by N equal-length segments, each having Ri = rL/N,
and Ci = cL/N (r, c are resistance and capacitance per unit length)
N ( N + 1)
2
N +1
τ DN
⎛L⎞
= ⎜ ⎟ (rc + 2rc + ... + Nrc ) = rcL2 ( 2N 2
)= RC
⎝N⎠ 2N
RC rcL2
For N large, the RC chain model approach the distributed RC line model: τ DN = =
2 2
(1) The delay of a wire is a quadratic function of its length
(2) The delay of the RC chain model is 1/2 of the delay predicted by the lumped RC model!
Integrated Electronic
10: Performance Systems Lab 283
rcL2
τ (out ) =
2
Integrated Electronic
10: Performance Systems Lab 284
The Distributed RC Line Model (2)
0 → 63%(τ) RC 0.5RC
0 → 90% 2.3RC RC
Integrated Electronic
10: Performance Systems Lab 285
Transmission Lines
When the inductance of the wire dominates the delay behavior - transmission line effects!
Model: a distributed RLC wire
Signal propagate as a wave - alternatively transferring energy from electric to magnetic field
∂ 2v ∂ 2v 1 ∂ 2v 1
= lc 2 = 2 2 ν= propagation speed along the line
∂x 2 ∂t ν ∂t lc
Integrated Electronic
10: Performance Systems Lab 286
Lossless Transmission Lines Parameters (1)
Propagation speed: only a function of surrounding medium
Integrated Electronic
10: Performance Systems Lab 287
l 1
Z0 = = lν = 100 to 500Ω for typical wires
c cν
The behavior of the transmission line is influenced by the termination of the line
The termination how much of the wave is reflected upon arrival at the wire end
Vrefl I refl R − Z0
ρ= = =
Vinc I inc R + Z0
ρ - Reflection coefficient
R - the termination resistance
R = Z0 ρ=0
R=∞ ρ=1
R=0 ρ = -1
Integrated Electronic
10: Performance Systems Lab 288
Transmission Lines with Terminating Impedances Zs and ZL
Zs VSource Z0 VDest
Vin
ZL
VSource = (Z0/(Z0+Zs))Vin
ρs = (Zs-Z0)/(Zs+Z0)
Integrated Electronic
10: Performance Systems Lab 289
Lattice Diagram
ρs = (Zs-Z0)/(Zs+Z0) = 0.66
ρD = 1
t = 0 ... tflight
V1S = (Z0/(Z0+Zs))Vin = 0.83V
V1D = V1S + Vr,1D; Vr,1D = ρD V1S = 0.83V
V1D = 0.83V + 0.83 = 1.66V
t = tflight ... 2tflight
V2S = V1S + Vr,1D + Vr,1S ; Vr,1S = ρS Vr,1D = 0.55V
V2S = 2.22V
V2D = V1D + Vr,1S + Vr,2D; Vr,2D = ρD Vr,1S = 0.55V
V2D = 2.77V
....
Conclusion: in order to avoid ringing or slow propagation delay the transmission line
should be terminated both at the source (series termination) and at the destination (parallel
termination) with a resistance equal to Z0
Integrated Electronic
10: Performance Systems Lab 290
Figures of Merit for RLC Interconnect
Criteria:
• Distributed versus Lumped Model: Distributed Model: Rise (fall) time of input signal,
tr, must be smaller than propagation delay through wire. (Otherwise, a lumped model
suffices.)
t flight lw 2t
tr < = lc ⇔ lw > r Length (cm)
2 2 lc
10.00
• Consideration of Inductance required: Wire No Induct. 2tr
resistance R / damping factor ξ may not be too 2. High < lw
attenuation lc 1. & 2.
large, otherwise distributed RC model
1.00 Inductance is
sufficient
l 2 l important
R = rlw < 2 Z 0 = 2 ⇔ lw < lw <
2 l
c r c With Induct. r c
0.10
rlw c
or ξ= <1
1. Large input
rise time
2 l
• In conclusion: Distributed RLC model required if 0.01
0.01 0.10 1.00 10.00
Scaling (1)
VLSI integration depends on the smallest-size feature permitted by the technology
The size of the transistors has to be as small as possible!
The internal operating physics of the down-scaled MOS transistor changes
First order scaling theory:
• Estimates the improvements that can be expected as technology is scaled
• Scaled MOS device is obtained by applying a dimensionless scaling factor α to:
• all dimensions (L, W, junction depth, oxide thickness, etc.)
• device voltages
• impurities concentration densities
• The characteristics of the scaled MOS device are similar to that of the original one
• A number of parameters such as voltage drop, line propagation delay, current density,
contact resistance exhibit significant degradation with scaling!
Integrated Electronic
10: Performance Systems Lab 292
Scaling (2)
Influence of first-order scaling on MOS device
Parameter Scaling Factor α >1
Length; L 1/α
Width; W 1/α
Gate oxide thickness; tox 1/α
Device Junction depth; Xj 1/α
Parameter
Substrate doping; Na or Nd α
Supply voltage; VDD 1/α
Electric field across gate oxide; E 1
Depletion layer thickness; d 1/α
Parasitic capacitance; WL/tox 1/α
Gate delay; VC/I 1/α
DC power dissipation; Ps 1/α 2
Resultant Dynamic power dissipation; Pd 1/α 2
Influence Power delay product 1/α 3
Gate area 1/α 2
Power density; VI/A 1
Current density; I/A α
Transconductance; gm 1
Integrated Electronic
10: Performance Systems Lab 293
Scaling (3)
Interconnect layer scaling
Parameter Scaling Factor The scaled line resistance is:
Conductor line width; W 1/α
ρ ⎡ L /α ⎤
Conductor line length; L 1/α r' = = αr
t / α ⎢⎣W / α ⎥⎦
Conductor line thickness; t 1/α
Line cross-section; A 1/α 2 The voltage drop along the scaled line is:
Line resistance; r α
Line response time; rc 1 Vd ' = (I / α )(αr ) = Ir = const
(Line of
Normalized line response timesame length) α
Line voltage drop; Vd 1 The scaled line response time is:
τ s ' = (αr )(C / α ) = rC = const
(Line of
Normalized line voltage drop same length)
α
Current density; J α
2
Normalized contact voltage drop; Vc /V α
For a constant chip size many of the signals paths do not scale down! Therefore:
• Voltage drops along the lines are larger by a factor of α than scaled line voltage drop
• The line response time is larger by a factor of α than scaled line response (see table)
Problems: distribution and organization of clocking signals, electromigration, the increase of
the wire capacitance (affects the gate delay)
Integrated Electronic
10: Performance Systems Lab 294
Power Distribution
Process with 1 Level of metal :
• VDD and ground (VSS) are routed in interdigitated trees
• Crossunders are very difficult (low resistance interconnect)
Power distribution is much easier for technologies with 2 (or
more) levels of metal
Cautions:
• Parts of the chip that are likely to simultaneous
transition are routed separately!
• Separate power pins might be used for the
output driver!
Integrated Electronic
10: Performance Systems Lab 295
The clock
• synchronize machine operations and data transfer
• global control technique that provide the “glue” for system operation
System level timing can be described using circular timing charts
Integrated Electronic
10: Performance Systems Lab 296
Clock and Timing Circles (2)
Overlapping pseudo 2-phase clocking chart:
• φ1(t)φ2(t) = 0, except during the transition times
• mutually-exclusive clock periods provide timing
intervals for logical operations
• overlapped segments must be avoided
• transition times can be made small by proper
clock generator design
Integrated Electronic
10: Performance Systems Lab 297
1
RTG =
(
β n (VDD − VTn ) + β p VDD − VTp )
Integrated Electronic
10: Performance Systems Lab 298
Clock Generation Circuits (2)
2-phase clock generator with RS latch
Integrated Electronic
10: Performance Systems Lab 299
Integrated Electronic
10: Performance Systems Lab 300
Clock Drivers and Distribution Techniques (2)
Integrated Electronic
10: Performance Systems Lab 301
If Eox>EBD, the oxide insulating properties break down and charge is transported through
the material - destruction of the device!
The max gate voltage VGmax is a relatively small number
Static electricity during handling could easily reach a few kV
Protection circuits allow for alternate charge flow paths when the input voltage is too large
Diode structures are very useful in this application because:
• have relatively low breakdown voltages which can be controlled
• reverse breakdown in a pn junction is non-destructive
Integrated Electronic
10: Performance Systems Lab 302
Input Protection Circuits (2)
Input protection circuits introduce parasitic RC time constants into the network!
Integrated Electronic
10: Performance Systems Lab 303
⎛R⎞ ⎛R⎞
[
t D , j = ⎜ ⎟(Co , j + Ci , j +1 + C w, j +1 ) = ⎜ ⎟ S j Co + S j +1 (Ci + C w )
⎜S ⎟ ⎜S ⎟
]
⎝ j⎠ ⎝ j⎠
Integrated Electronic
10: Performance Systems Lab 304
Static Gate Sizing (2)
Suppose that there are N stages in the chain, the total time delay is given by:
TD = ∑
N [ ]
R S j Co + S j +1 (Ci + C w )
j =1 Sj
∂TD
To minimize TD we differentiate with respect to Sj and look for zero slope points: =0
∂S j
S j +1 Sj
This results in the recursion relation: = for j= 2,3,...N
Sj S j −1
S j +1
If this to hold for arbitrary values of j, then: = K = const
Sj
S 2 S 3 S 4 S N +1 C
Forming the product: ⋅ ⋅ ⋅⋅⋅ = KN = L
S1 S 2 S 3 SN Ci
1/ N
⎛C ⎞
We obtain the scaling ratio in the form: K = ⎜⎜ L ⎟⎟
⎝ Ci ⎠
Integrated Electronic
10: Performance Systems Lab 305
N
The minimum delay is then: TD ,min = ∑ R[Co + K (Ci + C w )] = NR[Co + K (Ci + C w )]
j =1
The equation K = Sj+1/Sj says that the minimum delay occurs when every stage has the
same individual delay time tD
The number of stages that optimize the delay is obtained by differentiating TD (replacing K
with its N-dependent equation) with respect to N and setting the result to 0:
1
⎛ C ⎞ N ⎡ ln (C L / Ci ) ) ⎤
RCo + R(Ci + C w )⎜⎜ L ⎟⎟ ⎢1 − ⎥=0
C
⎝ i⎠ ⎣ N ⎦
⎛C ⎞
If Co is small: N = ln⎜⎜ L ⎟⎟ N is chosen the nearest integer for given values of Ci and CL
⎝ Ci ⎠
the optimum
C ⎛C ⎞
with K = L ⇔ N ln K = ln⎜⎜ L ⎟⎟
N
⇒ N ln K = N ⇔ ln K = 1 ⇔ K = e = e scaling ratio
1
Ci ⎝ Ci ⎠ equals e !!!
Integrated Electronic
10: Performance Systems Lab 306
Off-Chip Driver Circuits
Integrated Electronic
10: Performance Systems Lab 307
⎛W ⎞ Cout
⎜ ⎟ =
⎝ L ⎠ n 2 τ n k 'n (VDD − VTn )
⎛W ⎞ Cout
⎜ ⎟ =
(
⎝ L ⎠ p 2 τ p k ' p VDD − VTp )
Cout is large ⇒ Mn2 and Mp2 are large! ⇒ obtained using parallel connected transistors to aid in
layout and parasitic control
Mn1 and Mp1 can be sized using the previously presented sizing theory
The actual values of the fall and rise time can be estimated from:
⎡ 2VTn ⎛ 2(VDD − VTn ) ⎞⎤
⎡ 2 VTp
t LH = τ p ⎢ + ln⎜
(
⎛ 2 VDD − VTp ⎞⎤
− 1⎟⎥
)
t HL = τ n ⎢ + ln⎜⎜ − 1⎟⎟⎥ ⎜ ⎟⎥
⎣VDD − VTn ⎝ V0 ⎠⎦ ⎢VDD − VTp ⎝
V0
⎠⎦
⎣
Integrated Electronic
10: Performance Systems Lab 309
Normal operation:
Z = 1 ⇒ Mp1 and Mp2 off, Mn on
High-impedance state:
Z = 0 ⇒ Mp1 and Mp2 on, Mn off
⇒ Vp = VDD, Vn = 0
⇒ the output transistors are in cutoff
Integrated Electronic
10: Performance Systems Lab 310
Bidirectional Off-Chip Driver Circuit
Integrated Electronic
10: Performance Systems Lab 311
2 Package types
7
1. Bare die
2. Dual-In-line Package (DIP)
3. Pin Grid Array (PGA)
1
4. Small-outline IC
5
5. Quad flat pack
6. Plastic Leaded Package
4 (PLCC)
7. Leadless carrier
3 6
Integrated Electronic
10: Performance Systems Lab 312
Packaging Technology (2)
Package has an important functionality in IC technology
• provides a means of bringing signal and supply wires in/out of the circuit
• removes the heat generated by the circuit
• protects the die against environmental conditions such as humidity
• provides mechanical support
Meantime packaging technology has a tremendous impact on the performance ⇒ up to 50%
of the delay of a high-performance computer is due to packaging delays!
Packages generate parasitic inductance and capacitance:
Integrated Electronic
10: Performance Systems Lab 313
Integrated Electronic
10: Performance Systems Lab 314
Packaging Technology (4)
Design techniques:
• Separate power pins for I/O pads and chip core
• Multiple power and ground pins
• Careful selection of the position of the power and ground pins on the package
• Adding decoupling capacitance on the board
• Increase the rise and fall times
• Use advanced packaging technologies
Board Bonding
Wiring Wire
+
SUPPLY Cd CHIP
Decoupling
Capacitor
Integrated Electronic
10: Performance Systems Lab 315
Integrated Electronic
10: Performance Systems Lab 316
Packaging Technology (6)
Substrate
Die
Pad
Lead Frame
Integrated Electronic
10: Performance Systems Lab 317
Sprocket
hole
Test Die
pads
Lead
frame Substrate
Polymer film
• The die is attached to a metal lead frame that is printed on a polymer film
• The connection between chip pads and polymer film wires is made using solder bumps
• Highly automated process
• Improve electrical performance (L ~ 0.5nH, C~0.3pF)
Integrated Electronic
10: Performance Systems Lab 318
Packaging Technology (8)
1-c: Flip-chip mounting
Die
Solder bumps
Interconnect
layers
Substrate
• Flip the die upside-down and attach it directly to the substrate using solder bumps
• Superior electrical performance
• Pads can be placed at any position on the chip (not only on the die boundary)
• A possible solution for power and clock distribution problems
Integrated Electronic
10: Performance Systems Lab 319
Integrated Electronic
10: Performance Systems Lab 320
Packaging Technology (10)
Multi-Chip-Modules (MCM) - Die-to-Board
(avionics processor module - Rabaey96)
Integrated Electronic
10: Performance Systems Lab 321
Integrated Electronic
10: Performance Systems Lab 322
Semiconductor Packaging Process
Integrated Electronic
10: Performance Systems Lab 323
Dicing Blades Grinding Tape Grinding Wheels Gas/Energy Mounting Tape Peeling Tape
Half Cut Tape Back Side Stress Relief Wafer Grind. Tape
Dicing Lamination Grinding (Plasma) Mounting Removal
Grinding Tape Grinding Wheels Gas/Energy Mounting Tape Peeling Tape Dicing Blades
Tape Back Side Stress Relief Wafer Grind. Tape Full Cut
Lamination Grinding (Plasma/Dry Polish) Mounting Removal Dicing
Source: S. Mimietz/QD:
Pre-Assembly Process Flow
Integrated Electronic
10: Performance Systems Lab 324
Semiconductor Packaging Process
Integrated Electronic
10: Performance Systems Lab 325
Integrated Electronic
10: Performance Systems Lab 326
Packaging Key Enabler
Cost
Cost per function decreases 25% per year
Integration Level
Moore's law: bits per chip grow by factor of 4x every 3 years
In future slowing down to 4x every 4...5 years
Speed
Clock frequency/data rate is increasing
(5x growth every 10 years, slowing down to 3x)
Power
Laptop or cell phone require extended battery life times
Heat dissipation to be more effective
Functionality
Logic: Digital CMOS - Analog / Mixed Signal - CMOS RF
Memory: SRAM - DRAM - eDRAM
EEPROM/Flash - FRAM – MRAM
Actors / Sensors: Electro-optical - MEMs - chemical sensors - electro biological
Integrated Electronic
10: Performance Systems Lab 327
Integrated Electronic
10: Performance Systems Lab 328
Packaging Key Enabler
– Form Factor Dimension
Smaller package sizes allow increased package density on board.
Better electrical package performance supports higher speed.
Form Factor
Silicon Function,
Interconnect, Size, Cost?
Size Performance
2D-
MCP
Package
FBGA Wire Bond &
Substrate
3D-
MCP/SiP
Wire Bond & Package
LGA Substrate &
w/o balls Customized
Solution
Bump &
F2BGA Substrate
Source: H. Hedler/QAG: Current and future packaging challenges
Integrated Electronic
10: Performance Systems Lab 329
Stacked TSOP
Integrated Electronic
10: Performance Systems Lab 330
Typical Memory Package Types - TSOP
1. Thin Small Outline Package (TSOPII)
■ Package type w/ “Z- leads” on 2 opposite package sides
■ TSOPII is typically a single die package
■ SMT compliant
■ Typical pin count : 54/66
■ Package height : 1.2 mm
Integrated Electronic
10: Performance Systems Lab 331
Integrated Electronic
10: Performance Systems Lab 332
Technical Challenges – TSOP Challenges
TSOP Challenges
■ One big challenge for TSOP packages is whisker growing related to the
Pb-free plating applied for green package. The whisker growth rate strongly
depends on the existing stress level inside the plated layer on the leads. The
stress conditions can be impacted by plating technology and SMT reflow.
Integrated Electronic
10: Performance Systems Lab 333
Integrated Electronic
10: Performance Systems Lab 334
Typical Memory Package Types - FBGA
Principle Package Constructions for FBGA
Integrated Electronic
10: Performance Systems Lab 335
Integrated Electronic
10: Performance Systems Lab 336
Typical Memory Package Types - FLGA
Principle Package Construction for FLGA
Integrated Electronic
10: Performance Systems Lab 337
Integrated Electronic
10: Performance Systems Lab 338
Typical Memory Package Types – F2BGA
Principle Package Construction for F2BGA
Integrated Electronic
10: Performance Systems Lab 339
Integrated Electronic
10: Performance Systems Lab 340
Typical Memory Package Types - MCP
Principle Package Constructions for MCP
Integrated Electronic
10: Performance Systems Lab 341
Integrated Electronic
10: Performance Systems Lab 342
Technical Challenges – MCP Challenges
MCP Challenges
Most crucial task for MCP’s is to develop and establish robust processes for
thin die stacking and wire bonding.
Die pick-up capability for 75µm, 50µm or less thickness
Full range of material-set to stack different chips for different stack configurations
Advanced die attach and wire bond loop capability
Integrated Electronic
10: Performance Systems Lab 343
Phase 2:
• Multi Chip Package
Phase 1:
• Single Die Package
Phase 3:
• 3D Chip Integration
Integrated Electronic
10: Performance Systems Lab 344
Future Technical Challenges – New Concepts
Future packaging technology will focus on 3D chip integration what requires
very strong cooperation between Frontend and Backend Development.
Challenges
■ DRAM architecture different
■ Wire bonds replaced by Si-
trough hole electrode
■ DRAM design to consider
Multi Chip Package space for micro vias
■ Redistribution layer and micro
vias to be Frontend process
■ Chip thickness extremely low
■ New interconnect technology to
be developed
■ Balancing of CTE- mismatch
inside package to be managed
Integrated Electronic
10: Performance Systems Lab 345
Integrated Electronic
Systems Lab
Motivation: Microelectronics Design
Efficiency
Moore‘s ???
Efficiency
Law
Platform-based Design
Schematic Entry
Layout Editor
Integrated Electronic
11: CAD & Design Flow Systems Lab 347
Integrated Electronic
11: CAD & Design Flow Systems Lab 348
Platform-Based System Design: Platform Life-Cycle
Easy Implementation:
DSP
API
core
bus Generic
OS
Memory Platform
CPU
core Platform
+
Application-
Lifecycle Specific
Additions
Experiences
Specific Applications
New Requirements blocks
DSP
core API
Feedback for future bus OS
Memory
platform generations CPU
core
Drivers
Integrated Electronic
11: CAD & Design Flow Systems Lab 349
Analysis of
Quality Assurance Product
System Delivery Level
System Requirements
Product
Cost Analysis
Validation
Design of
Quality Assurance
System Integration System
System Architecture Level
HW/SW
HW and SW Component IP Database
Implementation and Implementation
Level
Integrated Electronic
11: CAD & Design Flow Systems Lab 350
Hardware/Software Co-Design
Specification
Co-Simulation
HW/SW-Partitioning
Communication Synth.
HW-Specification SW-Specification
Synthesis Compilation
Placement/Routing Real-Time OS
O.k., let‘s go
bottom-up now Heterogeneous HW-/SW-System
Integrated Electronic
11: CAD & Design Flow Systems Lab 351
• Design Validation:
– Physical design verification tools (design rule checker, extractor,
LVS, schematic and electrical rule checker)
– Design Simulation:
• analog simulation: circuit level; behavioural level
• digital simulations: circuit level, switch level, logic level, register transfer
level, architectural level, behavioural level;
• thermal simulation: displaying heat dissipation on chip
– Formal Verification Methods
Integrated Electronic
11: CAD & Design Flow Systems Lab 352
Classes of CAD Tools
• Design Implementation:
– Layout Compilers (stick2layout, macrocell generators, datapath
compilers)
– Layout Structuring & Optimization:
• Layout Compaction
• Placement and Routing
– Logic Synthesis
– Finite State Machine (FSM) Synthesis
– Architectural Synthesis
Integrated Electronic
11: CAD & Design Flow Systems Lab 353
Integrated Electronic
11: CAD & Design Flow Systems Lab 354
Full Custom Design: Design Entry
• The layout is specified in textual form giving either the position and layer of rectangles
(similar to hand crafted layout) or lines (as in stick diagrams).
Integrated Electronic
11: CAD & Design Flow Systems Lab 355
B x y dx dy Box with length dx, width dy, an lower left hand corner placed at (x,y)
Ln Layout level (layer) for the box definiitions that follow
Mn Start of macro definition n
E End of macro definition
Cnxym Call for macro number n with translation x,y and orientation m.
Q End of layout file
1 n-diffusion n-diffusion
2 p-diffusion ion implant
3 polysilicon polysilicon
4 metal metal
5 contact contact
8 n-well --
9 overglass overglass
Integrated Electronic
11: CAD & Design Flow Systems Lab 356
Full Custom Design: Design Entry
Cell Orientations:
Orien-
tation Description
1 no rotation
2 rotate 90° counterclockwise
3 rotate 180° counterclockwise
4 rotate 270° counterclockwise
5 mirror about y-axis
6 rotate 90° counterclockwise and mirror about y-axis
7 rotate 180° counterclockwise and mirror about y-axis
8 rotate 270° counterclockwise and mirror about y-axis
Integrated Electronic
11: CAD & Design Flow Systems Lab 357
Integrated Electronic
11: CAD & Design Flow Systems Lab 358
Full Custom Design: Design Entry
Stick Diagram:
• The layout is drawn in form of lines and polygons on differentlayers using a
graphics editor.
• A stick--to--layout converter together with a compactor and a description of the
process design rules is then used to generate the rectangle
based layout.
• The designer can draw almost process and design rule independent symbolic
layouts. Process adaption is done by the converter/compactor.
• Converter constraints (cell dimensions, channel widths / lengths of transistors, ...)
can be specified.
Integrated Electronic
11: CAD & Design Flow Systems Lab 359
Integrated Electronic
11: CAD & Design Flow Systems Lab 360
Full Custom Design: Design Flow
Stick Diagram Symbol Generation
Editor
Schematic Entry
stick2layout
Converter
and Compactor
Simulation Netlist
Layout Editor Extraction and Simulation (SPICE)
Floorplanning
Placement & Routing
Design Analysis
DRC, ERC
Mask Layout Data Circuit Extraction
LVS
Integrated Electronic
11: CAD & Design Flow Systems Lab 361
Cell based Design approaches rely on layout components predefined and provided
by a silicon foundry. Several implemenation styles can be distinguished:
• Standard Cells:
– layout blocks predefined by silicon foundry
– full process sequence (amount of mask layers) for chip fabrication required
• Gate Arrays:
– Linear Gate Arrays:
• pre-fabricated diffusion and poly layers (regular structures, e.g. transistors)
• customized interconnect structures (wires in metal 1 and metal 2)
• fixed size interconnect areas (channels) discussed later in
– Sea of Gate Array this lecture
• pre-fabricated diffusion and poly layers (regular structures e.g. transistors)
• customized interconnect structures (wires in metal 1 and metal 2)
• variable size interconnect areas (channels) over unused transistors
Integrated Electronic
11: CAD & Design Flow Systems Lab 362
Cell based Full Custom Design: Design Flow
Macrocell
Symbol Generation Specification/Compilation
Graphical
Simulation Netlist
Data Schematic Entry
Cell Extraction
Library Simulation Models
Layout
Data Placement: Logic Simulation
Standard Cells Fault Simulation
Macro Cells Timing Analysis
I/O Cells Test Pattern Generation
Routing: Parasitic
Place &
Channel Generation Route
Wire Capacitances /
Delay Backannotation
Global Routing Optimization
Detailed Routing
Design Analysis
DRC, ERC
Mask Layout Data Circuit Extraction
LVS
Integrated Electronic
11: CAD & Design Flow Systems Lab 363
Integrated Electronic
11: CAD & Design Flow Systems Lab 364
Design Verification
Physical Design Rule Check:
• Minimum width
• Minimum spacing
• Overlapping
• Extension
Integrated Electronic
11: CAD & Design Flow Systems Lab 365
Design Verification
Extraction:
• Circuit Level Extraction can be used to create a netlist for circuit level simulations
(e.g. SPICE, ...). The netlist consists of MOS transistors (including geometrical
parameters as W / L, parasitic capacitances), resistors, capacitances, diodes, ...
• Switch Level Extraction: can be used to create a netlist which can be processed by a
switch level simulator. The resulting netlist consists of MOS transistors and parasitic
capacitances (to model storage effects in MOS circuits).
• Parasitics Extraction: is used in conjunction with cell based design techniques. Since
wire delay is dependent on the parasitic capacitance of a wire, parasitic capacitances of
nets and input capacitances of other gates connected to an output can be used to
estimate the extrinsic delays (Note: intrinsic delays [i.e. the delay of unloaded gates] are
fetched from the cell library's simulation model data).
Integrated Electronic
11: CAD & Design Flow Systems Lab 366
Design Verification
LVS:
The layout-versus-schematic (LVS) comparison tool checks the equivalence of the layout and its schematic.
The tool can be used to find wrong connections or parameter mismatch (as W/L of transistors, ...) between
a schematic and its physical layout representation.
To verify schematics used e.g. in cell based designs, a schematic rulechecker can find schematic rule
violations (like the following examples):
• Warnings:
• unconnected (floating) wire segments
• open outputs
• exceeded fanout
• Errors:
• open inputs (undefined input value!)
• number of bits differ for 2 buses connected together
• number of input/output pins in a schematic differs from its symbol representation ( --> pins are
not accessible / not present at higher levels of schematic hierarchy)
• more than one active driver connected to a net at the same time
Integrated Electronic
11: CAD & Design Flow Systems Lab 367
Simulation: Models
Timing Models:
Integrated Electronic
11: CAD & Design Flow Systems Lab 368
Logic simulation (1/8)
• Simulation only in the time domain
• Typical Questions:
– How do my output signals behave based on a certain input
pattern?
– Is my design still functioning at a given frequency?
• Algorithms:
– Signals values are discrete
– Signal changes are discrete events (where an event
characterizes the transition from one signal level to another)
– Events are held and processed using a so-called “event-
queue”
• Dynamic, linked list
• Sorted based on time (appearance of event)
• Processed based on current simulation time
• Models (gate primitives) are triggered by events at input signals
Integrated Electronic
11: CAD & Design Flow Systems Lab 369
• '0' ("low", e.g. Vout < 2.5 V) and '1' ("high", Vout > 2.5 V)
0 1 1
– 3-valued logic system 1 EN
Integrated Electronic
11: CAD & Design Flow Systems Lab 372
Logic simulation (5/8)
• Timing Behavior Models (cont.)
– Min-Max-Delay
• Models delay
min. max. D
tolerances 15,40
A
☺Timing behavior 10,20
Integrated Electronic
11: CAD & Design Flow Systems Lab 373
i1
i2
sel
Integrated Electronic
11: CAD & Design Flow Systems Lab 374
Logic simulation (7/8)
• Event Queue Example (cont.)
– Event queue 0 ns 0 ns 0 ns 10 ns 30 ns 70 ns 100 ns
before Initialization i1 i2 sel i1 i2 sel i1
0 1 0 1 0 1 0
12 ns 15 ns 22 ns 30 ns 70 ns 100 ns
– Event queue s2 s1 s2 i2 sel i1
0 0 1 0 1 0
for t = 10 ns
selbar U
s1 U
...
s2 U
result U
Integrated Electronic
11: CAD & Design Flow Systems Lab 375
& s
• Simulation on logic level b 1
&
– Netlist of gates (structural modeling)
– Gate model defined in standard & 1 c
init 1- state_1
0-
(VHDL/Verilog) 00 10
• Introduction of signal strength additional to logic values for driver and bus modelling
Integrated Electronic
11: CAD & Design Flow Systems Lab 377
Simulation: Models
Integrated Electronic
11: CAD & Design Flow Systems Lab 378
Simulation
www.modelsim.com
Integrated Electronic
11: CAD & Design Flow Systems Lab 379
Simulation: Techniques
Simulation Techniques:
• Compiler-driven technique:
– Problems:
• Feedbacks
• Sorting of gate netlist
• Zero delay model
• Entire circuit is simulated
Switch-Level Simulation:
Integrated Electronic
11: CAD & Design Flow Systems Lab 380
Executable Specifications: VHDL
VHDL: Very high speed integrated Circuits Hardware Description Language
Integrated Electronic
11: CAD & Design Flow Systems Lab 381
begin
Gate-Level
Netlist
delay_register:
process(reset,clk)
begin
RTL-Synthesis
if reset='1' then
x_q <= (others => '0');
elsif (clk'event and clk='1') then
x_q <= x_in;
end if; (Synopsys)
end process;
Placement &
Production Routing
(Cadence/Mentor)
ASIC Layout
Integrated Electronic
11: CAD & Design Flow Systems Lab 382
Future Outlook: Networks-on-Chip
– Regular platform integrating – Separation between
independent subsystems Communication and
• combine structures of Computation
today‘s SoC complexity
Generic
µP ASIC
Interface
Router
High-Speed
FPGA MEM Interconnect
Integrated Electronic
11: CAD & Design Flow Systems Lab 383
Co-Simulation Implementation
HW/SW-Partitioning
SW Library HW Library
Communication Synth.
NoC Mapping
Dynamic
Allocation/Re-
HW-Specification SW-Specification Mapping during
NoC Placement
Operation
Synthesis Compilation
Placement/Routing Real-Time OS
Heterogeneous HW-/SW-System
Integrated Electronic
11: CAD & Design Flow Systems Lab 384
Application Scenario: Mobile Video Terminal
Different Configurations for:
• High Quality (Resolution) Downstreaming
• Low-Power Mode (Quality Reduction)
• Image Compression and Upstreaming
• Multi-Stream Modes
DISPLAY
Displ.
CTRL
Integrated Electronic
11: CAD & Design Flow Systems Lab 385
Integrated Electronic
Systems Lab
Weinberger Structuring
Integrated Electronic
12: Digital Design Systems Lab 387
Integrated Electronic
12: Digital Design Systems Lab 388
Example: 3-to-8 decoder
Weinberger structuring:
Integrated Electronic
12: Digital Design Systems Lab 389
Integrated Electronic
12: Digital Design Systems Lab 390
3-to-8 decoder (3)
Integrated Electronic
12: Digital Design Systems Lab 391
Example 2
F =U +V +W + X +Y
Integrated Electronic
12: Digital Design Systems Lab 392
Example 2 (2)
Integrated Electronic
12: Digital Design Systems Lab 393
Example 2 (3)
Integrated Electronic
12: Digital Design Systems Lab 394
Gate matrix layout
Gate matrix layout is a character based layout style for custom CMOS
circuitry. It is a regular design style employing a matrix of intersecting
transistor diffusion rows and poly-silicon columns such that intersections
are potential transistor sites.
Creating a gate matrix. Representational line drawing or stick figure
using the levels of interconnections available e.g. poly-silicon gate
technology poly-silicon metal diffusion.
– Immediately draw series of parallel poly lines corresponding to the
number of inputs to the circuit (may become more if an output is chosen to
be poly-silicon)
– Subsequent transistor placements will be determined by two factors, i.e.
input column and serial or parallel association among transistors.
– After row definition, further interconnections may be done with horizontal
and vertical metal interconnection tracks\item final improvements
Integrated Electronic
12: Digital Design Systems Lab 395
C = AB = AB
( )
S = AB + A B = A + B B + ( A + B ) A
= AB B + AB A = AB B ⋅ AB A
Integrated Electronic
12: Digital Design Systems Lab 397
Integrated Electronic
12: Digital Design Systems Lab 398
Character definitions for symbolic layout
N n-channel transistor
P p-channel transistor
+ metal-poly or metal-diffusion crossover
* contact
| poly-silicon or n-diffusion wire
! p-diffusion wire
: vertical metal
- horizontal metal
Integrated Electronic
12: Digital Design Systems Lab 399
Integrated Electronic
12: Digital Design Systems Lab 400
Rules
The following rules summarize the gate-matrix technique:
– Poly-silicon runs only in one direction and is of constant width and pitch
– Diffusion wires (of constant width) may run vertically between poly-silicon
columns.
– Metal may run horizontally and vertically. Any pitch departures from a
minimum (e.g. power rails) are manually specified.
– Transistors can only exist on poly-silicon columns.
Wide transistors may be specified by abutting two ort more N or P
symbols.
Integrated Electronic
12: Digital Design Systems Lab 401
Integrated Electronic
12: Digital Design Systems Lab 402
Optimal CMOS complex gate layout
optimal
Integrated Electronic
12: Digital Design Systems Lab 403
Integrated Electronic
12: Digital Design Systems Lab 404
CMOS Functional cells (Complex gates)
Integrated Electronic
12: Digital Design Systems Lab 405
Integrated Electronic
12: Digital Design Systems Lab 406
Alternative EXOR implementation
Integrated Electronic
12: Digital Design Systems Lab 407
Integrated Electronic
12: Digital Design Systems Lab 408
Layout strategy (2)
Layout properties:
– two rows of transistors, for the PMOS and NMOS parts of the circuit
– equal number of transistors in both rows
Optimizations: If the metal connections between adjacent transistors are
replaced by diffusion (designer should be careful in doing this for high-
speed circuits) the following layout (a) is achieved.
Integrated Electronic
12: Digital Design Systems Lab 409
Optimized layout
An even more sophisticated layout arrangement which reduces the
required area is shown in (b)
Integrated Electronic
12: Digital Design Systems Lab 410
Optimal layout
The best layout is achieved by the following transistor arrangement,
logically equivalent to the previous figures:
Integrated Electronic
12: Digital Design Systems Lab 411
Integrated Electronic
12: Digital Design Systems Lab 412
Graph theoretical algorithm (2)
If two edges Ei and Ej are adjacent in the graph model, then it is possible
to place the corresponding gates in a physically adjacent position of an
array and hence, connect them by a diffusion area. In order to minimize
the number of separations a set of minimum size paths has to be found,
which corresponds to chains of transistors in the array.
If there exist Euler paths for GN and GP then all transistors can be chained
by diffusion areas. Otherwise the graphs have to be partitioned into sub-
graphs which have Euler graphs.
It's necessary to find a pair of paths for GP and GN with the same
sequence of labels, because p- and n-type transistors corresponding to
the same input have to be positioned at the same horizontal position
(poly line).
Integrated Electronic
12: Digital Design Systems Lab 413
Integrated Electronic
12: Digital Design Systems Lab 414
Problem reduction
Integrated Electronic
12: Digital Design Systems Lab 415
If there are gates in the logic diagram with an even number of inputs, additional
“pseudo” inputs have to be introduced in order to guarantee an odd number of
inputs. It is guaranteed by the second previously given theorem, that there exists
an Euler path for this modified problem. But the pseudo edges in the Euler path
have to be removed afterwards and then they can cause diffusion separations.
An algorithm for minimizing separations caused by pseudo edges is given in the
next section ( minimal interlace of normal and pseudo inputs).
Integrated Electronic
12: Digital Design Systems Lab 416
Problem reduction (3)
Integrated Electronic
12: Digital Design Systems Lab 417
Integrated Electronic
12: Digital Design Systems Lab 418
Application of heuristic algorithm
This heuristic algorithm does not necessarily give the optimal layout, but if
the resulting sequence has no separation areas, it is the real optimal
solution.
Integrated Electronic
12: Digital Design Systems Lab 419
Any
Yes
white triangle Put it in the line.
left?
No
Any Put it in the line,
Yes
blackwhite triangle and set the white
left? part on top.
No
Any
Yes
black triangle Put it in the line.
left?
No
Any Put it in the line,
Yes
blackwhite triangle and set the black
left? part on top.
No
Any
Yes
white triangle
left?
No
Integrated Electronic
12: Digital Design Systems Lab 421
Integrated Electronic
12: Digital Design Systems Lab 422
Alternative carry look-ahead topology
This topology
does have Euler path!
Integrated Electronic
12: Digital Design Systems Lab 423
Comparison of space
Integrated Electronic
12: Digital Design Systems Lab 424
Standard cell layout
Integrated Electronic
12: Digital Design Systems Lab 425
Integrated Electronic
12: Digital Design Systems Lab 426
Programmable Logic Arrays (1)
Integrated Electronic
12: Digital Design Systems Lab 427
Integrated Electronic
12: Digital Design Systems Lab 428
Programmable Logic Arrays (3)
Integrated Electronic
12: Digital Design Systems Lab 429
Architectures (1)
Integrated Electronic
12: Digital Design Systems Lab 430
Architectures (2)
Integrated Electronic
12: Digital Design Systems Lab 431
Example (1)
x0 x1 x2 z0 z1
• PROM implementation realizes all
0 0 0 1 1
of the 8 product terms
0 0 1 1 1
0 1 0 0 0
z 0 = x 0 x 1 x 2 + x 0 x 1x 2 + x 0 x 1 x 2 0 1 1 0 0
1 0 0 0 0
= x 0 x1 + x 0 x1 x 2 1 0 1 0 0
1 1 0 1 0
z 1 = x 0 x 1 x 2 + x 0 x 1x 2 + x 0 x 1 x 2
1 1 1 0 1
= x 0 x1 + x 0 x1 x 2
Integrated Electronic
12: Digital Design Systems Lab 432
Example (2)
z 1 = x 0 x 1 x 2 + x 0 x 1x 2 + x 0 x 1 x 2
= x 0 x1 + x 0 x1 x 2
Integrated Electronic
12: Digital Design Systems Lab 433
Integrated Electronic
12: Digital Design Systems Lab 434
Static nMOS and Pseudo-nMOS PLA
Integrated Electronic
12: Digital Design Systems Lab 435
Integrated Electronic
12: Digital Design Systems Lab 436
INV-NOR-NOR-INV Structure (2)
Example:
General structure:
Integrated Electronic
12: Digital Design Systems Lab 437
Properties:
• high static power dissipation
• small area
• useful if high speed is not required
Integrated Electronic
12: Digital Design Systems Lab 438
INV-NOR-NOR-INV Structure (4)
Integrated Electronic
12: Digital Design Systems Lab 439
Integrated Electronic
12: Digital Design Systems Lab 440
INV-NOR-NOR-INV Structure (6)
Integrated Electronic
12: Digital Design Systems Lab 441
Example:
Integrated Electronic
12: Digital Design Systems Lab 442
NAND-NAND Structure (2)
Properties:
• NAND-NAND approach not recommended:
• decreasing performance at increasing number of inputs (because
of series connection of nMOS transistors)
• high static power dissipation
Integrated Electronic
12: Digital Design Systems Lab 443
Properties:
• no static power dissipation
• area increase becomes unacceptable for large PLAs
• working fast
Integrated Electronic
12: Digital Design Systems Lab 444
Static CMOS PLA (2)
Integrated Electronic
12: Digital Design Systems Lab 445
Integrated Electronic
12: Digital Design Systems Lab 446
Dynamic CMOS PLA (1)
Integrated Electronic
12: Digital Design Systems Lab 447
Integrated Electronic
12: Digital Design Systems Lab 448
Dynamic CMOS PLA (3)
Integrated Electronic
12: Digital Design Systems Lab 449
Integrated Electronic
12: Digital Design Systems Lab 450
Noise in PLA circuits (2)
Integrated Electronic
12: Digital Design Systems Lab 451
Integrated Electronic
12: Digital Design Systems Lab 452
Optimization of PLAs – Folding
Row-folded PLA
Column-folded PLA
Integrated Electronic
12: Digital Design Systems Lab 453
Integrated Electronic
12: Digital Design Systems Lab 454
Timing & Power Dissipation of a Static PLA
• Delay is determined by
– (W/L) of the AND/OR load
– (W/L) of the AND/OR cells
• Minimum Delay:
– large load current Iload
– (W/L)ORplane = e*(W/L)ANDplane
• Limitations:
– Iload limited by:
• the total power of the PLA
• the internal logical ‘0’: (I * RnMOS = ‘0’) < VT !
– the stage sizing factor e for successive stages can not always be
realized due to the floorplan
Integrated Electronic
12: Digital Design Systems Lab 455
logical optimization
Integrated Electronic
12: Digital Design Systems Lab 456
Automatic PLA Layout Generation (2)
AND_BEGIN 1 1 X 1 0
P1 := I1 * I2;
P2 := I1 * I3; 1 X 1 1 0
P3 := I2 * I3;
P4 := I1 * I2' * I3'; X 1 1 1 0
P5 := I1' * I2 * I3';
P6 := I1' * I2' * I3;
1 0 0 0 1
P7 := I1 * I2 * I3;
END_END
0 1 0 0 1
0 0 1 0 1
OR_BEGIN
O1 := P1 + P2 + P3; 1 1 1 0 1
O2 := P4 + P5 + P6 + P7;
OR_END
Integrated Electronic
12: Digital Design Systems Lab 457
Integrated Electronic
Systems Lab
Finite State Machines - Basics
Integrated Electronic
13: FSMs Systems Lab 459
Moore Machines
next state
state
Logic
State
Register
outputs
Φ Logic
inputs
Integrated Electronic
13: FSMs Systems Lab 460
Moore Machines
next state
outputs
Logic
state
Logic Φ
State
Register
inputs
Integrated Electronic
13: FSMs Systems Lab 461
Mealy Machines
next state
state
State
Logic
Register outputs
inputs
Integrated Electronic
13: FSMs Systems Lab 462
Mealy Machines
next state
state
State
Logic outputs
Register
Φ
Φ
inputs
Integrated Electronic
13: FSMs Systems Lab 463
Table Notation
– Current state and next state are encoded binary (in the example: 3 bits)
– “Don‘t cares” in the input conditions
current
are indicated by an ‘x’ state
inputs next state outputs
001 1 0 010 0 1
Integrated Electronic
13: FSMs Systems Lab 464
Graph Notation
Integrated Electronic
13: FSMs Systems Lab 465
00 current
inputs next state outputs
0 state
S1S0 a S1‘S0‘ x
a=0 00 0 00 0
always
a=1 00 1 01 0
01 0 00 0
01 1 11 0
11 01
11 x 00 1
1 a=1 0
current state
S1S0
Notation:
x assigned output
value
Integrated Electronic
13: FSMs Systems Lab 466
Example for a Mealy Machine
a=0/x 0
00 current
inputs next state outputs
state
S1S0 a S1‘S0‘ x
a=0/x 0 00 0 00 0
always / x 1
00 1 01 1
a=1/x 1
01 0 00 0
01 1 11 1
11 a=1/x 1
01 11 x 00 1
• Because the outputs of a Mealy Machine also depend on the inputs, the
values assigned to them are annotated at the transitions
• The notation is: input condition / output assignment
Integrated Electronic
13: FSMs Systems Lab 467
State Encoding
Integrated Electronic
13: FSMs Systems Lab 468
State Encoding
• Regular Encoding
– The minimum number of bits is used to encode the states
• At least N bits are required to encode up to 2N states
– Codes can be assigned to states arbitrarily or according to certain
rules (e.g., in order to minimize complexity of the logic)
– Advantages:
• Minimum number of flipflops required
– Disadvantages:
• Due to the compactness of the state encoding, the logic functions for
calculating the next state and the outputs can be become more complex
• On average, many bits switch when the state changes
Higher power consumption
Glitches can occur
Integrated Electronic
13: FSMs Systems Lab 469
State Encoding
Integrated Electronic
13: FSMs Systems Lab 470
State Encoding
some specific
• One Hot Encoding – Implementation Aspects functional
block
– Best suited for distributed implementation
• One flipflop for each state enable
current
Logic FF state
Logic FF
Logic FF
– From an abstract point of view, all N flipflops together can also be seen as one
single state register of size N
Integrated Electronic
13: FSMs Systems Lab 471
0001 0010 00 01
1000 0100 11 10
Integrated Electronic
13: FSMs Systems Lab 472
Examples for State Encoding
0 0
1 0
0001 0010 00 01
1000 0100 11 10
0 0
Integrated Electronic
13: FSMs Systems Lab 473
0 1
0 1
0001 0010 00 01
1000 0100 11 10
0 0
Integrated Electronic
13: FSMs Systems Lab 474
Examples for State Encoding
1 0
0 0
0001 0010 00 01
1000 0100 11 10
0 1
Integrated Electronic
13: FSMs Systems Lab 475
1 1
0 0
0001 0010 00 01
1000 0100 11 10
1 0
Integrated Electronic
13: FSMs Systems Lab 476
14. ASIC Design Concepts:
Gate Arrays
& Standard Cells
Integrated Electronic
Systems Lab
Cost Issues
• Design Costs
• Non-recurring Engineering Costs (NRE)
• Manufacturing Costs
Total Costs
Costs per Chip
Design Design
+ NRE + NRE
Costs Costs
= Fixed = Fixed
Costs Costs
Integrated Electronic
14: Gate Arrays Systems Lab 478
Cost Issues: Design Costs
Synthesis:
• High-level Synthesis (allocation, scheduling, binding)
• Logic Synthesis (RTL to logic translation, FSM synthesis, logic optimisation, retiming)
• Layout Synthesis (module generators, PLA generators, Place & Route)
Integrated Electronic
14: Gate Arrays Systems Lab 479
ASIC
Cell-based Array-based
Integrated Electronic
14: Gate Arrays Systems Lab 480
Gate Arrays – Introduction (1)
Integrated Electronic
14: Gate Arrays Systems Lab 481
Integrated Electronic
14: Gate Arrays Systems Lab 482
Gate Arrays – Introduction (3)
Integrated Electronic
14: Gate Arrays Systems Lab 483
Integrated Electronic
14: Gate Arrays Systems Lab 484
Qualification of Gate Array Design Style
• Advantages:
– Lower number of individual masks needed
– Higher number of pieces for uncustomized master (cost reduction)
– Many others for masters, second source fabrication, libraries and
design systems
• Disadvantages:
– Area overhead (by unused transistor cells)
– Overdimensioned routing channels
– Larger cell size
Integrated Electronic
14: Gate Arrays Systems Lab 485
Integrated Electronic
14: Gate Arrays Systems Lab 486
Standard Cells
• Standard cell libraries are required by almost all CAD tools for chip
design
• Standard cell libraries contain primitive cells required for digital design
• However, more complex cells that have been specially optimized can
also be included
• The main purpose of the CAD tools is to implement the so called RTL-to-
GDS flow
• The input to the design process, in most cases, is the circuit description
at the register-transfer level (RTL)
• The final output from the design process is the full chip layout, mostly in
the GDSII (gds2) format
• To produce a functionally correct design that meets all the specifications
and constraints, requires a combination of different tools in the design
flows
• These tools require specific information in different formats
Integrated Electronic
14: Gate Arrays Systems Lab 487
Integrated Electronic
14: Gate Arrays Systems Lab 488
Standard Cell Design Flow
Integrated Electronic
14: Gate Arrays Systems Lab 489
Integrated Electronic
14: Gate Arrays Systems Lab 490
Standard Cell Layout
Integrated Electronic
14: Gate Arrays Systems Lab 491
Standard Cells
Integrated Electronic
14: Gate Arrays Systems Lab 492
Standard Cell Layout
Integrated Electronic
14: Gate Arrays Systems Lab 493
Integrated Electronic
14: Gate Arrays Systems Lab 494
Standard Cell Example: Layout of NAND2
Integrated Electronic
14: Gate Arrays Systems Lab 495
Integrated Electronic
14: Gate Arrays Systems Lab 496
Standard Cell Library
– Variety of flip-flops, both positive and negative edge triggered,
preferably with multiple drive strengths
– Single or Multiple outputs available for each flip-flop (e.g. Q only, or
Qbar only or both), preferably with multiple drive strengths
– Flops to contain different inputs for Set and Reset (e.g. Set only,
Reset only, both)
– Variety of latches, both positive and negative level sensitive
– Several delay cells. Useful for fixing hold time violations
– To enable scan testing of the designs, each flip-flop should have an
equivalent scan flop
• Using high fan-in reduce the overall cell area, but may cause
routing congestion inadvertently causing timing degradation.
Therefore they should be used with caution
Integrated Electronic
14: Gate Arrays Systems Lab 497
Integrated Electronic
Systems Lab
Overview
• Introduction
• Programming Technologies
• Basic Programmable Logic Device (PLD) Concepts
• Complex PLD
• Field Programmable Gate Array (FPGA)
• CAD (Computer Aided Design) for FPGAs
• Design flow for Xilinx FPGAs
• Economical Considerations
• Logic design Alternatives
Integrated Electronic
15: PLDs Systems Lab 499
Introduction
Integrated Electronic
15: PLDs Systems Lab 500
Programming Technologies
Programmable Logic Device can be programmed in two ways:
1. Mask programming (in some few cases)
2. Field programming (typical)
1.) Mask programming: programming of device is done in the mask level.
+ good timing performance due to internal connections hardwired during
manufacture
+ cheap at high volume production
- programmed by manufacturer
- development cycle = weeks or months
- not re-programmable
Integrated Electronic
15: PLDs Systems Lab 501
Integrated Electronic
15: PLDs Systems Lab 502
Basic PLD Concepts
1.) PLA (Programmable Logic Array):
• array of AND and OR gates are programmable
• product term sharing: every product term of the AND array can be
connected to the input of any OR gate
• unidirectional input/output pins
Integrated Electronic
15: PLDs Systems Lab 503
Integrated Electronic
15: PLDs Systems Lab 504
Basic PLD Concepts (IV)
• GAL:
- has array of programmable AND gates and OLMC (Output
Logic Macro Cell)
- EEPROM - based programming Technology
- programmable output polarity
- device can be configured as dedicated input and output mode
Integrated Electronic
15: PLDs Systems Lab 505
Figure 2:
Combinational PAL
device, AMD PAL16L8
Integrated Electronic
15: PLDs Systems Lab 506
Figure 3:
Sequential PAL devices,
AMD PAL16R8
Integrated Electronic
15: PLDs Systems Lab 507
Figure 4:
Arithmetic PAL
device, AMD
PAL16A4
Integrated Electronic
15: PLDs Systems Lab 508
• GAL16V8 has 8
configurable OLMC
(Output Logic Macro Cell)
• each OLMC has
programmable XOR to get
active low or high output
signal
• there is a feedback from
output to input
Integrated Electronic
15: PLDs Systems Lab 509
Integrated Electronic
15: PLDs Systems Lab 510
Complex PLD (II)
Figure 6:
Complex PLD device
Altera EP1800
Integrated Electronic
15: PLDs Systems Lab 511
Erasable CPLD
• EP1800 is erasable PLD device and has 48 macrocells, 16 dedicated
input pins and 48 I/O pins.
• device is divided into four quadrants, each contains 12 macrocells and
has local bus with 24 lines and a local clock
• out of 12 microcells, 8 are “local” macrocells and 4 are “global”
macrocells
Integrated Electronic
15: PLDs Systems Lab 514
Electrically Erasable PLD (II)
Integrated Electronic
15: PLDs Systems Lab 515
Integrated Electronic
15: PLDs Systems Lab 516
Field Programmable Gate Array
•“The routing resources are both the greatest strength and weakness
of the FPGA’s”
Integrated Electronic
15: PLDs Systems Lab 517
Integrated Electronic
15: PLDs Systems Lab 518
Field Programmable Gate Array (III)
Programming Technologies
• Currently, there are four programming technologies for FPGAs,
- static RAM cells
- anti fuse
- EPROM transistor
- EEPROM transistor
a) pass-transister b) transmission
c) multiplexer
gate
Figure 16: SRAM based programming technology
Integrated Electronic
15: PLDs Systems Lab 520
SRAM Programming technology
Integrated Electronic
15: PLDs Systems Lab 521
Anti-fuse Programming
Integrated Electronic
15: PLDs Systems Lab 522
Actel PLICE Anti-fuse programming technology
• The Actel PLICE anti-fuse consists of a layer of positively doped silicon (n+
diffusion), a layer of dielectric (Oxygen-Nitrogen-Oxygen) and a layer of
polysilicon
• it is programmed by placing a relatively high voltage (18V) across the anti-
fuse terminals which results current of about 5 mA through it
• typical resistance of a fused contact is 300 to 500 Ω
• manufactured by 3 additional masks to a normal CMOS process
Integrated Electronic
15: PLDs Systems Lab 523
Figure 20:
EEPROM programming
technology
Integrated Electronic
15: PLDs Systems Lab 525
Integrated Electronic
15: PLDs Systems Lab 526
Xilinx FPGA
• Xilinx architecture
comprises of two
dimensional array of
logic block called as
CLB.
• They are
interconnected via
horizontal and vertical
routing channel
• I/O Blocks are user
configurable to provide
an interface between
external package pin
and input logic
• I/O can be configured
Figure 21: General architecture of Xilinx FPGA
as input, output and bi-
directional signal
Integrated Electronic
15: PLDs Systems Lab 527
Integrated Electronic
15: PLDs Systems Lab 529
Integrated Electronic
15: PLDs Systems Lab 530
Xilinx, Virtex-II ProTM FPGA family
• The Virtex-II Pro Platform FPGA is the most technically sophisticated
silicon and software product development in the history of the
programmable logic industry.
• The Virtex-II Pro FPGAs are manufactured in a 0.13-micron process.
• It is capable of implementing high performance System-On-a-Chip
designs with low development cost
• It can be used in the application such as system architectures in
networking applications, deeply embedded systems and digital signal
processing systems etc.
• Virtex-II Pro devices incorporates one to four PowerPC 405 processor
cores. The PowerPC 405 cores are fully embedded within the FPGA,
where all processor nodes are controlled by the FPGA routing
resources.
• Each PowerPC 405 core is capable of more than 300 MHz clock
frequency.
Integrated Electronic
15: PLDs Systems Lab 531
Integrated Electronic
15: PLDs Systems Lab 532
Xilinx, Virtex-II ProTM FPGA family (III)
• CLB (Configurable Logic Block)
include four slices and two 3-
state buffers
• Each slice is equivalent and
contains:
• Two function generators (F
& G)
• Two storage elements
• Arithmetic logic gates
• Large multiplexers
• Wide function capability
• Fast carry look-ahead chain
• Horizontal cascade chain
(OR gate)
Integrated Electronic
15: PLDs Systems Lab 533
Integrated Electronic
15: PLDs Systems Lab 534
Actel/TI FPGA architecture
Integrated Electronic
15: PLDs Systems Lab 535
Integrated Electronic
15: PLDs Systems Lab 536
Actel/TI FPGA architecture (III)
Act-2 Logic Module:
• Act-2 family has two module architecture, consisting of C module
(Combinatorial) and S module (Sequential)
• the Logic Module is optimized for both combinatorial and sequential
designs
S module
C module
Integrated Electronic
15: PLDs Systems Lab 537
Integrated Electronic
15: PLDs Systems Lab 538
Actel/TI FPGA architecture (V)
Integrated Electronic
15: PLDs Systems Lab 539
Logic Optimization
Technology Mapping
Placement
Routing
Programming Unit
Figure 33: Design flow for FPGA
Configured FPGA
Integrated Electronic
15: PLDs Systems Lab 540
Design Entry
Design flow for Xilinx FPGA
Design validation
Device Selection
DESIGN IMPLEMENTATION
Placement
Routing
Design validation/
Back Annotation
Economical Considerations
Integrated Electronic
15: PLDs Systems Lab 542
Economical Considerations (I)
FPGA MPGA
1. Cost per chip is less for low 1. Less cost per chip for high volumes
volumes (low fixed cost) 2. Fabrication is done with hardwired
2. Short turnaround time metal connection layer, this results
3. Design flexibility is high and fast operation
cost for re-designing is low 3. High logic density
4. Speed is relatively slow 4. Very high costs for low volumes
because of resistance and (high fixed cost)
capacitance of the 5. No redesign flexibility
programmable switch
5. Programmable switches and
configuration network require
chip area, this results
decreased in logical density
Integrated Electronic
15: PLDs Systems Lab 543
Integrated Electronic
15: PLDs Systems Lab 544
Logic design Alternatives (I)
Integrated Electronic
15: PLDs Systems Lab 545
Integrated Electronic
15: PLDs Systems Lab 546
16. Arithmetic Units
Integrated Electronic
Systems Lab
Adders / Subtracters
• Half Adder:
• Can be used to calculate the sum of two bits A1 and A2.
C = A1 A2
S = A1 ⊕ A2
Integrated Electronic
16: Arithmetic Units Systems Lab 548
Adders / Subtracters
for Binary Coded Integers
Serial Adders
• The n-bit sum and the carry output are available after (n+1) clock cycles
(1 operand load, n calculations).
• The serial adder has the smallest hardware complexity (wordlength
independent if the shift registers are not considered) but requires the
highest computation time of all adder implementations.
Integrated Electronic
16: Arithmetic Units Systems Lab 549
Adders / Subtracters
for Binary Coded Integers
Parallel Adders
Integrated Electronic
16: Arithmetic Units Systems Lab 550
Parallel Adders
Integrated Electronic
16: Arithmetic Units Systems Lab 551
Integrated Electronic
16: Arithmetic Units Systems Lab 553
Integrated Electronic
16: Arithmetic Units Systems Lab 554
• Carry Select Adder:
Integrated Electronic
16: Arithmetic Units Systems Lab 555
Integrated Electronic
16: Arithmetic Units Systems Lab 556
• Carry Save Adder:
Integrated Electronic
16: Arithmetic Units Systems Lab 557
Multipliers
X= ∑x 2
i =0
i
i
Y= ∑y
j =0
j 2j
N x −1
Z = X ⋅Y = ∑ x Y 2 = (K ((x
i =0
i
i
) )
Y 2 + x N x − 2Y 2 + K 2 + x0Y
N x −1 )
• The following recurrence can be derived:
D0 = 0 Di +1 = Di 2 −1 + xiY Z = DN x 2 N x −1
Integrated Electronic
16: Arithmetic Units Systems Lab 559
where Pij = Xi Λ Yj
Integrated Electronic
16: Arithmetic Units Systems Lab 560
Part III Part II Part I
Integrated Electronic
16: Arithmetic Units Systems Lab 561
Block Multiplier
Integrated Electronic
16: Arithmetic Units Systems Lab 562
• The intermediate result has to be shifted in both directions (requires a
bidirectional shift register).
• The controller can be realized using a simple counter.
• The multiplier needs kx·ky clock cycles to perform a multiplication (where
kx and ky are the number of separated blocks of the first and of the
second argument, respectively).
Integrated Electronic
16: Arithmetic Units Systems Lab 563
17. Microarchitectures
Integrated Electronic
Systems Lab
Microarchitecture
• Components:
– Data Path
– Control Path (can be
interpreted like a FSM)
• hardwired
• programmable
– I/O Unit
Integrated Electronic
17: Microarchitectures Systems Lab 565
Datapath Design
• Example:
• Implementation:
– Standard cells (gates, muxes, registers, ...).
Or:
– Datapath compiler: several layout tiles.
Integrated Electronic
17: Microarchitectures Systems Lab 566
• Layout scheme:
Integrated Electronic
17: Microarchitectures Systems Lab 567
Integrated Electronic
17: Microarchitectures Systems Lab 568
Bit-slice ALU AMD 2901
Integrated Electronic
17: Microarchitectures Systems Lab 569
Integrated Electronic
17: Microarchitectures Systems Lab 570
Controller Implementations
Integrated Electronic
17: Microarchitectures Systems Lab 571
Microprogrammed Controllers
Integrated Electronic
17: Microarchitectures Systems Lab 572
Horizontal Microinstructions
Integrated Electronic
17: Microarchitectures Systems Lab 573
Vertical Microinstructions
Integrated Electronic
17: Microarchitectures Systems Lab 574
Microcode / Nanocode Controller
• Microinstruction = a sequence of
nanoinstructions.
Integrated Electronic
17: Microarchitectures Systems Lab 575
Integrated Electronic
Systems Lab
Overview
• Introduction
• Read Only Memory (ROM)
• Nonvolatile Read/Write Memory, esp. Flash (RWM)
• Static Random Access Memory (SRAM)
• Dynamic Random Access Memory (DRAM)
• Summary
Integrated Electronic
18: Semiconductor Memories Systems Lab 577
Market
Total DRAM market 2008: 31 B$ (Source: Gartner 2009)
Total Flash market 2008: 28 B$ (Source: Gartner 2009)
Total SRAM market 2008: 2 B$ (Source: Gartner 2009)
Integrated Electronic
18: Semiconductor Memories Systems Lab 578
Memory Requirement
Integrated Electronic
18: Semiconductor Memories Systems Lab 579
Physical Principles of
Semiconductor Memories
Integrated Electronic
18: Semiconductor Memories Systems Lab 581
Memory array
• Memory storage cells
• Address decoders
Integrated Electronic
18: Semiconductor Memories Systems Lab 582
Read Only Memory - ROM
• Simple combinatorial Boolean network which produces a specific output for each input
combination (address)
• ”1“ bit stored - absence of an active transistor
• ”0“ bit stored - presence of an active transistor
• Organized in arrays of 2N words
• Typical applications:
• store the microcoded instructions set of a microprocessor
• store a portion of the operation system for PCs
• store the fixed programs for microcontrollers (firmware)
Integrated Electronic
18: Semiconductor Memories Systems Lab 583
• Each column Ci (NOR gate) corresponds to one bit of the stored word
• A word is selected by rising to “1“ the corresponding wordline
• All the wordlines are “0“ except the selected wordline which is “1“
Integrated Electronic
18: Semiconductor Memories Systems Lab 584
Mask Programmable NOR ROM (2)
D
G
S
common ground line
S
G
D
• “1” bit stored - the drain/source connection (or the gate electrode) are omitted in the final
metallization step
• “0” bit stored - the drain of the corresponding transistor is connected to the metal bit line
Integrated Electronic
18: Semiconductor Memories Systems Lab 585
Idea: deactivation of the NMOS transistors by raising their threshold voltage above the VOH
level through channel implants
• “1” bit stored - the corresponding transistor is turned off through channel implant
• “0” bit stored - non-implanted (normal) transistors
Advantage: higher density (smaller area)!
Integrated Electronic
18: Semiconductor Memories Systems Lab 586
Implant Mask Programmable NAND ROM (1)
• Each column Ci (NAND gate) corresponds to one bit of the stored word
• A word is selected by putting to “0“ the corresponding wordline Ri
• All the wordlines Ri are “1“ except the selected wordline which is “0“
Normally on transistors: have a lower threshold voltage (channel implant)
Integrated Electronic
18: Semiconductor Memories Systems Lab 587
D D
R1
S S
Integrated Electronic
18: Semiconductor Memories Systems Lab 588
NOR Row Address Decoder for a NOR ROM Array
NOR ROM
Array
A1 A2 R1 R2 R3 R4
0 0 1 0 0 0
0 1 0 1 0 0
1 0 0 0 1 0
1 1 0 0 0 1
• The decoder must select out one row by rising its voltage to “1” logic
• Different combinations for the address bits A1A2 select the desired row
• The NOR decoder array and the NOR ROM array are fabricated as two adjacent arrays,
using the same layout strategy
Integrated Electronic
18: Semiconductor Memories Systems Lab 589
• The decoder has to lower the voltage level of the selected row to logic “0” wile keeping all
the other rows at logic “1”
• The NAND row decoder of the NAND ROM array is implemented using the same layout
strategy as the memory itself
Integrated Electronic
18: Semiconductor Memories Systems Lab 590
NOR Column Address Decoder for a NOR ROM Array
Integrated Electronic
18: Semiconductor Memories Systems Lab 591
Method of erasing:
• ultraviolet light - EPROMs
• electrically - EEPROMs
Integrated Electronic
18: Semiconductor Memories Systems Lab 592
EPROM (1)
The floating gate avalanche-injection MOS (FAMOS) transistor:
• extra polysilicon strip is inserted between the gate and the channel - floating gate
• impact: double the gate oxide thickness, reduce the transconductance, increase the
threshold voltage
• threshold voltage is programmable by the trapping electrons on the floating gate through
avalanche injection
Schematic
symbol
Integrated Electronic
18: Semiconductor Memories Systems Lab 593
EPROM (2)
• Electrons acquire sufficient energy to became “hot” and traverse the first oxide insulator
(100nm) so that they get trapped on the floating gate
• Electron accumulation on the floating gate is a self-limiting process that increases the
threshold voltage (~7V)
• The trapped charge can be stored for many years
• The erasure is performed by shining strong ultraviolet light on the cells through a
transparent window in the package
• The UV radiation renders the oxide conductive by direct generation of electron-hole pairs
Integrated Electronic
18: Semiconductor Memories Systems Lab 594
EPROM (3)
Integrated Electronic
18: Semiconductor Memories Systems Lab 595
EEPROM
Integrated Electronic
18: Semiconductor Memories Systems Lab 596
Flash Memories
Combines the density of the EPROM with the versatility of EEPROM structures
• Programming: avalanche hot-electron-injection
• Erasure: Fowler-Nordheim tunneling (as for EEPROM cells)
• Difference: erasure is performed in bulk for the complete (or subsection of) memory chip -
reduction in flexibility!
• Extra access transistor of the EEPROM is eliminated because the global erasure process
allows a careful monitoring of the device characteristics and control of the threshold
voltage!
• High integration density
Integrated Electronic
18: Semiconductor Memories Systems Lab 597
vo
6
Stable
Q-Point
V OH
v vo
I
v
1 2 I 1 4 vo = v I
0 1 0 1 0
Unstable
0 1 vo Q-Point
2
(a) (b) 2 Stable
Q-Point
V
OL
0
0 2 4 6 v
I
Integrated Electronic
18: Semiconductor Memories Systems Lab 598
Static Random Access Memory - SRAM (2)
Integrated Electronic
18: Semiconductor Memories Systems Lab 599
Integrated Electronic
18: Semiconductor Memories Systems Lab 600
Resistive Load SRAM Cell - Operation Principle (2)
Integrated Electronic
18: Semiconductor Memories Systems Lab 601
• Low-power SRAM Cell: the static power dissipation is limited by the leakage current during a
switching event
• The pMOS pull-up transistors allow the column voltage to reach full VDD level
• High noise immunity due to larger noise margins
• Lower power supply voltages than resistive-load SRAM cell
• Drawback: large area!
Integrated Electronic
18: Semiconductor Memories Systems Lab 602
CMOS SRAM Cell Design Strategy (1)
Layout of the resistive-load SRAM cell Layout of the CMOS SRAM cell
Integrated Electronic
18: Semiconductor Memories Systems Lab 603
• RS = 0: M3, M4-off;
• RS = 1: M3-saturation; M4, M1-linear
VC decreases , V1 increases slowly
Condition - M2 must remain turned off during
the data reading operation:
V1, max ≤ V T,2 ; IM3 = IM1 ⇒
⎛W ⎞
⎜ ⎟
⎝ L ⎠3 2(VDD − 1.5VT ,n )VT ,n
Design rule: <
⎛W ⎞
⎜ ⎟
(VDD − 2VT ,n )
2
Integrated Electronic
18: Semiconductor Memories Systems Lab 604
CMOS SRAM Cell Design Strategy (3)
(2) The cell should allow modification of the stored information during the data write phase
Consider the write “0“ operation, assuming that “1“ is stored in the cell (V1 = 1, V2 = 0: M1,
M6-off; M2, M5-linear)
• RS = 0: M3, M4-off;
• RS = 1: M3, M4 saturation, M5-linear
In order to change the stored information: V1 =
0, V2 = 1 ⇒ M1 on and M2 off!
But V2 < VT1 (previous design condition) ⇒ M1
cannot be switched on! ⇒ M2 must be
0V
VDD 0V switched off ⇒ V1 must be reduced below VT2
V1 ≤ V T,2 ; IM3 = IM5 ⇒
⎛W ⎞
⎜ ⎟
⎝ L ⎠5 µ n 2(VDD − 1.5VT ,n )VT ,n
Design rule: =
⎛W ⎞ µ p (VDD + 2VT , p )2
⎜ ⎟
A symmetrical rule is valid also for M6 and M4 ⎝ L ⎠3
Integrated Electronic
18: Semiconductor Memories Systems Lab 605
W DATA WB WB Operation
0 1 1 0 M1-off, M2-on, VC high, VC low
0 0 0 1 M1-on, M2-off, VC low, VC high
1 X 0 0 M1, M2 off, VC, VC high
Write operation is performing by forcing the voltage level of either column (bit line) to “0”
Integrated Electronic
18: Semiconductor Memories Systems Lab 606
SRAM Read Circuitry
∂ (Vo1 − Vo 2 ) ∂I D
= − R • g m , where g m = = 2k n I D
∂ (VC − VC ) ∂VGS
Integrated Electronic
18: Semiconductor Memories Systems Lab 607
• Eliminates wait states for the processes during data read operation
• Problems can occur if:
• two processors attempt to write data simultaneously onto the same cell
• one processor attempts to read while other writes data onto the same cell
• Solution: contention arbitration logic
Integrated Electronic
18: Semiconductor Memories Systems Lab 608
Summary of the SRAM properties
Integrated Electronic
18: Semiconductor Memories Systems Lab 609
WL (= Wordline) 10
10 WRITE:
WL-Activation – Transistor on
VCS CS Writing a 1 (or 0) to BL and to
CS
WL-Deactivation – CS,
VWL isolated,transistor is off
BL (= Bitline)
t
VCS VDD-Vth
VDD
t
VBL
VDD/2
Integrated Electronic t
18: Semiconductor Memories Systems Lab 610
Introduction to the DRAM cell
• The typical DRAM cell consists of 1 Transistor / 1 Capacitor
WL (= Wordline) 1
1 READ
Loading BL to VDD/2; BL not driven
CS WL-Activation – Transistor on
CBL Transferring CS-Charge to BL
towards a sense amplifier
VWL
BL (= Bitline)
t
VCS VDD-Vth
CBL >> CS !
VDD
t
VBL
VDD/2
Integrated Electronic t
18: Semiconductor Memories Systems Lab 611
Bitline
CB (contact to bitline)
Wordline ( = gate)
Single-sided buried strap
(= cell contact)
Deep trench isolation:
- strap cut
- Isolation collar
Deep trench:
- common electrode
- storage electrode
Current path
Integrated Electronic
18: Semiconductor Memories Systems Lab 612
DRAM Stack realization (buried wordline)
Integrated Electronic
18: Semiconductor Memories Systems Lab 613
Integrated Electronic
18: Semiconductor Memories Systems Lab 614
Summary of the DRAM properties
Integrated Electronic
18: Semiconductor Memories Systems Lab 615
Application area:
high end graphic
cards (ATI
HD4870)
up to 6Gbit/p/s
(HD4870: 115GB/s)
Technology: 75nm
9898um 3 Metal layer
interconnect (Al,
W)
Area: 112mm2
750 Mio
Transistors
Selling price: at
launch time about
8 US$
Integrated Electronic
18: Semiconductor Memories Systems Lab 616
11326.74um
Flash
Flash Introduction
thick dielectric
(gate coupling)
Charge storage, control gate
completely encapsulated TOX
keeps carge floating gate
10 years
TOX thickness ca. 8 nm source drain
substrate
Idrain
Vgate
Integrated Electronic
18: Semiconductor Memories Systems Lab 618
Flash Introduction
thick dielectric
Charge storage, (gate coupling)
completely encapsulated control gate
keeps carge
TOX
10 years floating gate
TOX thicknes ca. 8 nm
source drain
substrate
Flash Introduction
source drain
substrate
Idrain
Vgate
Integrated Electronic
18: Semiconductor Memories Systems Lab 620
Flash Introduction
Vcontrol gate = 2.5V Vcontrol gate = 2.5V
The 2 storage
states:
+ + + + + + + +
Vdrain = 1V
Vdrain = 1V
source drain source drain
substrate substrate
Idrain Idrain
Flash Introduction
Electrical programming & erase
- 20V + 20V
e- e-
source drain source drain
substrate substrate
0V 0V 0V 0V
∆ Vt ≈ 6V
∆ Q ≈ 500 electrons
Integrated Electronic
18: Semiconductor Memories Systems Lab 622
Flash Introduction
Elektrical programming & erase
- 20V + 20V
e- e-
source drain source drain
substrate substrate
0V 0V 0V 0V
Integrated Electronic
18: Semiconductor Memories Systems Lab 623
Input / Output
Integrated Electronic
18: Semiconductor Memories Systems Lab 625
Model:
Trap assisted Tunneling
Integrated Electronic
18: Semiconductor Memories Systems Lab 626
Floating Gate vs Trapping
Floating Gate
Cell
optimisation:
EC
Intense work on
dielectrics Si Poly Poly Si Poly
/energy barriers EV
O ONO ONO
Vt low Vt high
programming
erase
Vgate
Integrated Electronic Vt
18: Semiconductor Memories Systems Lab 628
Summary of Established Memory Technologies
Established memory technologies:
SRAM DRAM NAND Flash NOR Flash
Random Read
2-100ns 30ns 10µs 90ns
Access
Integrated Electronic
Systems Lab
Introduction
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 631
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 632
Synchronous Circuits (2)
• NON-RECOMMENDED CIRCUITS:
– Flip-flop driving clock input of another Flip-flop:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 633
• NON-RECOMMENDED CIRCUITS:
– Gated clock line:
– Clock skew caused by gating the clock line (e.g. multiplexer in clock
line)
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 634
Synchronous Circuits (4)
• NON-RECOMMENDED CIRCUITS:
– Double-edged clocking:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 635
• NON-RECOMMENDED CIRCUITS:
– Flip-flop driving asynchronous reset of another Flip-flop:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 636
Clock Buffering (1)
• NON-RECOMMENDED CIRCUITS:
– Unequal depth of clock buffering:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 637
• NON-RECOMMENDED CIRCUITS:
– Unbalanced fanout of clock buffers:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 638
Clock Buffering (3)
• Recommended circuits:
– Balanced clock tree buffering
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 639
• Recommended circuits:
– Combined geometric/tree buffering
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 640
Gated Clocks (1)
• NON-RECOMMENDED CIRCUITS:
– Multiplexer on clock line:
– Signal change at multiplexer input can cause a glitch at the clk input
(FF captures invalid data)
– Gating the clock line introduces clock skew
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 641
• Recommended circuits:
1) Enabled (E-type) flip-flop: 2) Toggle (T-type) flip-flop:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 642
Double-edged Clocking (1)
• NON-RECOMMENDED CIRCUITS:
– Pipelined logic with double-edged clocking:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 643
• Recommended circuits:
– Pipelined logic with single-edged clocking:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 644
Asynchronous Resets (1)
• NON-RECOMMENDED CIRCUITS:
– Flip-flop driving the asynchronous reset of another flip-flop:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 645
• Recommended circuits:
– Global asynchronous reset by external signal:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 646
Asynchronous Resets (3)
• Recommended circuits:
– Flip-flop driving the synchronous reset of another flip-flop:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 647
• NON-RECOMMENDED CIRCUITS:
– Shift register with forward or reverse chain of clock buffers:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 648
Shift Registers (2)
• Recommended circuits:
– Shift register with balanced tree of clock buffers:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 649
• NON-RECOMMENDED CIRCUITS:
– Circuits with complicated feedback loops to capture asynchronous
inputs (very sensitive to noise, and functionality can be influenced
by placement and routing delays)
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 650
Asynchronous Inputs (2)
• Recommended circuits:
– Chain of two or more D-type flip-flops for capturing an asynchronous
input:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 651
• Recommended circuits:
– Use of 4-bit register as shift register for capturing an asynchronous
input:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 652
Asynchronous Inputs (4)
• Recommended circuits:
– Asynchronous handshake circuit:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 653
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 654
Asynchronous Inputs (6)
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 655
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 656
Delay Lines and Monostables (1)
• NON-RECOMMENDED CIRCUITS:
– In general, it cannot be recommended to build circuits with a
functionality that relies on delays.
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 657
• NON-RECOMMENDED CIRCUITS:
– Pulse generator using flip-flop:
– Multivibrator:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 658
Delay Lines and Monostables (3)
• Recommended circuits:
– Synchronous pulse generator:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 659
• NON-RECOMMENDED CIRCUITS:
– Cross-coupled flip-flops and RS-flip-flops
– Bistable storing elements formed by cross-coupled NAND or NOR
gates:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 660
Bistable Elements (2)
• NON-RECOMMENDED CIRCUITS:
– Asynchronous RS-flip-flop:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 661
• Recommended circuits:
– Use D-types with set/reset
– Use latch configured as RS flip-flop:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 662
RAMs and ROMs in Synchronous Circuits 1
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 663
• Recommended circuits:
– Interfacing RAM into synchronous circuit: ME and WEbar generation
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 664
RAMs and ROMs in Synchronous Circuits 3
• Recommended circuits:
– Using flip-flop for WEbar generation: timing scheme
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 665
• Recommended circuits:
– Avoiding floating RAM/DPRAM output propagation
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 666
Tristates (1)
• NON-RECOMMENDED CIRCUITS:
– Tristate bus with non-central enable control:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 667
Tristates (2)
• Recommended circuits:
– Tristate bus with central control of all tristate enable signals and one
additional driver that is activated on non-controlled states
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 668
Tristates vs. Multiplexer
Tristates: Multiplexer:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 669
Parallel Signals
• NON-RECOMMENDED CIRCUITS:
– Wired-OR part used to create higher fanout:
• Recommended Circuits:
– High-fanout buffer replacing wired OR part
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 670
Fanout (1)
• NON-RECOMMENDED CIRCUITS:
– Excessive fanout on
control signals:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 671
Fanout (2)
• Recommended circuits:
– Geometric buffering
on control signal:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 672
Fanout (3)
• Recommended circuits:
– Tree buffering
on control signal:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 673
• Use AOI logic (complex cells from standard cell library) where
possible. The figure below shows a multiplexer using AOI logic:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 674
Design for Speed (2)
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 676
Design for Testability (1)
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 677
• Recommended circuit:
– Insert test inputs and outputs
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 678
Design for Testability (3)
• NON-RECOMMENDED CIRCUITS:
– Chain of counters: first counter is not directly observable and
second counter is not directly controllable
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 679
• Recommended circuit:
– Break long counter / shift register chains
– Chain of counters broken by test input tc and output signals:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 680
Design for Testability (5)
• NON-RECOMMENDED CIRCUITS:
– Counter with closed feedback loop: initial state is not known
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 681
• Recommended circuit:
– Open feedback loops
– Counter with feedback loop opened by test control tr and output
signals:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 682
Design for Testability (7)
• Recommended circuits:
– Use BIST (Built-In-Self-Test) with compiled megacells
– Compiled megacell with compiled inputs/outputs:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 683
• Recommended circuits:
– Scan path testing
– E-type scan path flip-flop (right):
– Circuit with scan path (below):
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 684
Design for Testability (9)
• Recommended circuits:
– Use of JTAG boundary scan path
– JTAG test circuitry:
Integrated Electronic
19: ASIC Design Guidelines Systems Lab 685
Integrated Electronic
Systems Lab
Motivation
phases of design
Integrated Electronic
20: Testing Systems Lab 687
# DevectiveParts
aql =
# AcceptedParts
Integrated Electronic
20: Testing Systems Lab 688
Economical Considerations (2)
Integrated Electronic
20: Testing Systems Lab 689
DL = 1 − Y 1−T
Integrated Electronic
20: Testing Systems Lab 690
Economical Considerations (3)
Integrated Electronic
20: Testing Systems Lab 691
Integrated Electronic
20: Testing Systems Lab 692
Design Flow: Testing (2)
Manufacturing Process
Integrated Electronic
20: Testing Systems Lab 693
Fundamental Definitions
Integrated Electronic
20: Testing Systems Lab 694
Fault Models (1)
Integrated Electronic
20: Testing Systems Lab 695
Integrated Electronic
20: Testing Systems Lab 696
Fault Models for Gates (1)
PHYSICAL LOGICAL
(analog) (digital)
Integrated Electronic
20: Testing Systems Lab 697
• Issue: complexity
– as 1 model .......................
• 12 faults
– as 12 gates ......................................................
• 30 (collapsed) faults
• 12x larger netlist
• 30x computation
– as 60 transistors ................
• 90 (collapsed) faults
• 60 transistors
• 400x computation
Integrated Electronic
20: Testing Systems Lab 698
Fault Models for Gates (3)
• The controversy:
– IBM: comprehensive stuck-at no empirical need for MOS fault
models
– UNISYS: MOS model required for < 1% AQL
Integrated Electronic
20: Testing Systems Lab 699
– test b 1 1 0 1
c 1 1 1 0
Integrated Electronic
20: Testing Systems Lab 700
Fault Tolerant Design (1)
Fault detection by
duplication with
complementary logic
Integrated Electronic
20: Testing Systems Lab 701
Integrated Electronic
20: Testing Systems Lab 702
Fault Tolerant Design (3)
Reconfigured array
Integrated Electronic
20: Testing Systems Lab 703
• manually
• pseudo random (leads up to 60% fault coverage)
• algorithmic
• special test patterns for RAMs
Integrated Electronic
20: Testing Systems Lab 704
The D-Algorithm (1)
• Every test generation procedure has to solve the following problems:
– Creation of a change at the faulty line
– Propagation of the change to the primary output line
• In the D-Algorithm the symbols D and D are used to refer to the
changes. D and D are used as follows:
– D : used if a line has the value 1 in absence of a fault and the value 0 in case
of a fault occurrence
– D :used if a line has the value 0 if no fault occurs and otherwise the value 1
• The D-algorithm method for path sensitization consists of two principal
phases:
– forward drive (propagation) of an D-value to an primary output
– backward trace (consistency operation)
• These two steps are iterated for different propagation paths for the D-
value from one dedicated internal point i to one dedicated primary output
point o until the backward trace phase is finished without any
contradiction (a test vector for a fault at i has been found) or until all
possible paths from i to o have been examined.
Integrated Electronic
20: Testing Systems Lab 705
Integrated Electronic
20: Testing Systems Lab 706
The D-Algorithm (3)
Integrated Electronic
20: Testing Systems Lab 707
Integrated Electronic
20: Testing Systems Lab 708
The D-Algorithm (5)
Integrated Electronic
20: Testing Systems Lab 709
Integrated Electronic
20: Testing Systems Lab 710
The D-Algorithm (7)
Construction of the
singular cover of a
logic module
Integrated Electronic
20: Testing Systems Lab 711
Integrated Electronic
20: Testing Systems Lab 712
D-Algorithm Example (2)
Integrated Electronic
20: Testing Systems Lab 713
Integrated Electronic
20: Testing Systems Lab 714
D-Algorithm Example (4)
Integrated Electronic
20: Testing Systems Lab 715
Integrated Electronic
20: Testing Systems Lab 716
D-Algorithm Example (6)
5) Now the consistency phase is started and a value for line 4 has to
be found. From the singular cover table it can be seen that a 0 on
line 10 implies both line 7 and line 8 to be 1. In cube m line 7 is a D
(and also line 5 which is connected to 7 by j), and this D must now
be set to 1 which is a contradiction that disables the path
sensitization 5 6/7 9 11.
Integrated Electronic
20: Testing Systems Lab 717
7) From the singular cover table we get the information that a 1 on line
8 is the same as a 0 on line 4. Additionally, it can be seen that the 0
on line 9 can be obtained by a 1 on line 1.
8) This yields the final cube:
1110DDD10DD
9) A test vector for line 5/0 is given by:
1110
Integrated Electronic
20: Testing Systems Lab 718
Fault Simulation
• Improved Algorithms:
– Parallel Fault Simulation
– Concurrent Fault Simulation
discussed in CAD lecture
Integrated Electronic
20: Testing Systems Lab 719
Testability:
• controllability
• observability
• additional chip area required
• shorter design cycle
Integrated Electronic
20: Testing Systems Lab 720
Design for Testability (2)
Design for testability: complex gate (a) not testable with stuck-at model;
(b) fully testable with stuck-at model
Integrated Electronic
20: Testing Systems Lab 721
• Ad-Hoc Techniques:
– developed for special design
– less silicon area
– design automation almost impossible
– partitioning (test of circuit components by use of dedicated
multiplexers)
Integrated Electronic
20: Testing Systems Lab 722
Design for Testability (4)
Integrated Electronic
20: Testing Systems Lab 723
A-hoc techniques:
insertion of register in order to limit logic depth to a given maximum value
Integrated Electronic
20: Testing Systems Lab 724
Design for Testability (6)
Ad-hoc techniques :
test shift registers for PLA test (increasing PLA area)
Integrated Electronic
20: Testing Systems Lab 725
Integrated Electronic
20: Testing Systems Lab 726
Scan-Path Methods (2)
Integrated Electronic
20: Testing Systems Lab 727
• Advantages:
– Testability of clocked circuits is improved and guaranteed at design
stage
– Consistent with good VLSI design practice (rules, abstraction,
modularity, ...)
– Does not require special CAD
• Disadvantages:
– Wastes silicon
– Constrains designer to design according given conditions
– Additional complexity
• Overhead:
~
– 2% for a fundamentally ‘structured’ design
~
– 30% for ‘wild’ logic
Integrated Electronic
20: Testing Systems Lab 728
Built-In Tests (1)
Integrated Electronic
20: Testing Systems Lab 729
Integrated Electronic
20: Testing Systems Lab 730
Built-In Tests (3)
xi (t ) = xi −1 (t − 1) für 2 ≤ i ≤ n
n
xi (t ) = ∑ ki * ( xi (t − 1)) (mod 2)
i =1
K ( x) = k n x n + k n −1 x n −1 + L + k1 x + k0
Integrated Electronic
20: Testing Systems Lab 731
K ( x) = x 4 + x + 1
Integrated Electronic
20: Testing Systems Lab 732
Evaluation of Testing Data (1)
1
F ≈ 1−
m *π
Integrated Electronic
20: Testing Systems Lab 733
• Signature analysis
– Communication technique: coding theory
– Code words: data stream D, polynomial P(x), division modulo 2
D R
=Q+
P P
Integrated Electronic
20: Testing Systems Lab 734
Evaluation of Testing Data (3)
Integrated Electronic
20: Testing Systems Lab 735
Integrated Electronic
20: Testing Systems Lab 736
Evaluation of Testing Data (5)
• Interpretation:
– all faults recognized if m < n (trivial)
– long sequences: n is important only
– n = 16 bit F = 99,99985%
2 mk − n − 1
• Parallel signature register with k inputs: F = 1 − mk
2 −1
Integrated Electronic
20: Testing Systems Lab 737
Integrated Electronic
20: Testing Systems Lab 738
Built-in Logic Block Observation (2)
• Advantages:
– Versatility
• Normal operation
• Scan-path test: enhances testability
• Test vector generation via LFSR
• Data compression via LFSR
• Combined scab-path/self-test using LFSRs
• Disadvantages:
– silicon area
• Bilbo latch can be ≈ 50% larger than ordinary latch
Integrated Electronic
20: Testing Systems Lab 739
decoder
binary up-counter
go / no go
output
Test Clock
pass gate
red LED,
For clarity, mode control lines, normal green LED
system clocks, and preset/clear facilities
have been omitted
Integrated Electronic
20: Testing Systems Lab 740
21. Future Trends:
Integrated Electronic
Systems Lab
Overview
Integrated Electronic
21: Future Trends Systems Lab 742
Basic Definitions
• Reliability:
... is the ability of a system or a component to perform its required functions under stated
conditions for a specified period of time (IEEE)
• Robustness
Robustness is the quality of being able to withstand stresses, pressures, or changes in
procedure or circumstance. A system, organism or design may be said to be "robust" if it is
capable of coping well with variations (sometimes unpredictable variations) in its operating
environment with minimal damage, alteration or loss of functionality. (Wikipedia)
• Zuverlässigkeit:
... eines technischen Produkts ist eine Eigenschaft (Verhaltensmerkmal), die angibt, wie
verlässlich eine dem Produkt zugewiesene Funktion in einem Zeitintervall erfüllt wird. Sie
unterliegt einem stochastischen Prozess und kann qualitativ oder auch quantitativ (durch
die Überlebenswahrscheinlichkeit) beschrieben werden, sie ist nicht unmittelbar messbar.
(Wikipedia)
• Robustheit:
... Ist die Eigenschaft eines Systems oder Verfahrens, auch unter ungünstigen Bedingungen
noch zuverlässig zu funktionieren (Wikipedia)
Integrated Electronic
21: Future Trends Systems Lab 743
Integrated Electronic
21: Future Trends Systems Lab 744
Reliability: Devices, Components, Systems
• System Design Issue:
flexible adaptive systems with masking capability for lower level deviations/defects
• Application Design Issue:
select adaquate manufacturing technologies, design techniques and system
architectures
Source: sees-project.net
Integrated Electronic
21: Future Trends Systems Lab 745
Overview
Integrated Electronic
21: Future Trends Systems Lab 746
Major Challenges in CMOS IC Design
Power Design
Consumption Robustness
contradictory
in nature
• Solution:
Joint Optimization
Power Reliability
Integrated Electronic
21: Future Trends Systems Lab 747
Power Consumption
Bipolar
• Traditionally: the driving force behind technology changes: NMOS
• Currently: rapidly-growing power densities (90 nm and beyond) CMOS
– Causes: exponential grow in:
Subthreshold
Currents
Gate Leakage
10 ... 20 years
Integrated Electronic
21: Future Trends Systems Lab 748
Major Challenges
Variability Power
(particularly Leakage)
• (Near-)Critical Paths:
– affect the yield due to
Timing wall
Process
Variability [Sylvester 2007 ProcIEEE]
Integrated Electronic
21: Future Trends Systems Lab 749
switching
for each node i, not
probability
straightforward to
determine αi and Ci
[Usami 98 JSSC]
[Usami 98 JSSC]
Integrated Electronic
21: Future Trends Systems Lab 750
Static Power Minimization
• Static Power: to be considered in active mode and standby
– Has become a significant contributor to the total power
budget
– Particularly a problem for mobile applications
• Leakage Current:
– Affected by the input-vector probability:
Stack Effect
[Actel]
S D S D
n+ n+ n+ n+
p substrate p substrate
Integrated Electronic
21: Future Trends Systems Lab 752
Standby Mode Leakage Reduction
• Input Vector Controling (IVC)
– Uses the stack effect to reduce leakage
– Force gates to a low leakage state
– Only a few nodes in a design can be
assigned to a given state:
– Hard Problem: Determine the state that
should be forced: heuristics, random sampling
– leakage reduction up to 20% [1999 Johnson TransCAD]
• High-Vth Sleep Transistors
– very large [Macii 2007 CLEAN Ws]
Vth(V)
• Combination of IVC and Dual-Vth:
– Up to 5x leakage savings than IVC alone! [Lee 2005 TransCAD]
Vbs(V)
Integrated Electronic
21: Future Trends Systems Lab 753
Two-sided
yield constraint
Significantly Higher
Leakage Current
Variations
Integrated Electronic
21: Future Trends Systems Lab 755
Overview
Integrated Electronic
21: Future Trends Systems Lab 756
TU Darmstadt: Research Center for Printed Electronics
Research Topics
Printed RFID
[Source: PolyIC]
Integrated Electronic
21: Future Trends Systems Lab 757
Circuit Design
Manufacturing Technology
>> Printing Technology – Materials
Device – Printing
& – Modeling & Design
Process
Models
Materials Research
Integrated Electronic
21: Future Trends Systems Lab 758
Mixed Level/Domain Models based on Verilog-A:
UHF RFID system
• Modeled Components:
– Reader
– Wireless Channel
– Transponder
• Mixed Wave Domain (s-Parameter) and Circuit Modelling
Integrated Electronic
21: Future Trends Systems Lab 759
– Simulation result:
^
V in = 0.5V V+ = 1.5V
Integrated Electronic
21: Future Trends Systems Lab 760
RFID Reader Technology: 13.56 MHz Interrogator
Antenna
Xilinx Spartan3
FPGA Board
Analog FrontEnd
Lantronix XPort
Integrated Electronic
21: Future Trends Systems Lab 761
Overview
Integrated Electronic
21: Future Trends Systems Lab 762
Future Directions in IC Design
• Multiple Cores
– Particularly interesting: nonuniform cores
(different supply voltages and different
power/performance ratios)
– Dedicated hardware accelerators
for very low voltages
• Interconnect Design Trends
– Problem shrinking wires >> larger delays
– Solutions Requirement:
• Meet stringent timing and signal integrity
requirements
• Reduce both static and dynamic power
– Currently: aggressive shielding to avoid highly inductive
lines
– Future: improved signaling techniques:
Low-swing, pulsed signaling, Ultra high-speed
serial lines, bus encoding
– Global wiring optimization for low power rather than
performance
– Adaptive SoC top-level NoC-based interconnection
architectures
Integrated Electronic
21: Future Trends Systems Lab 763
Robust design
strategy
Integrated Electronic
21: Future Trends Systems Lab 764
Conclusions
• NanoScale CMOS:
– Power is the key limiter of Moore‘s law [Sylvester ProcIEEE 2007]
– Design Goals: low-power and robustness (parametric yield)
– Power and robustness has to be considered on all levels of the design flow
– New CAD techniques for multi-objective optimization needed
– Design of adaptive circuits required (adaptive body biasing has been successful)
– Signal transmission one of the central future challenges (smart repeaters, pulses)
Integrated Electronic
21: Future Trends Systems Lab 765
Thank
you!
Vielen
Dank!
谢谢您!
Integrated Electronic
21: Future Trends Systems Lab 766
Exercises
Integrated Electronic
Systems Lab
Integrated Electronic
Systems Lab
1. Problem: Short Channel MOSFETs
Formulas:
W ⎡ 2 ⎤
VDS
I DS = κ (VDS )µ ⋅ COX ⎢(VGS − VT )VDS − ⎥
L ⎣ 2 ⎦
1
κ (VDS ) =
1 + (VDS (Ε C L))
1 ∂I DS
Ron = g DS =
g DS VDS →0 ∂VDS
2. Exercise:
Integrated Electronic
Systems Lab
1. NMOS Inverter
V D D V D D V D D
Q L
R L R L
1. NMOS Inverter
1. NMOS Inverter
• Calculate VOH
• Calculate VOL
• Calculate VIH
1. NMOS Inverter
Hints:
• The body effect (influence of the bulk- source voltage) of the load
transistor must be taken into account when determining its
threshold voltage. Therefore the following equation for the
threshold voltage can be used:
VTH = VT 0 + γ ( 2 | φ F | +VSB − 2 | φ F | )
• An equation of type x = f(x) can be solved numerically by starting
at any value for x and iteratively calculating f(x) until the result
reaches the desired precision.
Integrated Electronic
Systems Lab
Problem 1
The figure below shows the layout of a CMOS inverter, whose dimensions
are given in micrometers. The inverter is realized in a n-well CMOS process.
The oxides capacitance is Cox = 69.1 nF/cm2 for both n and p-channel
transistors. The drain-bulk and source-bulk depletion capacitances of the
transistors are given by the following parameters:
NMOS PMOS
C j0 [ fF / µm ] 2
0.0975 0.0298
C jsw0 [ fF / µm] 0.107 0.362
φ0 [V ] 0.879 0.939
φ0 sw [V ] 0.921 0.985
2. Nonsaturation: the channel shields the bulk electrode from the gate
3. Saturation: the channel is pinched off and does not contact the drain n+ region
where
yields
Problem 3
Let’s consider a CMOS inverter with βn = βp = 35 µA/V2 and VT0n = 0.9V,
VT0p = -0.8V. The output capacitance is Cout = 125 fF and the supply voltage
is VDD = 5V.
a) Compute tHL and tLH for the inverter.
b) Determine the propagation delay time tp. You may assume an input
voltage that has a rise or fall time of 0ns, i.e. the input signal goes
immediately from 0V to 5V and vice versa.
Integrated Electronic
Systems Lab
b)
f1 = a b + c + ab c f 2 = acd + bc + cd
a b
1 f1 1 f2
a d
b b c c a a c c
f4 = a b + d + b cd + a bd
f3 = abc + db c + ab c
a
a
1
d f3 f4
c
a a
b b c c b b d d
f5 = ab + ac + b c d
a
a f5
d
b b c c
Integrated Electronic
Systems Lab
Problem 1: Dynamic Logic Full Adder
Draw the transistor level circuit of a dynamic ripple carry full adder,
whose logic functions are the following.
C n +1 = An ⋅ Bn + C n ( An + Bn )
S n = C n +1 ( An + Bn + C n ) + An ⋅ Bn ⋅ C n
The function:
Z = A (B + C + D + E + F )
All input variables in the above circuit come from domino logic blocks, so
that immediately after the precharge we have: A = B = C = D = F = 0V.
For which possible 0 →1 transitions has the charge sharing effect the
greatest influence? The capacitances are:
C X 1 = C X 2 = 10 fF , Cout ,1 = 185 fF
Calculate the voltage Vout,1. Make the calculations for C X 1 = C X 2 = 40 fF.
5. Exercise: Dynamic Integrated Electronic
Logic Systems Lab 801
6. Exercise:
Integrated Electronic
Systems Lab
Problem 1: Line Propagation Delay
In L o a d
C L
C g S C g S M -1
C g S M
C g = C L
7. Exercise:
Gate-Matrix, Stick-Diagrams, Euler Graphs
Integrated Electronic
Systems Lab
Problem 1: Full adder - Stick Diagram
Let’s consider a full adder, whose input signals are A, B and Cin. The
outputs are S and Cout.
A) Draw the logic table for the full adder and determine the
equations for S and Cout.
B) Show the stick-diagram of the full adder
Integrated Electronic
Systems Lab
Draw the stick diagram of a NMOS PLA that implements a full adder
stage. The input and the output registers are clocked using φ1 and
φ2 respectively.
Integrated Electronic
8. Exercise: PLA Structures Systems Lab 812
Problem 2: FSM implementation with PLA
Design and implement with PLA a traffic light controller for the
crossroad below. The farm road has sensors for detecting waiting
cars.
There is also a timer available, which is
triggered by the rising edge of a ‘Start’
signal and provides two output signals:
TShort - during the yellow phase
TLong - for timing the green phase
TLong
Start
TShort
TShort
TLong
Integrated Electronic
8. Exercise: PLA Structures Systems Lab 813
S = 0 o r T L o n g = 0
First, draw the schematics of the controller, showing the PLA, the
timer and the traffic lights.
Integrated Electronic
8. Exercise: PLA Structures Systems Lab 814