Sunteți pe pagina 1din 146

1

SUMRIO


INTRODUO........................................................................................................................ 8
1 DESCRIO DO SISTEMA DO ROB MVEL ........................................................ 9
2 MATERIAIS E MTODOS .......................................................................................... 10
2.1 Construo Mecnica do Rob Mvel .................................................................... 10
2.1.1 Estrutura do Rob Mvel ................................................................................. 10
2.1.2 Adaptao dos servomotores
[7,8]
...................................................................... 14
2.1.3 Modificando os servomotores .......................................................................... 15
2.2 Circuitos Eltricos (Etapa de Gerenciamento) ........................................................ 18
2.2.1 Processamento de Dados (Microcontrolador PIC18F4550)
[9]
......................... 18
2.2.2 Ciclos de instruo de mquina para microcontroladores PIC18F .................. 25
2.2.3 Descritivo das funes/caractersticas e arquitetura interna do PIC18F4550 .. 26
2.2.4 Freqncia de clock para osciladores externos e internos para o PIC18F4550 30
2.2.5 Recursos avanados do PIC118F4550 para acionamento PWM ..................... 33
2.2.6 Etapa de Acionamento e Potncia .................................................................... 38
2.2.7 Etapa de Sensoriamento (Fundamentos de Sinais e Sistemas)
[14,15]
................ 43
2.3 Transmisso de dados (Etapa de comunicao entre o RM e o Host) ..................... 56
2.3.1 Comunicao via Rdio-Freqncia (RF)
[22]
.................................................. 56
2.3.2 Comunicao via Protocolo Serial (USART) .................................................. 57
2.4 Modelagem dinmica e Aplicao de tcnicas clssicas de controle ao RM .......... 61
2.4.1 Atuadores (Motor de Corrente Contnua CC) ............................................... 61
2.4.2 Especificaes dos Atuadores do Rob Mvel (RM) ...................................... 63
2.4.3 Modelagem do Sistema de completo (motor + redutor + carga) e Determinao
dos parmetros eltricos e mecnicos ............................................................................ 64
2.4.4 Caractersticas da Teoria de Controle Clssica ................................................ 69
2.4.5 Controlador PID (Proporcional-Integral-Derivativo)
[3]
................................... 71
2.4.6 Mtodos de obteno dos parmetros K
p
, K
i
e K
d
para o controlador PID ...... 72
2.4.7 Adaptao do controlador PID analgico a um controlador PID digital
(discretizao) ................................................................................................................ 76
3 RESULTADOS .............................................................................................................. 81
3.1 Projeto e Construo dos circuitos eltricos ............................................................ 81
3.1.1 Etapa de Gerenciamento ................................................................................... 81
3.1.2 Etapa de Acionamento...................................................................................... 96
3.1.3 Etapa de Sensoriamento ................................................................................... 99
3.2 Obteno dos parmetros do conjunto (motor + redutor + carga)
[2]
..................... 101
3.2.1 Determinao dos parmetros do motor + redutor ......................................... 101
3.2.2 Motor + redutor + carga
[2,34,35,36]
................................................................... 114
3.2.3 Obteno dos parmetros e aplicao do controlador PID
[37]
....................... 123
3.3 Implementao em Software (rotinas) ................................................................... 130
3.3.1 Linguagens/tcnicas de programao e formas de depurao
[38,39,40]
........... 130
3.3.2 Configuraes de diretivas iniciais para a programao e Rotinas de
Comunicao
[42]
........................................................................................................... 133
3.3.3 Rotinas de Acionamento ................................................................................ 134
3.3.4 Rotinas de PM ................................................................................................ 135
3.3.5 Rotinas de Leitura dos dados pelo encoder/HCTL ........................................ 139
3.3.6 Rotinas do controlador PID ............................................................................ 140
4 CONCLUSES ............................................................................................................ 141
5 REFERNCIAS BIBLIOGRFICAS ......................................................................... 144
2

LISTA DE ANEXOS


ANEXO I: Tipos de memrias.......................................................................................... 147
ANEXO II: Partes principais do Datasheet e Caractersticas do PIC18F4550
a. Adendo 1 (p.64) Ciclos de instruo de mquina................................... 151
b. Adendo 2 (p.153) Mdulo ECCP........................................................... 162
c. Adendo 3 (p.166) Interface USB do PIC................................................ 175
d. Adendo 4 (p.187 / p.193) SPP e MSSP.................................................. 184
e. Adendo 5 (p.79 / p86 / p.87) Reading, Erasing and Writing Flash
Memory.....................................................................................................

200
f. Adendo 6 (p.304 / p.305 / 306) PIC Instruction Set............................... 206
ANEXO III: Datasheet L293............................................................................................. 212
ANEXO IV: Datasheet: Encoder HEDS5500................................................................... 225
ANEXO V: Datasheet: HCTL2016/7................................................................................ 240
ANEXO VI: Datasheet: Laipac TRF 2.4G transceiver e Nordic CI................................. 259
ANEXO VII: Datasheet: MAX232................................................................................... 290
ANEXO VIII: Datasheet: LM7805................................................................................... 298
ANEXO IX: AN956: Migrating Applications to USB from RS-232 UART with
Minimal Impact on PC Software.......................................................................................

308
ANEXO X: Aspectos tericos do Momento de Inrcia.................................................... 322
ANEXO XI: AN937: Implementing a PID Controller using a PIC18 MCU................... 324
ANEXO XII: Cdigo-fonte implementado....................................................................... 337





















3

LISTA DE FIGURAS



Figura 1- Corte inicial e formato aps os cortes laterais. ....................................................... 11
Figura 2 - Formato e cor definitivos das plataformas que formam o chassi do rob mvel. . 11
Figura 3 - Espaadores de lato de . .................................................................................. 12
Figura 4 - Furao e fixao dos motores aos suportes.
[referncia]
........................................... 12
Figura 5 - Furao das plataformas para a fixao dos espaadores.
[referncia]
....................... 13
Figura 6 - Disposio dos motores e espaadores nas plataformas.
[referncia]
......................... 13
Figura 7 - Fabricao das rodas de PVC.
[referncia]
.................................................................. 13
Figura 8 - Pinos de teflon adicionados ao rob mvel. .......................................................... 14
Figura 9 - Partes que formam um servomotor.
[referncia]
......................................................... 15
Figura 10 - A figura mostra o conjunto Motor+circuito de acionamento e posio.
[referncia]
16
Figura 11 - Retirada da trava mecnica presente em alguns modelos de servomotores.
[referncia]
.................................................................................................................................... 16
Figura 12 - Construo e do eixo a engrenagem.
[referncia]
...................................................... 17
Figura 13 - Furao e adaptao da bucha de bronze fosforoso a tampa traseira do motor.
[referncia]
.................................................................................................................................... 17
Figura 14 - Aparncia final do conjunto MOTOR+REDUTOR+EIXO do sensor.
[referncia]
. 18
Figura 15 - Conjunto final MOTOR+REDUTOR+SENSOR (encoder).
[referncia]
................. 18
Figura 16 - Terminologia dos terminais do microcontrolador PIC18F4550 (TQFP44).
[9]
... 19
Figura 17 - Operao genrica das portas de E/S.
[9]
.............................................................. 24
Figura 18 - Ciclo de instruo de clock.
[9]
............................................................................. 25
Figura 19 - Exemplo de fluxo de instruo Pipeline.
[9]
......................................................... 26
Figura 20 - Diagrama de blocos do microcontrolador PIC18F4550.
[9]
................................. 29
Figura 21- Diagrama de clock interno.
[9]
............................................................................... 32
Figura 22 - Diagrama de blocos simplificado do modo CCP (em PWM).
[9]
........................ 33
Figura 23 - Tempo do ciclo ativo.
[9]
...................................................................................... 34
Figura 24 - Resoluo do PWM para a freqncia padro de 40MHz e registradores
associados com o PWM e Timer2.
[9]
..................................................................................... 35
Figura 25 - Pinos de entrada, sada e de potncia do CI L293.
[10]
......................................... 39
Figura 26 - Acionamento bidirecional do motor de CC usando o L293.
[10]
.......................... 39
Figura 27 - Acionamento de dois motores de CC com o mesmo sentido e parado;
Acionamento de um motor de CC com dois sentidos e parados.
[10]
...................................... 40
Figura 28 - Diagrama de funcionamento interno lgico.
[10]
.................................................. 40
Figura 29 - Duas formas de onda PWM com largura de pulso diferente. .............................. 41
Figura 30 - Sinal peridico aplicado ao Motor de CC. .......................................................... 42
Figura 31 - Princpio de funcionamento de um encoder rotativo (esquerda) e um encoder
linear (direita).
]
....................................................................................................................... 44
Figura 32 - Grfico dos sinais A, B e C e exemplo real de um encoder incremental.
[16]
...... 45
Figura 33 - Zona de mltiplas comutaes em um encoder absoluto e exemplo real.
[16,]
..... 45
Figura 34 - Equivalncia entre os sistemas numricos (esquerda), e circuito de converso
lgico (centro) e diferena construtiva dos discos pticos (direita).
[16]
................................ 46
Figura 35 - Encoder HEDS5500: Conjunto Sensor (HEDS9140) e Disco (HEDS5140).
[]
.. 47
Figura 36 - Demonstrao em 3D de um encoder e esquema eltrico dos terminais.
[19]
...... 47
Figura 37 - Diagrama de blocos do funcionamento interno do encoder (HEDS5500).
[19]
.... 48
Figura 38 - Terminologia dos terminais do HCTL2016.
[21]
.................................................. 51
Figura 39 - Filtro de rudo digital simplificado.
[21]
............................................................... 52
Figura 40 - Propagao dos sinais de cada canal atravs do filtro digital de rudo.
[21]
......... 52
4

Figura 41 - Representao grfica de um pulso ..................................................................... 55
Figura 42 - Conectores macho e fmea DB9 da porta serial e seqncia numrica dos pinos.

[23]
............................................................................................................................................ 59
Figura 43 - Terminologia dos pinos do CI MAX232 e esquema lgico interno de
funcionamento.
[24]
.................................................................................................................. 60
Figura 44 - Tipos de conectores USB.
[25]
.............................................................................. 61
Figura 45 - Esquema de funcionamento de um motor de CC.
[7]
........................................... 62
Figura 46 - Servomotor Hobbico CS-60 usado como atuador.
[]
........................................... 63
Figura 47 - Representao da fora (F) sobre um fio condutor e torque sobre uma espira. .. 64
Figura 48 - Diagrama eletromecnico do motor de CC. ........................................................ 66
Figura 49 - Diagrama de Blocos da equao eltrica ............................................................. 67
Figura 50 - Diagramas de blocos da parte do acoplamento. .................................................. 67
Figura 51 - Diagrama de blocos da parte mecnica. .............................................................. 68
Figura 52 - Diagrama de blocos completo da modelagem matemtica do motor CC. ........... 68
Figura 53 - Diagrama em blocos de sistema de controle ....................................................... 69
Figura 54 - Controle em malha aberta .................................................................................... 70
Figura 55 - Sistema de controle a malha fechada ................................................................... 70
Figura 56 - Critrios de sintonia ............................................................................................. 73
Figura 57 - Controlador digital.
[]
........................................................................................... 76
Figura 58 - Mtodo de aproximao de Euler.
[29]
.................................................................. 77
Figura 59 - Obteno do termo derivativo.
[29]
....................................................................... 78
Figura 60 - Obteno do termo integrativo.
[29]
...................................................................... 79
Figura 61 - Controlador PID com anti-windup.
[29]
................................................................ 80
Figura 62 - Determinao prtica do perodo de amostragem (T).
[29]
................................... 81
Figura 63 - Distribuio dos pinos do microcontrolador para cara etapa do projeto. ............ 82
Figura 64 - Layout em dupla-face da etapa de gerenciamento. .............................................. 84
Figura 65 - Visualizao em 3D da placa de circuito impresso da etapa de gerenciamento.. 84
Figura 66 - Diagrama eltrico da etapa de gerenciamento. .................................................... 85
Figura 67 - Placas de gerenciamento, acionamento e sensoriamento, aps a confeco. ...... 86
Figura 68 - Diagrama eltrico e baterias em paralelo para a alimentao eltrica de 9V. ..... 87
Figura 69 - Diagrama eltrico e disposio real dos componentes para 5V. ......................... 87
Figura 70 - Diagrama eltrico para alimentao do mdulo de RF em 3V. ........................... 88
Figura 71 - Diagrama funcional da etapa de comunicao entre o microcontrolador e o host.
................................................................................................................................................ 89
Figura 72 - Arquitetura interna do mdulo USB.
[9]
............................................................... 90
Figura 73 - Esquema eltrico da conexo USB. ..................................................................... 90
Figura 74 - Esquema eltrico da conexo USART. ............................................................... 93
Figura 75 - Esquema eltrico da conexo ICSP. .................................................................... 94
Figura 76 - Seleo dos pinos na chave comutado para a alimentao do canal de dados ICSP
e alimentao eltrica funcional. ............................................................................................ 95
Figura 77 - Conexo do pino PGM massa (nvel lgico baixo). ......................................... 95
Figura 78 - Diagrama funcional da etapa de Acionamento. ................................................... 97
Figura 79 - Diagrama eltrico e descrio da configurao usada dos pinos do CI L293. .... 98
Figura 80 - Visualizao em 3D e layout em dupla face da etapa de acionamento. .......... 98
Figura 81 - Placa de acionamento confeccionada. ................................................................. 99
Figura 82 - Estrutura funcional da etapa de sensoriamento. ................................................ 100
Figura 83 - Layout e visualizao em 3D da placa de circuito impresso da etapa de
sensoriamento. ...................................................................................................................... 100
Figura 84 - Diagrama eltrico da etapa de sensoriamento com conectores para as demais
etapas. ................................................................................................................................... 101
5

Figura 85 - Placa de sensoriamento confeccionada.............................................................. 101
Figura 86 Rotor: Corpo cilndrico girando em torno de um eixo. ..................................... 105
Figura 87 - Montagem do conjunto redutor. ........................................................................ 106
Figura 88 - Representao grfica da equao linear do torque resistivo. ........................... 109
Figura 89 - Grfico do torque resistivo em funo da velocidade angular. ......................... 110
Figura 90 - Curva caracterstica da tenso em funo do tempo. ......................................... 111
Figura 91 - Diagrama de blocos completo para o conjunto motor + redutor. ...................... 114
Figura 92 - Representao do torque em uma das rodas. ..................................................... 115
Figura 93 Ligao das engrenagens das rodas aos motores. ............................................. 115
Figura 94 - Torque do motor para o conjunto completo. ..................................................... 116
Figura 95 - Diagrama de blocos da funo de transferncia mecnica. ............................... 121
Figura 96 - Diagrama de Blocos completo da planta (motor + redutor + carga). ................ 123
Figura 97 Resposta do sistema ao sinal degrau unitrio em cadeia aberta ........................ 124
Figura 98 Diagrama de blocos com a aplicao do ganho proporcional K
p
. .................... 125
Figura 99 Resposta a um degrau unitrio com K
p
= 5. ..................................................... 126
Figura 100 Aplicao do controlador PI. .......................................................................... 126
Figura 101 Resposta a um degrau unitrio com K
p
= 5 e K
i
= 8000. ............................... 127
Figura 102 Aplicao do controlador PD. ......................................................................... 128
Figura 103 Resposta a um degrau unitrio com K
p
= 5 e K
d
= 0,0001. ............................ 128
Figura 104 Aplicao do controlador PID. ....................................................................... 129
Figura 105 Estudo da resposta da planta a um degrau unitrio com ao do PID. ........... 129
Figura 106 Esquema eltrico utilizado para verificar T
PWM
, T
ON
e V
M
. ........................... 137




























6


LISTA DE TABELAS



Tabela 1 - Descrio das funes de cada pino do microcontrolador PIC18F4550. .............. 23
Tabela 2 - Caractersticas eltricas do PIC18F4550 .............................................................. 28
Tabela 3 - Caractersticas gerais do PIC18F4550 .................................................................. 30
Tabela 4 - Tabela Verdade do funcionamento do circuito integrado L293 ............................ 40
Tabela 5 - Valores mximos absolutos do encoder HEDS5500. ........................................... 49
Tabela 6 - Seqncia da leitura dos dois bytes fornecidos pelo HCTL. ................................ 50
Tabela 7 - Descrio dos pinos do HCTL2016. ..................................................................... 51
Tabela 8 - Caractersticas principais de operao em condies mximas e recomendadas . 53
Tabela 9 Leitura do MSB no intervalo de tempo de 0,1s para 8 pulsos .............................. 53
Tabela 10 - Terminologia dos pinos para conectores USB de 4 e 5 terminais. ...................... 61
Tabela 11 - Parmetros do motor de CC. ............................................................................... 66
Tabela 12 - Mtodo da resposta ao salto de Ziegler-Nichols. ................................................ 75
Tabela 13 - Mtodo de ajuste da resposta ao salto Chien-Hrones-Reswick. ......................... 75
Tabela 14 - Pinos dedicados para a programao ICSP. ........................................................ 95
Tabela 15 - Pinos dedicados para a programao ICD/ICSP. ................................................ 96
Tabela 16 - Medidas da resistncia de armadura pelo mtodo do Ohmmetro. ................... 102
Tabela 17 - Caractersticas iniciais de trabalho do motor para valores de tenso distintos. 103
Tabela 18 - Caractersticas do motor para valores distintos de torque e velocidade angular.
.............................................................................................................................................. 103
Tabela 19 - Medidas de corrente para cada valor de tempo. ................................................ 104
Tabela 20 Medidas da massa e do dimetro. ..................................................................... 105
Tabela 21 - Relao de dentes por engrenagem do conjunto redutor. ................................. 107
Tabela 22 - Valores de torque e velocidade para as tenses de 4,8V e 6V. ......................... 108
Tabela 23 - Medida da corrente de armadura para uma tenso aplicada de 4,8V. ............... 109
Tabela 24 - Parmetros e caractersticas identificados dos 3 motores. ................................ 112
Tabela 25 - Medidas do rob mvel. .................................................................................... 115
Tabela 26 - Efeitos de cada termo do controlador para o sistema ........................................ 124
Tabela 27 - Identificadores, registradores e mapa da configurao de bits para o
microcontrolador PIC18F4550.
[9]
........................................................................................ 131
Tabela 28 Valores dos parmetros T
ON
, V
M
e valor. ....................................................... 137
Tabela 29 - Valores dos parmetros T
ON
, V
M
e valor para freqncia de 1KHz. .............. 138











7

LISTA DE ABREVIATURAS


RM Rob Mvel
PID Proporcional-Integral-Derivativo
PWM Pulse Width Modulation
RF Rdio-Freqncia
A/D Analgico para Digital
PVC Polyvinyl Chloride
EDA Electronics Design Automation
DIP Dual in-line Package
TQFP Thin Quad Flat Pack
SMD Surface Mounting Device
CMOS Complementary Metal Oxide Semiconductor
TTL Transistor-Transistor Logic
EEPROM Electrically-Erasable Programmable Read-Only Memory
RAM Random Access Memory
ROM Read-Only Memory
UCP Unidade Central de Processamento
ULA Unidade Lgica e Aritmtica
LSB Least Significant Byte
MSB Most Significant Byte
ICSP In-Circuit Serial Programming
ICD In-Circuit Debug
USART Universal Synchronous and Asynchronous Receiver Transmitter
MSSP Master Synchronous Serial Port
USB Universal Serial Bus
CDDC Classe de Definies de Dispositivos de Comunicao




8

INTRODUO


Robs Mveis (RM) ou veculos autnomos tm sido largamente estudados e
aplicados nos ltimos anos. So construdos para a realizao de tarefas majoritariamente
complexas, nos mbitos residenciais, comerciais, industriais e educacionais, bem como s
extrinsecamente peculiares, envolvendo desde aspectos de dimenses atmicas em nano-
escala at macro-dimenses como a explorao espacial.
O termo rob deriva da palavra robota que significa servio compulsrio ou atividade
forada
[1]
e pode ser classificado segundo suas funes e aplicaes. Os principais tipos so:
robs industriais (manipuladores), robs mdicos (assistenciais), robs sensoriais
(humanides) e robs mveis (autnomos).
No desenvolvimento de robs autnomos, existe a preocupao contnua da menor
interferncia humana possvel em suas funes. Para tanto, faz-se necessrio o conhecimento
das variveis do sistema (RM) envolvidas em suas aplicaes (posio, velocidade e
acelerao). Este conhecimento prvio obtido atravs da modelagem dinmica do rob
mvel. Logo, tal rob agrega as caractersticas de locomoo com a aplicao de tcnicas
clssicas de controle malha fechada ao problema de trajetrias e posicionamento (uso de
atuadores e sensores para a interface entre o ambiente explorado e os dados coletados e
estudados ao longo do trajeto).
Seguindo a idia anterior, este trabalho se prope a construir uma plataforma veicular
mvel, cujas funes se adqem autonomia de trabalho em diversos ambientes. Para isto
ele deve possuir entre outros itens, um sistema de controle digital PID (Proporcional-
Integral-Derivativo)
[2,3]
malha fechada para o controle velocidade, bem como um sistema
de sensores que permitam sua navegao nestes ambientes. Para que todas as prerrogativas
acima possam ser implementadas se faz necessrio um estudo especial com os circuitos
eletrnicos de cada etapa desenvolvida.
Ademais, um sistema supervisrio, o qual agrega as funes de leitura de dados
(variveis de controle), sua transmisso em protocolos de comunicao para o circuito
eltrico principal, a correo destes dados para o controle PID de velocidade e o
acionamento por PWM (Pulse Width Modulation Modulao por Largura de Pulso)
[4,5,6]

com os dados corrigidos no problema de trajetrias, tambm foi implementado, junto a uma
central de processamento de dados (host).

9

1 DESCRIO DO SISTEMA DO ROB MVEL


Como as tarefas executadas pelos robs mveis so, em sua maioria, dotadas de
considervel nvel de complexidade e suas aplicaes mais sofisticadas, uma modelagem
dinmica abrangente destes sistemas e a aplicao de tcnicas clssicas de controle tornam-
se imprescindveis no seu desenvolvimento.
O sistema estudado e desenvolvido para o rob mvel representado pela funo de
transferncia (expresso algbrica), que determina as propriedades dinmicas do mesmo.
Logo, o objetivo inicial do projeto controlar estas propriedades no problema de trajetrias e
posicionamento. Para isso, inicialmente necessita-se de um rob mvel para o estudo e, a
partir deste, a sua modelagem matemtica.
O projeto dividido em duas partes: 1) a construo do rob mvel em suas partes
estrutural (plataformas e atuadores) e eltrica atravs do desenvolvimento das etapas de
acionamento, sensoriamento, comunicao e gerenciamento; 2) a modelagem matemtica do
conjunto motor+redutor+carga, cuja funo de transferncia com a aplicao de um
controlador PID permite que sejam conhecidas as variveis do sistema para o controle de
velocidade do rob mvel. Como tal sistema envolve um conjunto de aplicaes de alto
nvel ao seu desenvolvimento, houve a necessidade de adequ-las e organiz-las conforme o
assunto e aplicaes realizados.
Primeiramente, na construo mecnica do rob mvel, tm-se os mtodos de
desenvolvimento estrutural das plataformas de sustentao (chassi), espaadores, apoios de
equilbrio e fixao dos motores.
Para a parte eltrica, o componente principal (microcontrolador) da etapa de
gerenciamento executa as seguintes tarefas: controle de velocidade, acionamento dos
motores utilizando PWM e uma etapa de potncia, sensoriamento atravs de um encoder e a
interpretao dos dados por um circuito integrado de leitura e a comunicao serial e em
rdio-freqncia (RF) destes dados com um host (central de manipulao e tratamento de
informaes).
Contemplam a segunda parte do projeto, a modelagem dinmica do rob mvel e a
aplicao de tcnicas clssicas de controle. Na modelagem matemtica, so apresentadas e
calculadas as funes de transferncia para os conjuntos motor+redutor (parcial) e
motor+redutor+carga (total).
10

Uma vez modelado dinamicamente, o conjunto completo motor+redutor+carga
necessita de um estudo aprimorado para o controle das variveis envolvidas no sistema do
RM, bem como a discusso da tcnica de controle escolhida.
O acionamento dos motores depende de variveis de posicionamento que respondem
locomoo adequada de todo o sistema em qualquer ambiente. Com isso, o controle destas
variveis na determinao da velocidade, depende de um sensoriamento efetivo na
retroalimentao, em busca de um resultado desejado resposta final do sistema.
Assim, para o problema acima implementou-se um controlador PID malha fechada
ao processo/planta em questo (funo de transferncia do sistema). Tal escolha deu-se ao
fato do mesmo apresentar os conceitos necessrios resoluo do problema relacionado, ser
didtico e, criteriosamente estudado durante o curso de graduao.
Aps a anlise e aplicao deste controlador em sua forma analgica, o mesmo foi
discretizado, devido a sua implementao em um microcontrolador (PID digital).


2 MATERIAIS E MTODOS


Neste item, sero apresentados todos os estudos tericos e propostos para cada etapa
descrita anteriormente. Inicialmente, h a descrio da construo do rob mvel, passando
pela parte mecnica estrutural e pela parte eltrica para as etapas de gerenciamento,
acionamento, sensoriamento e comunicao.
Em seguida, toda a anlise da modelagem matemtica e a aplicao de tcnicas de
controle ao sistema do RM so apresentadas.


2.1 Construo Mecnica do Rob Mvel

2.1.1 Estrutura do Rob Mvel


O chassi do Rob mvel formado por duas plataformas (inferior e superior)
separadas por espaadores de lato. Este tipo chassi multiplataforma foi escolhido por
diversos motivos, dentre eles podemos citar; a flexibilidade na adio de novos mdulos
11

(plataformas); um melhor desempenho na movimentao em locais com obstculos
angulares; uma distribuio dos componentes (baterias, circuitos, motores, sensores, etc.) de
uma forma compacta. Outro aspecto a se considerar que a partir deste tipo de chassi tem-se
um rob com trao baseado em duas rodas o que facilita no deslocamento e mudanas de
direo. Alm disso, dispondo corretamente os componentes e as rodas podemos considerar
o centro geomtrico do rob como sendo o seu centro de massa o que facilitar mais adiante
no tratamento do problema de trajetrias.
As plataformas foram confeccionadas a partir de chapas (2 mm espessura) de
alumnio, cortadas na forma de disco e posteriormente modificadas atravs de cortes laterais
assumindo a forma mostrada na Figura 1.


Figura 1- Corte inicial e formato aps os cortes laterais.

A plataforma superior foi perfurada de forma regular na tentativa de tornar mais fcil
(prtico) o acoplamento de dispositivos de sensoriamento. A Figura 2 mostra o formato final
das plataformas j pintadas.


Figura 2 - Formato e cor definitivos das plataformas que formam o chassi do rob mvel.
12

Os espaadores foram confeccionados a partir de uma barra de lato sextavada de
(Figura 3).

Figura 3 - Espaadores de lato de .

Os espaadores possuem dupla funo; 1) unio das plataformas; 2) suporte para os
motores. Estes espaadores devem ter exatamente o mesmo comprimento, para que no
ocorram desalinhamentos na montagem final do chassi. Para isto, aps o corte, as quatro
peas foram retificadas ao mesmo tempo, garantindo assim que as dimenses sejam
exatamente as mesmas.
Este mtodo de fixao dos motores bem prtico. A partir dos motores faz-se a
furao dos suportes sextavados e em seguida o conjunto unido atravs de parafusos e
porcas (Figura 4).

Figura 4 - Furao e fixao dos motores aos suportes.
[referncia]


A prxima etapa consiste em unir as plataformas atravs dos suportes sextavados.
Para isto os suportes foram furados ao longo do seu comprimento e em seguida foi feita
rosca de 3mm. As plataformas devem ser furadas ao mesmo tempo, permitindo o
alinhamento perfeito do conjunto.
13


Figura 5 - Furao das plataformas para a fixao dos espaadores.
[referncia]

A Figura 6 mostra a disposio dos componentes ao final desta etapa de montagem
do chassi.

Figura 6 - Disposio dos motores e espaadores nas plataformas.
[referncia]


Na confeco das rodas foi usado PVC. As rodas possuem uma parte central mais
espessa para ajudar no acoplamento com os eixos dos motores. Dois cortes foram feitos: um
nas extremidades (sugo) para o encaixe de um anel de borracha (oring) e outro no centro
para o encaixe do suporte do motor.

Figura 7 - Fabricao das rodas de PVC.
[referncia]

14

Tanto o oring (borracha) e o suporte do motor foram adicionados na tentativa de
diminuir possveis escorregamentos com o piso e no acoplamento entre o motor e a roda,
diminuindo erros na leitura dos sensores devido aos mesmos escorregamentos.
Para evitar que quando em repouso o rob se incline abruptamente para frente ou
para traz, pinos de teflon foram adicionados na parte frontal e traseira do rob (Figura 8).


Figura 8 - Pinos de teflon adicionados ao rob mvel.

Desta forma o chassi torna-se completo e pronto para receber os circuitos eletrnicos e
sensores.


2.1.2 Adaptao dos servomotores
[7,8]



O conjunto motor + redutor + carga utilizado neste trabalho foi obtido de um
servomotor Robbico (HCAM0149) usado em aeromodelismo. As principais alteraes
necessrias ao seu funcionamento, agora como um motor de corrente continua + redutor +
sensor, foram:
1) Eliminao da parte eletrnica do seu interior, tornando-o assim um motor de
corrente contnua;
2) Adio de um eixo de acoplamento e um encoder (HEDS-5500 HP)
A idia de utilizar servomotores como os atuadores do RM parte das funcionalidades
dos seus componentes internos. Tais servomotores so constitudos de motores de corrente
contnua e de um conjunto de engrenagens (redutores), gerando um torque de sada
compatvel com aplicaes em pequenos robs mveis. As principais vantagens destes
atuadores so:
1) Servomotor = Motor de corrente continua + redutor + eletrnica de acionamento;
2) Alimentao = 0 12 V;
3) Torques de sada compatveis ao problema de posicionamento;
4) Estrutura leve;
15

5) Fcil aquisio no mercado;
6) Fcil acoplamento ao conjunto;
7) Fcil modificao, bastando apara isso a retirada do circuito eletrnico de
acionamento.


2.1.3 Modificando os servomotores


Como primeiro item na modificao do servomotor, faz-se a remoo do circuito de
acionamento e, para isso, retiram-se os parafusos que mantm a estrutura do servomotor
unida. O nico cuidado que se deve tomar a existncia de um conjunto de engrenagens
(redutor) na parte frontal do servo, sendo que algumas delas de pequeno porte e, portanto,
fceis de serem perdidas ou danificadas. Se algumas destas engrenagens forem perdidas ou
danificadas, existem para alguns tipos de servomotores, conjuntos de engrenagens vendidos
separadamente (Figura 9).


Figura 9 - Partes que formam um servomotor.
[referncia]


Retirando-se a tampa traseira pode-se observar o circuito de acionamento. Este
circuito soldado aos contatos do motor. Com o uso de um ferro de solda e de um sugador
pode-se retirar facilmente o mesmo. Pode-se usar o mesmo cabo do circuito para a
alimentao do motor de corrente contnua.

16


Figura 10 - A figura mostra o conjunto Motor+circuito de acionamento e posio.
[referncia]


Observa-se ainda a existncia de um potencimetro acoplado ao circuito,
funcionando como sensor de posio para o servomecanismo. Todo o conjunto deve ser
retirado.
Alguns servomotores possuem ainda um sistema de travamento mecnico instalado
em uma das engrenagens do redutor. Se este for o caso, a trava tambm deve ser removida
evitando assim danos ao conjunto.


Figura 11 - Retirada da trava mecnica presente em alguns modelos de servomotores.
[referncia]


A mudana do servomotor de corrente contnua para um motor de corrente contnua e
um redutor est finalizada. Antes de remontar o conjunto deve-se adaptar o sensor (encoder)
ao conjunto.
Inicia-se pela confeco do eixo que ir ligar a sada do redutor ao eixo do encoder.
Para isso usou-se uma pequena barra de lato de 3 mm de dimetro e 40 mm de
comprimento. A nica modificao a ser feita nesta barra o desbastamento de uma das duas
extremidades para que esta se encaixe perfeitamente no interior da engrenagem onde estava
instalado o potencimetro.
17


Figura 12 - Construo e do eixo a engrenagem.
[referncia]


Se necessrio, fixar o conjunto com o auxlio de um adesivo instantneo. Em seguida
alinhando-se as tampas traseira e dianteira e usando o furo de sada do conjunto redutor
como guia faz-se um furo inicialmente com 3 mm de espessura. Depois de separar as tampas
aumenta-se gradativamente o furo da tampa traseira para 5 mm. Isto se faz necessrio, pois
nesta abertura deve-se instalar uma bucha de bronze fosforoso que servir de guia e suporte
para o eixo do sensor.

Figura 13 - Furao e adaptao da bucha de bronze fosforoso a tampa traseira do motor.
[referncia]


Finalmente pode-se montar o conjunto que ter o formato final visto na Figura 14.
18


Figura 14 - Aparncia final do conjunto MOTOR+REDUTOR+EIXO do sensor.
[referncia]


Para a instalao do encoder devem-se seguir as instrues do fabricante.


Figura 15 - Conjunto final MOTOR+REDUTOR+SENSOR (encoder).
[referncia]



2.2 Circuitos Eltricos (Etapa de Gerenciamento)

2.2.1 Processamento de Dados (Microcontrolador PIC18F4550)
[9]



Partindo do princpio de funcionamento de um sistema computacional bsico, cujo
processamento de dados iniciais gera suas respectivas informaes finais atravs de uma
programao compilada e/ou interpretada em sua memria interna, pormenoriza-se a
aplicao de um microcontrolador para o gerenciamento de todas as etapas, bem como a
implementao de tcnicas de controle que fundamentam este projeto.
19

O processamento dos dados manipula a leitura destes, que so captados pelos
sensores e tratados matematicamente, na obteno de valores que correspondam ao plano
fsico e que sejam processados de acordo com o programa, enviando assim, comandos para
os atuadores que so responsveis pelo acionamento do RM.
Aderiu-se a aplicao de um microcontrolador, por este reunir as funes de um
microprocessador e componentes computacionais adicionais: memria de programa (ROM
ou Flash) e memria de dados (RAM), unidade central de processamento (UCP), portas de
aplicao de entrada e sada (E/S), portas de comunicao seriais e paralelas, temporizadores
e contadores, conversores analgico/digital (A/D) e digital/analgico (D/A), funes
geradoras de acionamento PWM. A interao com o ambiente externo torna-se possvel com
a aplicao de todas estas funes do microcontrolador.
O microcontrolador escolhido foi o PIC18F4550 (Microchip), que atende aos
requisitos bsicos acima mencionados, de forma bem estruturada, alm de apresentar
arquitetura Harvard e ter um conjunto de instrues reduzido para a programao (RISC) e
fluxo de instrues Pipeline aprimorada para a famlia 18F.


Figura 16 - Terminologia dos terminais do microcontrolador PIC18F4550 (TQFP44).
[9]
20

Tais dados sero processados por uma etapa de gerenciamento para a aplicao das
tcnicas de controle ao problema de trajetrias e posicionamento do RM, responsvel pelas
interconexes de interfaces de dados e comunicao com as demais etapas (acionamento e
sensoriamento) sob a aplicao do microcontrolador.
A escolha do invlucro do PIC para sua implantao na placa de circuito impresso
deu-se ao fato do mesmo ser extremamente reduzido e permitir gravao ICSP (In Circuit
Serial Programming). Com isso, adotou-se o encapsulamento TQFP (SMD), como pode ser
visto na Figura 16 acima.
A arquitetura dos pinos em encapsulamentos DIP e TQFP segue a mesma seqncia
numrica, o que viabilizam os testes realizados em aplicativos de simulao de circuitos
eltricos e EDA.
Outras caractersticas tcnicas fundamentais para o desenvolvimento da programao
no microcontrolador so: Alta fidelidade com a tecnologia Flash/EEPROM (CMOS); Taxa
de Operao a 48 MHz; At 256x8 bytes de gravao de dados na memria EEPROM;
2048x8 bytes de memria de dados (RAM); 32,768Kx18 palavras de gravao na memria
de dados Flash; 16384 instrues permitidas na memria de programa; Mdulo com dois
comparadores analgicos e 13 canais de entrada A/D (analgico/digital) de 10bits; Mdulos
de Captura, Comparao e PWM, os dois primeiros com 16 bits e o PWM com resoluo
mxima de 10 bits; Sincronismo por interface serial USART/EUSART; Comunicao via
USB 2.0, Programao In-Circuit (ICSP), barramento de dados de 8 bits e barramento de
instrues de 16 bits, entre outras especficas da Famlia 18F.
Na Tabela 1 abaixo, pode-se identificar a descrio de cada pino para seu respectivo
Port, e a funo pr-definida via programao.

Nome do Pino Pino Tipo Buffer Descrio da Funo
MCLR/VPP/RE3 18 E/A ST
Master Clear (Reset). Entrada digital em
nvel lgico 0 para operao adequada
do microcontrolador
Entrada de tenso para a programao
ICSP;
OSC1/CLKIN 30 E Analgica
Entrada para cristal
externo
Fonte de Clock
OSC2/CLKOU/RA6 31 S TTL
Sada para cristal
externo
VSS 6,29 A -
Referncia Terra
(GND)
Tenso
Eltrica
VDD 7,28 A - Tenso Positiva +5V
21

VUSB 37 S -
Regulador de tenso
interno USB de 3,3V
RA0/AN0 19 E/S
TTL
Analgica
E/S digital bidirecional
Entrada analgica
RA1/AN1 20 E/S
TTL
Analgica
E/S digital bidirecional
Entrada analgica
RA2/AN2/VREF- 21 E/S
TTL
Analgica
E/S digital bidirecional
Entrada analgica e referncia negativa
RA3/AN3/VREF+ 22 E/S
TTL
Analgica
E/S digital bidirecional
Entrada analgica e referncia positiva
RA4/T0CKI/C1OUT 23 E/S TTL/ST
E/S digital bidirecional
TMR0 (entrada de clock externo)
RCV externa para transceiver USB
RA5/AN4/SS/
HLVDIN/C2OUT
24 E/S
TTL
Analgica
E/S digital bidirecional
Entrada selecionvel SPI escrava
Entrada de deteco de tenso em nvel
lgico 0 e 1
RB0/AN12/INT0/
FLT0/SDI/DAS
8 E/S
TTL
Analgica
ST
E/S digital bidirecional
Entrada analgica e interrupo externa
Entrada EnPWM (mdulo ECCP1)
Entrada de dados SPI
E/S de dados I2C
RB1/AN10/INT1/SCK/
SCL
9 E/S
TTL
Analgica
ST
E/S digital bidirecional
Entrada analgica e interrupo externa
E/S serial para clock sncrono SPI
E/S serial para clock sncrono I2C
RB2/AN8/INT2/VMO 10 E/S
TTL
Analgica
ST
E/S digital bidirecional
Entrada analgica e interrupo externa
Sada VMO para o transceiver USB
RB3/AN9/CCP2/VPO 11 E/S
TTL
Analgica
ST
E/S digital bidirecional
Entrada analgica e interrupo externa
Sada VPO para o transceiver USB
Sada Capture/Compare/PWM 2.
RB4/AN11/KBI0/CSSPP 14 E/S
TTL
Analgica
ST
E/S digital bidirecional
Entrada analgica e interrupo externa
Pino de interrupo seletora
Sada de controle SPP selecionvel
RB5/KBI1/PGM 15 E/S TTL/ST
E/S digital bidirecional
Pino de interrupo seletora
Pino de gravao ICSP de baixa tenso.
RB6/KBI2/PGC 16 E/S TTL/ST
E/S digital bidirecional
Pino de interrupo seletora
Pino de gravao ICSP de clock
RB7/KBI3/PGD 17 E/S TTL/ST
E/S digital bidirecional
Pino de interrupo seletora
Pino de gravao ICSP de dados
RC0/T1OSO/T13CKI 32 E/S ST
E/S digital bidirecional
Sada do oscilador Timer1
Entrada de clock externa TMR1/TMR3
22

RC1/T1OSI/CCP2/
UOE
35 E/S ST/CMOS
E/S digital bidirecional
Entrada do oscilador Timer1
Sada do mdulo
Compare/Capture/PWM2
Sada de controle externa USB OE
RC2/CCP1/P1A 36 E/S TTL/ST
E/S digital bidirecional
Sada do mdulo
Compare/Capture/PWM1
Sada CCP1EnPWM canal A
RC4/D-/VM 42 E/S TTL
Entrada digital
E/S diferencial negativa USB
Entrada do transceiver USB VM
RC5/D+/VP 43 E/S TTL
Entrada digital
E/S diferencial positiva USB
Entrada do transceiver USB VP
RC6/TX/CK 44 E/S ST
E/S digital bidirecional
Transmissor assncrono EUSART
Sincronismo de clock EUSART
RC7/RX/DT/SDO 1 E/S ST
E/S digital bidirecional
Receptor assncrono EUSART
Sincronismo de dados EUSART
Sada de dados SPI
RD0/SPP0 38 E/S TTL/ST
E/S digital bidirecional
Dados SPP
RD1/SPP1 39 E/S TTL/ST
E/S digital bidirecional
Dados SPP
RD2/SPP2 40 E/S TTL/ST
E/S digital bidirecional
Dados SPP
RD3/SPP3 41 E/S TTL/ST
E/S digital bidirecional
Dados SPP
RD4/SPP4 2 E/S TTL/ST
E/S digital bidirecional
Dados SPP
RD5/SPP5/P1B 3 E/S TTL/ST
E/S digital bidirecional
Dados SPP
Sada PWM EnCCP1 canal B
RD6/SPP6/P1C 4 E/S TTL/ST
E/S digital bidirecional
Dados SPP
Sada PWM EnCCP1 canal C
RD7/SPP7/P1D 5 E/S TTL/ST
E/S digital bidirecional
Dados SPP
Sada PWM EnCCP1 canal D
RE0/AN5/CK1SPP 25 E/S
ST
Analgica
E/S digital bidirecional
Entrada analgica
Sada clock 1 SPP
RE1/AN6/CK2SPP 26 E/S
ST
Analgica
E/S digital bidirecional
Entrada analgica
Sada clock 2 SPP
23

RE2/AN7/OESPP 27 E/S
ST
Analgica
E/S digital bidirecional
Entrada analgica
Sada clock enable SPP
Legenda:
TTL = entrada compatvel com nvel TTL; CMOS = E/S compatvel com nvel CMOS
ST = Schmitt Trigger com nvel CMOS; E = entrada; S = sada; A = alimentao
Tabela 1 - Descrio das funes de cada pino do microcontrolador PIC18F4550.

Como parte dos blocos principais do ncleo do microcontrolador, a Unidade Lgica
Aritmtica (ULA) responsvel por todas as operaes lgicas e aritmticas da
programao. O registrador W (work) associado a outro registrador literal ou arquivo para
realizar a operao e identificar o resultado final da expresso numa determinada posio da
memria de dados.
Outro bloco importante o temporizador (Timer). No microcontrolador h um
recurso de hardware denominado Timer0, que um registrador de 8 bits (256 valores
distintos) e 16 bits em modo especial (registrador T0CON), com incremento interno pelo
ciclo de instruo de mquina ou por um sinal externo (borda de subida ou descida), cuja
configurao feita via software (SFR).
Para intervalos de tempo maiores que a faixa entre 1s e 100s, usa-se o recurso
especial pre-scale (divisor de freqncia programvel do sinal que incrementa o Timer0).
Para tempos nesta faixa, um ciclo de instruo de mquina de 1s, corresponder a um
intervalo de 1s no Timer.
Sobre a memria de programa, esta representa o local onde as instrues so
armazenadas. So permitidas 16384 (words) instrues na memria de programa de 14 bits
cada, em que parte destes bits informa o cdigo da instruo e o restante referencia o
argumento da instruo. Nesta memria, h duas posies de endereamento importantes:
vetor de reset e vetor de interrupo.
O vetor de reset representa o primeiro endereo de programa que ser executado
quando o PIC for ligado ou reiniciado. Aponta para o endereo fsico 0x00. Por outro lado, o
vetor de interrupo aponta para o endereo fsico 0x04 e representa todas as rotinas de
interrupes que so armazenadas na rea de programao. Estas rotinas, quando chamadas
no cdigo, associam um endereo de retorno para um local reservado e separado da memria
de programa, denominada pilha (stack).
Para um melhor entendimento dos tipos de memria, encontra-se no Anexo I, todas
as informaes necessrias a respeito.
24

As interrupes contemplam outro bloco fundamental do ncleo. Estas so causadas
de forma assncrona, desviando a execuo seqencial do processamento. Logo, este desvio
aponta para o vetor de interrupo. So quatro os tipos de interrupo e dez registradores que
controlam sua operao: RCON, INTCON, INTCON2, INTCON3, PIR1, PIR2, PIE1, PIE2,
IPR1 e IPR2
As interrupes tratam do incio de leitura e fim da escrita na EEPROM, do
registrador de comparao interno, do mdulo serial (MSSP, USB e USART), mdulo de
clock externo para processamento overflow e do mdulo de reset CCP.


Figura 17 - Operao genrica das portas de E/S.
[9]


Por ltimo, na configurao de registradores de direo de dados para os pinos em
entrada e sada (E/S), h o bloco funcional denominado TRIS. Em nvel lgico alto 1, o
pino relacionado porta em questo ser configurado como entrada. J em nvel lgico
baixo 0, o pino ser configurado como sada. Tal bloco (Figura 17) trabalha em conjunto
com os registradores de nveis de leitura de cada pino (PORT) e o registrador de trava de
dados (LAT).
No PIC18F4550, existem 33 pinos configurveis como entrada e sada associados
sua respectiva porta (PORTA, PORTB, PORTC, PORTD e PORTE). Quando configurados
como entrada, na leitura do bit relacionado com a determinada porta, encontra-se o nvel
lgico aplicado a este pino. Conseqentemente, quando configurados como sada, pode-se
alterar o nvel lgico de determinado pino, escrevendo diretamente o bit relacionado, usando
o registrador de trava de dados LAT.
25

2.2.2 Ciclos de instruo de mquina para microcontroladores PIC18F


Para a famlia 18F, cada ciclo de instruo executado em quatro pulsos de clock.
Por apresentar fluxo de processamento Pipeline, h um aumento na velocidade de execuo
destas instrues.
Define-se Pipeline como uma tcnica usada pelos processadores atuais que consiste
em dividir a execuo das instrues em sub-tarefas, as quais so posteriormente executadas
paralelamente. Na famlia 18F, h dois estgios para a realizao de Pipeline que estabelece
um perodo de execuo de 4 ciclos de clock cada: fetching instruction e latching and
executing instruction.
As instrues so executadas em um ciclo de clock, exceto os registradores que
afetam o contador de programa (PC), cuja execuo incrementada em dois ciclos de clock,
realizando a busca (fetching) no endereo seguinte da memria para depois ser executada
(latching).
Estes quatro pulsos de clock de cada instruo so denominados internamente por
nveis de quadratura de clock: Q1, Q2, Q3e Q4.


Figura 18 - Ciclo de instruo de clock.
[9]


Internamente, o contador de programa (PC) incrementado em nvel Q1, a instruo
atual buscada na memria de programa e travada no registrador de instruo durante o
nvel Q4. Tal decodificada e executada durante os subseqentes Q1 Q4, conforme segue
esquema acima.
26

O ciclo de busca (fetching) comea com o contador de programa (PC) incrementando
o nvel Q1. No ciclo de trava e execuo (latching and executing), a instruo buscada
travada no registrador de instruo (IR), ainda no ciclo de nvel Q1. A memria de dados
lida durante o nvel Q2 (operador de leitura) e escrita durante o nvel Q4 (operando de escrita
de destino).


Figura 19 - Exemplo de fluxo de instruo Pipeline.
[9]


Para maiores detalhes sobre o funcionamento dos ciclos de instruo de mquina do
PIC18F4550, deve-se consultar o Anexo II, adendo 1 (p.64 datasheet).


2.2.3 Descritivo das funes/caractersticas e arquitetura interna do PIC18F4550


A famlia 18F dos microcontroladores PIC oferece uma enorme gama de vantagens,
sempre priorizando um alto desempenho computacional e elevada potencialidade nos
clculos lgicos. As principais e inovadoras caractersticas sero explanadas a seguir:

Tecnologia nanoWatt: Reduo significativa do consumo de energia
enquanto em funcionamento e durante intervalos e paralisaes pr-definidas.
Suas principais metodologias de acesso so:
o Modo alternativo de ativao: Atravs do clock do controlador pelo
Timer1 ou do bloco oscilador interno, o consumo de energia durante a
execuo do cdigo pode ser reduzido para 90%.
o Modo mltiplo silencioso: O controlador pode ser executado com o
ncleo da UCP desabilitado, porm com os perifricos ainda ativos.
Neste estado, o consumo de energia pode ser reduzido to baixo
quanto a 4% de operao requerida.
27

o Modo de chaveamento On-the-fly: O modo de gerenciamento de
energia chamado durante uma operao com interrupo externa ou
interna atravs do cdigo-fonte, permitindo ao usurio incorporar
idias de economia de energia no design do software para suas
aplicaes.
o Mdulo chave de baixo consumo: Os requisitos de energia para ambos
os Timer1 e Watchdog so minimizados.

No dispositivo 18F foi incorporado tambm sua arquitetura um mdulo de
comunicao USB 2.0 para transferncias de dados em alta e baixa
velocidade. H acoplado em seu mdulo um circuito interno transceiver e um
regulador de 3,3V, mas tambm prov suporte para seus respectivos circuitos
externos.
Resistncia de memria: As clulas/pilhas realadas da Flash para ambas as
memrias de programa e EEPROM de dados so dotadas de mltiplos ciclos
de gravao (100000 para a memria de programa e 1000000 para a
EEPROM). A conservao dos dados da ltima programao hexadecimal
contida no microcontrolador estimada em 40 anos.
Auto-Programao: Este dispositivo pode escrever seus prprios espaos de
memria de programa sob controle de software interno. Usando uma rotina de
bootloader, localizada no bloco de inicializao protegido no alto da pilha
da memria de programa, torna-se possvel criar uma aplicao que pode se
atualizar neste campo.
Set de instrues estendido: A famlia 18F introduz uma extenso opcional ao
set de instrues que adiciona oito novas instrues e um modo de
endereamento literal indexado para offset. Tal extenso, habilitada como
uma opo de configurao do dispositivo, foi especificamente desenvolvida
para otimizar cdigos de aplicao iniciais originalmente programados em
linguagem de programao de alto nvel como C.
Mdulo CCP aprimorado: No modo PWM, este mdulo prov 1, 2 ou 4 sadas
moduladas para o controle de drivers para pontes H e pontes HH. Outra
caracterstica deste modo o auto-desligamento para desabilitar as sadas do
28

PWM na interrupo ou condies de seleo para auto-reinicializao na
reativao das sadas, uma vez que tais sejam realizadas.
Endereamento USART aprimorado (EUSART): Este mdulo de
comunicao serial dotado de operao RS-232 e suporta o protocolo de
barramento LIN. Outros aprimoramentos incluem a deteco automtica da
taxa de transferncia e um gerador para esta mesma taxa com resoluo de 16
bits. Quando o microcontrolador est usando o bloco interno de oscilao, a
EUSART opera de forma estvel para aplicaes que envolvem hardwares
externos sem o uso de um cristal externo.
Conversor A/D de 10 bits: Este mdulo incorpora o tempo de aquisio
programvel, permitindo um canal ser selecionado e uma converso ser
iniciada, sem esperar por um perodo de amostragem e ento, reduzir o
overhead do cdigo.
Porta ICSP/ICD dedicada: Introduz o uso de um depurador (debugger) com
pinos de programao que no so multiplexados com outras caractersticas
do microcontrolador. Desta forma, facilita o uso no desenvolvimento de
aplicaes intensivas em E/S sem retirar o encapsulamento para a depurao
final, o que pode ser realizado no prprio circuito eltrico desenvolvido.
Abaixo, encontram-se as tabelas (2 e 3) indicativas das caractersticas gerais e
eltricas, bem como o diagrama de blocos da arquitetura interna (Figura 20) do referido PIC.















Tabela 2 - Caractersticas eltricas do PIC18F4550

Caractersticas eltricas do PIC18F4550
Temperatura de trabalho -40C at +85C
Temperatura de Armazenamento -65C at 150C
Tenso de Trabalho 3.0V a 5.5V
Tenso Mxima nos demais pinos
(em relao ao V
SS
)
-0.3V at (V
DD
+ 0.3V)

Dissipao mxima de potncia 1.0W
Corrente mxima de sada no pino V
SS
300mA
Corrente mxima de sada no pino V
DD
250mA
Corrente mxima de entrada de um pino 25mA
Corrente mxima de sada de um pino 25mA
29


Figura 20 - Diagrama de blocos do microcontrolador PIC18F4550.
[9]


Caractersticas gerais do PIC18F4550
Freqncia de Operao 48MHz
Memria de Programa (bytes) 32768
Memria de Programa (instrues) 16384
30




























Tabela 3 - Caractersticas gerais do PIC18F4550

2.2.4 Freqncia de clock para osciladores externos e internos para o PIC18F4550


Em todas as famlias dos microcontroladores PIC so admitidos quatro tipos de
osciladores, os quais atendem uma especificao distinta, tal qual a necessidade do
sincronismo para o perfeito funcionamento do programa em sua memria e seus perifricos.
Os osciladores so: circuitos RC, circuitos ressonadores, circuitos com cristal e
circuitos hbridos.
Osciladores com circuitos RC (resistor-capacitor) permitem aplicao simples, com
custo relativamente baixo, com razovel preciso, pois sofrem influncia direta da tenso de
eltrica, da temperatura e das tolerncias do resistor e do capacitor.
Ressonadores cermicos so mais estveis e precisos que os osciladores RC, porm
apresentam custo relativamente alto.
Memria de dados (bytes) 2048
Memria de dados EEPROM (bytes) 256
Fontes de interrupo 20
Portas de E/S Ports A, B, C, D, E
Timers 4
Mdulos CCP 1
Mdulos ECCP 1
Comunicao serial MSSP e EUSART
Mdulo USB 1
Porta paralela (SPP) Sim
Mdulo A/D 10 bits 13 canais de entrada
Comparadores 2
Resets (Atrasos)
POR, BOR, WDT,
Instruo RESET,
Pilha cheia e corrente
(PWRT, OST),
MCLR (opcional)
Deteco de Programao em baixa tenso Sim
BOR programvel Sim
Set de instrues
75 instrues
83 instrues pelo modo EIS
Encapsulamentos
40 pinos PDIP
44 pinos QFN
44 pinos - TQFP
31

Circuitos com cristal so os mais precisos, porm com custo mais elevado que os
osciladores anteriores.
Circuitos hbridos normalmente so compostos de circuitos eltricos prprios para
oscilao, bem como cristais hbridos. So aplicados em sistemas com perifricos que
exigem mais de um simples circuito oscilador para o sincronismo de seu processamento.
Considerando estes quatro tipos de osciladores, tem-se na famlia 18F, um
aprimoramento em suas tecnologias, aumentando para doze tipos diferentes de oscilador, tal
qual seja a aplicao envolvida, as quais denotam as seguintes caractersticas:
o Quatro modos com cristal interno e circuito adicional com
ressonadores cermicos.
o Quatro modos com cristal externo, oferecendo a opo de uso com
dois pinos (entrada do oscilador e uma sada de clock por divide-by-
4) ou um pino (entrada do oscilador, com o segundo pino associado
como simples E/S).
o Bloco oscilador interno, o qual prov um clock de 8MHz ( 2% de
exatido) e uma fonte INTRC (aproximadamente 31KHz, estvel sob
temperatura e a tenso V
DD
), bem como uma faixa de seis freqncias
de clock selecionveis, entre 125KHz e 4MHz, para um total de oito
freqncias de clock. Nesta opo, um pino permanece inutilizvel
para o clock e livre para E/S.
o Multiplicador de freqncia PLL (Phase Lock Loop) disponvel para
ambos os modos com cristal em alta velocidade e cristal externo, que
permite uma ampla escala de velocidades ente 4MHz e 48MHz.
o Operao assncrona de clock duplo, permitindo ao mdulo USB ser
executado por um oscilador de alta freqncia, enquanto o resto do
microcontrolador configurado pelo clock de um oscilador interno de
baixa potncia.

Alm da disponibilidade como fonte de clock, o bloco oscilador interno prov uma
fonte de referncia estvel que fornece caractersticas adicionais para uma operao robusta,
tais como:
- Monitor de clock prova de falhas: Esta opo monitora constantemente a fonte de
clock contra um sinal de referncia provido pelo oscilador interno. Se uma falha no clock
32

ocorre, o controlador chaveado para o bloco oscilador interno, permitindo operao em
baixa velocidade ou uma aplicao de parada programada segura.
- Partida de velocidade dupla: Esta opo permite ao oscilador interno ser executado
como uma fonte de clock do Power-on-Reset, ou ser reativado do modo Sleep, at a primeira
fonte de clock estar disponvel.
No desenvolvimento deste projeto, foi utilizado um circuito oscilador com cristal de
4 MHz para o funcionamento de todas as etapas, porm com a limitao de uso da etapa de
comunicao USB, que exige uma freqncia de clock de 48 MHz. Esta distino nas
freqncias exigiu adaptaes de componentes discretos na placa de gerenciamento, com a
substituio do capacitor ressonador cermico para cermico multicamadas de mesmo valor
(470 nF).


Figura 21- Diagrama de clock interno.
[9]

33

Todavia, tal adaptao no segue o paradigma demonstrado pela especificao de
clock assncrono com duas fontes de clock distintas relacionada no referido datasheet do
microcontrolador, pois nesta, h o consenso de uso de um oscilador interno de baixa
freqncia (o que era invivel para as etapas de acionamento e sensoriamento) e um
oscilador externo de alta freqncia (vivel para o protocolo USB, porm com sincronismo
irregular alto nas mesmas etapas).
Assim, optou-se pelo circuito oscilador externo com cristal de 4 MHz, como previsto
anteriormente, descartando provisoriamente o uso de freqncias mais altas com PLL para o
protocolo USB.

2.2.5 Recursos avanados do PIC118F4550 para acionamento PWM



O microcontrolador PIC18F4550 possui dois mdulos de captura, comparao e
modulao por largura de pulso padro e aprimorado, chamados ECCP1 e CCP2
(Capture/Compare/PWM Captura/Comparao/PWM). Neste projeto foi utilizado apenas o
mdulo PWM dos canais. O canal CCP1 (ECCP1) possui estrutura padro com recursos
avanados, tais como: modo de sada mltipla, polaridade selecionvel, dead time
programvel e auto-reincio/auto-parada.
Abaixo, encontra-se o diagrama de blocos simplificado do modo CCP (em PWM).


Figura 22 - Diagrama de blocos simplificado do modo CCP (em PWM).
[9]

34

Cada canal possui resoluo mxima de dez bits, sendo o ciclo ativo (duty cycle)
regulado de 0 a 100% com resoluo mxima de 1024 ciclos de instruo para a freqncia
de oscilao (OST Oscillator Start-up Timer).
Neste mdulo existe um registrador CCPRX que compreende dois registradores de 8
bits (CCPRxL e CCPRxH). O registrador CCPRxL em conjunto com o
CCPxCON<CCPxX:CCPxY> formam 10 bits de controle do PWM, isto significa que o
ciclo ativo poder ser ajustado de 0 a 100% em 1024 passos. O PWM trabalha em conjunto
com o Timer2 atravs do registrador PR2.
A nota 1 destacada na Figura 22 acima, menciona a relao do timer de 8 bits (TMR2)
concatenado com os 2 bits do clock Q interno, ou os dois bits da funo prescaler para criar
os 10 bits da base de tempo em sua resoluo mxima.
O pulso e o perodo do PWM so controlados internamente, sendo o perodo do
PWM(T) controlado diretamente pelo Timer2 atravs do registrador PR2, e quando
TMR2=PR2, o timer zerado e um novo perodo T iniciado. Desta forma, o perodo
(equao 1) e a freqncia (equao 2) do PWM so dados abaixo.
) 2 (Pr * * 4 * ] 1 ) 2 {( TMR do escale T PR T
osc
+ = (1)
T
PWM
freq
1
= (2)
onde:
T: o perodo do sinal
PR2: o registrador do timer 2
TOSC: o perodo do clock do ressonador ou do cristal do PIC


Figura 23 - Tempo do ciclo ativo.
[9]


35

No PIC no definido o valor do ciclo ativo, e sim o tempo do pulso em nvel alto.
Logo, podemos considerar que: t
p
= PWM
dc
. O mesmo calculado pela equao 3 abaixo.
) 2 (Pr * * CCPxY : CCPxX CCPxCON : CCPRxL MR escale doT T t
osc p
< = (3)

De acordo com a teoria, calcularemos efetivamente o duty cycle dividindo o tempo do
pulso em nvel alto pelo perodo total do PWM (equao 4). E logo abaixo, a resoluo
mxima do PWM em bits para uma dada freqncia (equao 5).
4 * ] 1 ) 2 [(Pr
0 : 1 CCPxCON : CCPRxL
+
> <
=
dcxb DCxB
T
t
p
(4)
) 2 log(
log
|
|
.
|

\
|
=
PWM
OSC
R
f
f
PWM (5)

Sendo assim, o ciclo ativo depende unicamente dos valores ajustados PR2, CCPRxL e
CCPxCON.



Figura 24 - Resoluo do PWM para a freqncia padro de 40MHz e registradores associados com o
PWM e Timer2.
[9]

36

Exemplos das freqncias do PWM e resolues por bits em 40 MHz, bem como a
tabela de registradores associados com o modo PWM e Timer2 so ilustrados acima na
Figura 24.
Para a utilizao do PWM interno do PIC18F4550 preciso trabalhar as variveis de
tempo nos pinos que alimentam o circuito dos motores e alterar a freqncia que feita
atravs da programao. O programa contido no microcontrolador deve, portanto,
estabelecer um trem de pulsos para controlar a velocidade dos motores.
Nesta programao em linguagem C, deve-se observar o uso de alguns comandos e
configuraes essenciais estruturao da funo PWM dentro do cdigo-fonte.
SETUP_CCPX(): configura o funcionamento do watchdog e o modo de
seleo entre Compare/Capture/PWM. Sua sintaxe definida como:
o setup_ccp1 (modo);
o setup_ccp2 (modo);
O modo uma varivel ou uma constante inteira de 8 bits.
CCP_OFF: mdulo CCP desligado;
CCP_PWM: modo de gerao de sinal PWM;
SET_PWM_DUTY(): configura o ciclo ativo no modo PWM do CCP:
o set_pwm1_duty (valor);
o set_pwm2_duty (valor);
O valor uma varivel ou uma constante inteira de 8 bits (armazenado
diretamente no registrador CCPR1L ou CCPR2L) ou 16 bits
(rotacionado de forma a ser armazenado nos registradores CCPR1L a
CCPR2L). Esta varivel depende do perodo (tempo) do PWM que,
uma vez configurada na programao do cdigo-fonte, deve-se
recorrer aos parmetros de utilizao dos timers internos do PIC.
Conseqentemente, esta varivel valor representa o valor mximo
correspondente ao ciclo de trabalho (ciclo de mquina), ou seja, qual o
valor total de ciclos de mquina para que se obtenha o perodo total do
PWM.
Deve-se tambm, configurar a freqncia de clock do PWM definida pelo TMR2
(Timer2). Para tal, usa-se a diretriz SETUP_TIMER2(modo, per, ps), em que:
- modo uma varivel ou constante inteira de 8 bits;
- per uma varivel inteira de 8 bits;
- ps' um nmero entre 1 e 16;
37

Logo, os modos existentes de oito bits so:
- T2_DISABLE: TMR2 desligado;
- T2_DIV_BY_1: TMR2 ligado com prescaler dividindo por 1;
- T2_DIV_BY_4: TMR2 ligado com prescaler dividindo por 4;
- T2_DIV_BY_16: TMR2 ligado com prescaler dividindo por 16;

O parmetro per especifica o perodo de contagem do TMR2. A contagem deste
temporizador inicia em zero at ser reiniciado quando chegar ao valor de per (e.g. per = 99
denota 100 contagens, pois 0 99). O parmetro ps especifica um valor para o ps-
divisor do TMR2. Este valor igual ao nmero de reincios necessrios do TMR2 para gerar
uma interrupo (TMR2IF).
A configurao estar pronta com o ajuste do prescaler. A funo prescaler gerencia
o acionamento dos controladores. Pode ser considerado como um divisor de freqncias
configurvel. Os valores possveis, neste caso, para o prescaler so: prescaler(1,4,16). Com
isso, temos que para: 1:4 (a cada 4s teremos uma interrupo); 1:1 (a cada 1s teremos uma
interrupo).
Assim, para a configurao inicial de testes do PWM tem-se a seguinte orientao
padro. Considera-se o ciclo de mquina igual T
CICLO
= 1s (f
CLOCK-in
= 4MHz) e
freqncia do PWM igual f
PWM
= 1KHz.
Etapa 1: SETUP_TIMER2(T2_DIV_BY_4,249,1). Inicialmente, a cada 1s haver
uma interrupo, j que f
CLOCK-in
= 4MHz. O prescaler deve ser ajustado para (1:4),
ou seja, deve-se multiplicar o tempo inicial de interrupes por 4, possibilitando
neste momento, uma interrupo a cada 4s.
s T T
maq CICLO
4 4 ' = =

(6)

O valor atribudo para o perodo de contagem (per) foi de 249, o que significa que a
cada 250 (0 249) contagens o temporizador ser reiniciado, isto :
s s s T
3
10 1000 4 250 "

= = = (7)

O valor atribudo ps igual a 1, ou seja, a cada reincio ser gerada uma
interrupo.
s T T
PWM
3
10 " 1

= = (8)

Etapa 2: Como a relao entre o perodo (T) e a freqncia dada por:
38

KHz Hz
T
f
PWM
PWM
1 10
10
1 1
3
3
= = = =

(9)

Pode-se obter uma equao geral para o clculo do perodo e da freqncia do PWM
seguindo o roteiro acima, prescrevendo os valores de cada parmetro dos comandos na
configurao do PWM.
)
`

+
(

|
.
|

\
|
=

) ( ) 1 (
4
ps per prescaler
T
T
ext CLOCK
PWM
(10)
PWM
PWM
T
f
1
= (11)

A configurao dos mdulos CCP e ECCP para o modo avanado PWM pode ser
verificada com maiores detalhes no Anexo II, adendo 2 (p.153 datasheet).


2.2.6 Etapa de Acionamento e Potncia


Na etapa de Acionamento e Potncia, o circuito integrado L293 responsvel pela
inverso e paralisao dos motores, atravs de circuitos internos de potncia dedicados para
estas aes, com a aplicao da funo PWM em suas entradas pelo microcontrolador da
etapa de gerenciamento.
Sero apresentados neste item, o funcionamento completo do circuito integrado L293,
como mdulo de acionamento e potncia dos atuadores do RM, e a teoria de acionamento
PWM, para posterior aplicao no referido CI.


2.2.6.1 Circuito Integrado L293
[10]



O circuito integrado L293 contm quatro drivers internos para motores de corrente
contnua (CC), cujo acionamento destes motores pode ser feito nas formas a seguir: 4 destes
motores em um nico sentido; 3 destes motores, sendo um parado e dois com sentidos
39

contrrios ou um parado e os outros dois somente num sentido; 2 destes motores nos dois
sentidos ou um motor de passo unipolar.
Tal CI pode ser dividido em duas partes independentes quanto ao seu acionamento e
alimentao (Figura 25). Neste trabalho foram utilizadas as duas partes: cada uma para um
motor sendo as sadas Y para as ligaes dos motores e as entradas A para os pinos do
microcontrolador.

Figura 25 - Pinos de entrada, sada e de potncia do CI L293.
[10]


O PIC18F4550 gera os pulsos PWM (atravs de seus pinos RC1 e RC2, configurados
como CCP1 e CCP2) e responsvel pelo comando de inverso de sentido dos motores
(atravs dos pinos RB0 e RB1) controlando todas as entradas e habilitando as portas lgicas
de ao do CI L293, atravs dos pinos 1,2EN e 3,4EN (enable ports).

Figura 26 - Acionamento bidirecional do motor de CC usando o L293.
[10]


A alimentao em Vcc1 e Vcc2, pode variar de 5V a 36V podendo acionar dois
motores com nveis de tenses diferentes. As portas lgicas (drivers) deste circuito integrado
trabalham com tenso de at 36V e corrente mxima entre 0,6 e 600mA por porta. A
excitao destas portas feita com nvel TTL (5V), o que garante a compatibilidade com os
microcontroladores. Os diodos, mostrados na Figura 26, protegem o CI L293, pois quando
este no mais alimentado, a corrente inversa gerada pela tenso de sobrecarga bloqueada.
40

Abaixo, so ilustrados os esquemticos para o acionamento de motores CC.

Figura 27 - Acionamento de dois motores de CC com o mesmo sentido e parado; Acionamento de
um motor de CC com dois sentidos e parados.
[10]


A tabela verdade do esquema de acionamento com a habilitao das entradas A
atravs dos pinos 1,2EN e 3,4EN e os respectivos valores de sada nos pinos Y
mostrada abaixo, assim como o digrama de funcionamento interno lgico, pela na Figura 28.

Entradas

Sadas Y
EN A
H H H
H L L
L X Z
Tabela 4 - Tabela Verdade do funcionamento do circuito integrado L293



Figura 28 - Diagrama de funcionamento interno lgico.
[10]


O datasheet do CI L293 pode ser encontrado no Anexo III.


41

2.2.6.2 O acionamento PWM (Pulse Width Modulation)
[11,12,13]



A tcnica de acionamento conhecida como PWM (Pulse Width Modulation
Modulao por Largura de Pulso) consiste em aplicar um sinal modulado, na forma de onda
quadrada, a uma determinada carga. As caractersticas deste sinal como a largura do pulso,
ou seja, o tempo que o pulso permanece em nvel alto (nvel lgico 1) ou nvel baixo (nvel
lgico 0) e o nvel de tenso aplicado podem ser controladas.
Antes de se iniciar efetivamente a configurao deste parmetro, deve-se lembrar que
ele ser responsvel pela tenso gerada no motor (Figura 30).
O acionamento efetivo por PWM d-se pela codificao de sinais analgicos em
sinais digitais, ou seja, trata-se da modulao por largura de pulso destes sinais, variando o
perodo em que a carga alimentada (nvel lgico 1) em referncia a um perodo fixo total,
com freqncia constante, como mostra a Figura 29, logo abaixo.

Figura 29 - Duas formas de onda PWM com largura de pulso diferente.

A razo entre a largura de pulso e o perodo da forma de onda recebe o nome duty
cycle (ciclo ativo). O pulso da onda PWM apresenta tenso fixa, porm o valor mdio desta
forma de onda varia em funo do duty cycle. A tenso mdia (V
cc
) diretamente
proporcional ao duty cycle e como este varia entre 0 (quando t
p

= 0) e 1 (quando t
p
= T) tem-
se que a tenso mdia de onda pode variar entre 0 e V
P
. No caso a variao ser de V
SS
a V
DD

(0 a 5V).
O valor mdio da forma de onda de sada de um modulador PWM uma funo da
largura de pulso para uma dada freqncia fixa, e est relacionado com o valor de T
P
/ T
(duty cycle). Em outras palavras, seu princpio de funcionamento baseia-se na operao
peridica T (T = T
P
+ T
OFF
), em que T
P
o perodo em alta e T
OFF
o perodo em baixa. O
perodo atual total T no modificado, ou seja, fixo. Quando o PWM estiver atuando com
Largura do Pulso varivel

Freqncia constante (Perodo total)

42

50% do seu tempo em nvel alto e 50% em nvel baixo (duty cycle), o motor estar com
metade de sua velocidade para uma determinada tenso sem perder o torque.
Assim, para um dado valor de sinal de entrada, o modulador deve proporcionar na
sada uma forma de onda pulsada com um determinado perodo T
P
, sendo este responsvel
pelo chaveamento da alimentao de motores CC, como no caso deste projeto.
Figura 30 - Sinal peridico aplicado ao Motor de CC.

Vrios mtodos de gerao de sinais de PWM podem ser usados, aderindo-se a
configuraes com microcontroladores, circuitos integrados ou mesmo atravs de circuito
discreto. Tais mtodos usam o princpio que a tenso mdia de uma forma de onda pr-
determinada dada por.
}
=
T
cc
dt t V
T
V
0
) (
1
(12)

Onde T o perodo da forma de onda e V(t) a funo da tenso no tempo. Com isso,
temos que para a condio abaixo, T
P
a durao do pulso em nvel lgico 1 e V
p
a
tenso de pulso do sinal PWM.

s <
s s
=
T t t
t t V
t V
p
p p
0
0
) ( (13)

Assim,
p
p
t
T
t
p cc
V
T
t
dt dt V
T
V
p
p
=
|
|
.
|

\
|
+ =
} }
0
0
1
(14)

Uma carga (motor CC) chaveada por um dispositivo eletrnico fazendo com que ela
ligue e desligue rapidamente de modo a produzir pulsos retangulares com durao e largura
T
p
t

p
V



OV
43

iguais. A tenso destes pulsos se mantm igual tenso da fonte de alimentao ao mdulo
PWM, mas seu valor mdio aplicado ao motor ser a metade do valor de entrada, ou seja, o
motor ser acionado com a metade de sua velocidade. Para alterar a velocidade, neste caso,
podem-se alterar os pulsos aplicados de duas formas:
Aumentando a largura do pulso em que o motor acionado. Assim, a tenso
eltrica nele aplicada ter um perodo em alta de onda maior, correspondente
a uma maior velocidade de giro.
Reduzindo a largura do pulso em que o motor acionado. Assim, a tenso
eltrica nele aplicada ter um perodo em alta de onda menor, correspondente
a uma menor velocidade de giro.


2.2.7 Etapa de Sensoriamento (Fundamentos de Sinais e Sistemas)
[14,15]



Entende-se por sinal, qualquer grandeza fsica que varia com o tempo. Um sinal
analgico uma grandeza fsica que se comporta como uma funo contnua no tempo. J
um sinal digital uma funo que, ao longo do tempo, adquire apenas dois valores
arbitrrios (0 ou 1). O conjunto destes sinais, sejam estes analgicos ou digitais,
denominado de sistema. Assim, um sistema a transformao que se opera em um sinal ou
em um conjunto de processos, organizados ou no, de sinais de entrada, proporcionando
outro conjunto de sinais de sada
[14]
.
Para a interpretao destes sinais ou o conjunto destes, necessrio que haja um
dispositivo capaz de detect-los, fornecendo um dado tipo de resposta conforme a aplicao.
Este dispositivo denominado, tecnicamente, de sensor. A funo dos sensores
(analgico/digitais) a aquisio de estados fsicos do mundo real e sua converso em
grandeza eltrica que poder ser processada e transmitida numa devida tarefa pr-
programada.
A aquisio destes sinais fsicos tratada por dois blocos funcionais: sensor e
condicionador de sinal. Antes do processamento do sinal recebido pelo sensor h a
necessidade de um tratamento fornecido pelo condicionador de sinal, que tem como funes
a filtragem, amplificao, linearizao, converso A/D, e outras mais necessrias ao seu
processamento e entendimento do controlador principal.
44

De acordo com o tipo de sinal fornecido sada do sensor, este classificado como
analgico ou digital. Sensores analgicos fornecem um sinal proporcional grandeza fsica
que esto medindo naquele instante. Sensores digitais j apresentam dois estados arbitrrios
de sensibilidade, que fornecem um sinal somente quando acionados
[15]
.
Neste projeto, para a leitura dos dados na resoluo do problema de trajetrias e
posicionamento, foi utilizado um sensor digital (encoder). O encoder baseado na converso
de movimentos angulares e lineares em informaes teis para determinada mquina ou
processo. , em outras palavras, um transdutor, que atravs desta converso de movimentos
angulares e lineares em uma srie de pulsos digitais eltricos, pode determinar o sentido de
rotao, posio ou direo, distncia, taxa de acelerao e velocidade.


2.2.7.1 Encoders
[16]



Os encoders so empregados em robs para a determinao de velocidade, posio e
acelerao. Seu sistema de leitura baseado em um disco (rotativo) ou fita (linear), formado
por orifcios radiais transparentes e opacos alternados. Estes so iluminados
perpendicularmente por uma luz infravermelha, gerando regies iluminadas e opacas sobre o
receptor. O receptor converte esta ausncia ou presena de luz em pulsos eltricos.


Figura 31 - Princpio de funcionamento de um encoder rotativo (esquerda) e um encoder linear
(direita).
[17]


Os encoders podem ser divididos em incrementais e absolutos. O encoder
incremental fornece normalmente dois pulsos quadrados defasados em 90, que so
45

chamados usualmente de canal A e canal B. A leitura de somente um canal fornece apenas a
velocidade, enquanto que a leitura dos dois canais fornece tambm o sentido do movimento.
Outro sinal chamado de C ou zero tambm est disponvel e ele d a posio absoluta
("zero") do encoder. Este sinal um pulso quadrado em que a fase e a largura so as mesmas
do canal A.








Figura 32 - Grfico dos sinais A, B e C e exemplo real de um encoder incremental.
[16]


O princpio de funcionamento de um encoder absoluto e de um encoder incremental
bastante similar, isto , ambos utilizam o princpio das janelas transparentes e opacas, com
estas interrompendo um feixe de luz e transformando pulsos luminosos em pulsos eltricos.

Figura 33 - Zona de mltiplas comutaes em um encoder absoluto e exemplo real.
[16,18]


O cdigo de sada utilizado para definir a posio absoluta do encoder. O cdigo
mais empregado o binrio, pois facilmente manipulado por um circuito relativamente
simples e, com isso, no se faz necessrio nenhum tipo de converso para se obter a posio
real do encoder. O cdigo extrado diretamente do disco (que est em rotao).
O sincronismo e a aquisio da posio no momento da variao entre dois cdigos
tornam-se muito difceis. Como exemplo, sendo dois cdigos consecutivos binrios como 7
46

(0111
2
) e 8 (1000
2
), notar-se- que a variao de zero para um e um para zero ocorre em
todos os bits, e uma leitura feita no momento da transio pode resultar em um valor
completamente errado.
Para solucionar o problema da transio da leitura de cdigos binrios utilizado um
cdigo chamado "Cdigo Gray", que tem a particularidade de na comutao de um nmero
para outro somente um bit ser alterado como podemos verificar na Figura 34 abaixo, assim
como sua converso em cdigo binrio por uma simples configurao de circuito lgico
combinacional e a codificao de dois discos ticos (cdigo binrio) e (cdigo Gray).








Figura 34 - Equivalncia entre os sistemas numricos (esquerda), e circuito de converso lgico
(centro) e diferena construtiva dos discos pticos (direita).
[16]


No presente trabalho foi adotado um encoder incremental (HEDS5500), e para a
leitura do sinal de e sua obteno na forma binria, optou-se pelo circuito integrado
HCTL2016.


2.2.7.2 Encoder HEDS5500
[19]



O encoder incremental HEDS5500, fabricado pela Agilent Technologies, foi
escolhido somo sensor para a velocidade angular. um mdulo ptico transmissivo para
deteco de posio linear ou rotacional, quando usado em conjunto de fitas lineares ou
discos codificados. Tal mdulo usa a tecnologia de vetores em fase para fornecer um
desempenho superior e tolerncias maiores sobre as tradicionais mscaras de abertura.
47

Conforme detalhado no item 3.1.3, na modificao estrutural de um dos dois
servomotores, foi acoplado no seu eixo o encoder supracitado para a deteco de sinais que
geram informaes na forma de trem de pulsos.
A partir destas informaes o circuito integrado HCTL2016 (distribudo pela Hewlett
Packard) trabalha estas informaes, montando os bytes mais e menos significativos dos
sinais em quadratura lidos pelos canais A e B do encoder, e as envia para o microcontrolador
(PIC18F4550), onde sero tratadas e analisadas por uma parte funcional do sistema
supervisrio responsvel pela comparao dos sinais amostrados e calculados, no controle de
velocidade do RM .
Tal encoder formado por dois mdulos: o sensor (HEDS9140), o qual estruturado
por uma fonte de luz (LED) conduzida por lentes e um circuito integrado detector
monoltico, envolto em um invlucro polimrico pequeno, e o disco codificado com
resoluo de 500 pontos por revoluo (HEDS5140), como ilustra a Figura 35.

Figura 35 - Encoder HEDS5500: Conjunto Sensor (HEDS9140) e Disco (HEDS5140).
[20]


Outras caractersticas importantes do encoder so: incremental, dois canais (A e B
defasados de 90) de quadratura analgica de sada com ndice de pulso opcional (I);
modelagem fsica simples e rpida; ajuste de sinal no necessrio; baixo custo e tamanho
reduzido; alimentao em 5V compatvel com nveis TTL; resolues de 500 pontos por
revoluo (250 pulsos em nveis lgicos alto e baixo).
Em operao, o encoder faz a traduo dos sinais emitidos pelo LED (fonte emissor
de luz) contido no seu invlucro atravs de um disco codificado (contagem de 500 pulsos
por rotao) em movimento rotacional fornecido pelos dois canais analgicos A e B e um
ndice de pulso opcional (I).



Figura 36 - Demonstrao em 3D de um encoder e esquema eltrico dos terminais.
[19]

48

A Figura 37 mostra que este encoder contm um nico LED como fonte de luz
(circuito emissor). Tal luz transformada em um nico feixe de raios paralelos por meio de
uma lente de policarbonato localizada acima do LED. Do lado oposto ao emissor est
localizado o circuito integrado detector de sinais Este CI consiste em mltiplos conjuntos de
foto-sensores e de um circuito de processamento de sinais necessrio s suas tradues em
formas de onda digitais.

Figura 37 - Diagrama de blocos do funcionamento interno do encoder (HEDS5500).
[19]


O disco codificado (fragmentado) rotaciona entre o circuito emissor e o circuito
integrado detector, gerando feixes de luz interrompidos pelas amostras de espao e
obstculos no disco. Os fotodiodos do circuito detector, os quais detectam estas interrupes
so posicionados de acordo com o raio e a estrutura do disco.
Estes mesmos fotodiodos tambm so espaados num perodo de luz de um par de
detectores e correspondem a um perodo sem luz no outro par adjacente. As sadas dos
fotodiodos so alimentadas atravs do circuito de processamento de sinais, resultando em
nveis de tenso distintos para as sadas em A, B e I. Os circuitos comparadores recebem
estes sinais e processam as sadas finais para os canais A e B.
Para esta tcnica integrada de defasagem de grau, a sada digital do canal A est em
quadratura com a do canal B, ou seja, est adiantada em 90 graus.
A sada do comparador I enviada ao circuito de processamento de sinais ao longo
das sadas dos canais A e B. A sada final do canal I um pulso de ndice (P
0
), o qual
gerado uma vez para cada rotao completa no disco. Esta sada P
0
denominada largura de
apenas um estado (nominalmente 90 graus eltricos), e prov um pulso de ndice em alta
coincidente com os estados em baixa dos canais A e B.
49

A Tabela 5 abaixo mostra os valores mximos absolutos para as caractersticas
eltricas e mecnicas do encoder HEDS5500.
Parmetro Medida
Temperatura de Armazenamento (T
S
) -40C at +70C
Temperatura de Operao (T
A
) -40C at +70C
Tenso eltrica de entrada (V
CC
) -0.5V at 7V
Tenso eltrica de sada (V
O
) -0.5V at V
CC

Vibrao 20g, 5Hz at 1000Hz
Conjunto axial do eixo 0.175 mm ( 0.007 pol.)
Conjunto radial do eixo 0.04 mm (0.0015 pol.)
Velocidade 30.000 RPM
Acelerao 250.000 rad/ s
2

Tabela 5 - Valores mximos absolutos do encoder HEDS5500.

As definies dos parmetros mecnicos do disco, bem como a descrio das formas
de onda em quadratura dos canais de leitura e sua interface eltrica com resistores pull-up
para assegurar uma codificao confivel dos sinais podem ser verificadas atravs do
datasheet do referido encoder no Anexo IV.


2.2.7.3 Interface de Leitura (circuito integrado HCTL2016)
[21]



Para a leitura dos sinais do encoder necessrio um circuito dedicado para capt-los,
sejam eles de um motor (rotacional) ou de um guia linear.
Desta forma, o processamento da leitura deste sinal foi realizado atravs do CI
HCTL2016, que faz a interface de leitura do encoder com o microcontrolador. Possui nveis
TTL de alimentao e sada do sinal, clock mximo de operao de 14MHz, o que
viabiliza a leitura dos sinais provindos dos canais do encoder em at 4MHz, isto porque, o
clock deve ser no mnimo 3 vezes maior que o maior sinal gerado pelo encoder. Ele
fornecido com encapsulamento DIP16 e SO16.
As funes deste CI abrangem a decodificao de sinais em quadratura, contadores
de pulsos e interface de barramentos com sensores pticos, as quais so implementadas no
aprimoramento de sistemas de controle digital malha fechada e sistemas de entrada de
dados digitais.
50

Por apresentar um contador de 16 bits, a montagem dos bits mais significativos
MSB (Most Significant Byte - byte formado pelos bits 8 15) e bits menos significativos
LSB (Least Significant Byte - byte formado pelos bits 1 7), seqenciando os dois bytes
(16 bits) da leitura dos sinais dos canais A e B do encoder aps a quadratura destes, d-se
pela manipulao dos pinos SEL, OEe RST .
Com o pino OE em nvel lgico alto, no haver sinal de leitura nas entradas dos
pinos D0 D7, pois ele est ligado s portas NAND internas do contador, desabilitando a
contagem dos bits. Logo, para comear a leitura dos dois bytes mais e menos significativos,
tal pino dever permanecer em nvel lgico baixo. O pino SEL seleciona a leitura dos bits
mais e menos significativos. Com o pino SEL em baixa, os bits mais significativos so lidos
primeiramente e em alta, os bits menos significativos so lidos, montando os dois bytes.
O pino 5 o RST (reset) que ativado em baixa, porm tem sua lgica invertida na
entrada com uma porta NOT, assim o pino tem que ser mantido em nvel lgico baixo para
funcionar e em alta para reiniciar o CI. O pino 2 (CLK) a entrada do clock (precisa ser 3
vezes maior que o maior sinal do encoder), se o clock no for 3 vezes maior o sinal de sada
no ser confivel, pois pode haver perda de sinal durante a leitura do encoder.
Os pinos 6 e 7 (entradas dos canais A e B, respectivamente) so conectados s sadas
A e B do encoder, os quais recebem os sinais em quadratura com defasagem de 90. Desta
forma, pode-se conhecer o sentido de rotao do encoder.

Passo SEL OE CLK
Sinal de
Ativao
Ao
1 L L _-_-_ 1 Ativado; L o maior byte
2 H L _-_-_ 1 L o menor byte; Reincio
3 X H _-_-_ 0
Completa o reincio
lgico de ativao
Tabela 6 - Seqncia da leitura dos dois bytes fornecidos pelo HCTL.

Os pinos 1 e 9 ao 15 correspondem ao D0 a D7 que se referem a um bit de sada do
sinal lido, montando primeiramente o MSB e, posteriormente, o LSB. O pino 8 (GND) e o
pino 16 (V
DD
) so a referncia e alimentao, respectivamente. No projeto, o
microcontrolador ir controlar os pinos SEL e OE para a leitura do encoder, e os bytes sero
captados pela porta D do microcontrolador, que far a verificao dos valores e sua correo
atravs da rotina PID do sistema supervisrio para, em seguida, o acionamento PWM.
51


Figura 38 - Terminologia dos terminais do HCTL2016.
[21]


Abaixo, segue a tabela com a descrio de cada pino do CI HCTL2016.
Smbolo Pino Descrio
V
DD
16 Alimentao (+5V).
V
SS
8 Terra ou Massa (0V).
CLK 2
Sinal de entrada Schmitt-trigger para clock externo, menor ou igual a
14MHz.
CHA
CHB
7
6
Canais A e B. So entradas Schmitt-trigger conectadas aos pinos CHA e
CHB do encoder.

RST
5
Pino Reset. Entrada Schmitt-trigger, a qual acionada em baixa e reinicia
o contador e a posio da memria esttica (latch) de forma assncrona.
OE
4
Pino de entrada CMOS. Habilita os buffers de sada tri-state, ou seja, as
sadas D0 D7 so obstrudas para a leitura do contador. Trabalha em
conjunto com o pino SEL para controlar a carga da posio interna dos
dados da memria esttica.
SEL 3
Esta entrada CMOS controla diretamente cada byte de dados numa
determinada posio da memria esttica para os buffers de sada tri-state
de 8 bits. Realiza o chaveamento entre os bits mais e menos significativos.
Para que as sadas (D0 D7) apresentem os bits menos significativos, este
pino deve estar em nvel alto. Logo, para os bits mais significativos, o pino
deve estar em nvel baixo.
D0 1
Sadas 1 8. Fornecem o sinal em dois bytes seqenciais da memria
esttica. Os bits (8 15) so lidos primeiramente, seguidos dos bits (0
7).

D1 15
D2 14
D3 13
D4 12
D5 11
D6 10
D7 9
Tabela 7 - Descrio dos pinos do HCTL2016.

O diagrama de filtro de rudo, mostrado pela Figura 39 logo abaixo, apresenta uma
etapa inicial do circuito interno de leitura, o qual responsvel pela filtragem nos sinais de
quadratura dos canais A e B.
Esta etapa inicial usa duas tcnicas para implementar a filtragem dos sinais: entradas
Schmitt-trigger e filtro de atraso com trs ciclos de clock (three-clock cycle). Ambas so
combinadas para a rejeio de nveis pequenos e grandes de rudo e picos de curta durao
52

que tipicamente ocorrem em aplicaes envolvendo motores. Ambas os modos comuns e
diferenciais de rudo so filtrados. Com isso, a integridade dos dados no contador maior e a
contagem de pulsos falsos com estes rudos evitada.


Figura 39 - Filtro de rudo digital simplificado.
[21]


As formas de onda filtradas dos canais A, B e ndice I so mostradas na Figura 40.

Figura 40 - Propagao dos sinais de cada canal atravs do filtro digital de rudo.
[21]


A Tabela 8 mostra os valores mximos absolutos das caractersticas de operao
principais do HCTL2016.

Condies mximas de operao
Parmetro Smbolo Limite Unidade
Tenso eltrica CC V
DD
-0.3 at +5.5 V
Tenso eltrica de entrada V
IN
-0.3 at V
DD
+0.3 V
Temperatura de Armazenamento T
S
-40 at +125 C
Temperatura de Operao T
A
-40 at +85 C
Condies recomendadas de operao
Parmetro Smbolo Limite Unidade
53

Tenso eltrica CC V
DD
+4.5 at +5.5 V
Temperatura Ambiente T
A
-40 at +85 C
Tabela 8 - Caractersticas principais de operao em condies mximas e recomendadas

A decodificao de quadratura dos sinais, o contador de posio, a trava de dados, o
modo de sada em cascata e a interface com todos estes barramentos so mdulos integrados
ao circuito interno de tratamento de sinais e podem ser verificados com maior abrangncia
de informaes pelo Anexo V (datasheet HCTL2016).


2.2.7.4 Determinao da velocidade angular () a partir da medida do encoder HEDS5500


O circuito integrado de leitura HCTL2016 um contador de 16 bits (8 bits mais
significativos e 8 bits menos significativos) e, portanto, conta os nmeros de pulsos gerados
pelo encoder em certos intervalos de tempo pr-estabelecidos (e.g. mostrar resultado do
nmero de pulsos de um contador de 8 bits MSB com tempo de leitura de 0,1s).
Na tabela abaixo, tm-se o nmero de pulsos em relao leitura do MSB no intervalo
de tempo de 0,1s.
Nmero de Pulsos MSB (8 bits)
1 0 0 0 0 0 0 0 1
2 0 0 0 0 0 0 1 0
3 0 0 0 0 0 0 1 1
4 0 0 0 0 0 1 0 0
5 0 0 0 0 0 1 0 1
6 0 0 0 0 0 1 1 0
7 0 0 0 0 0 1 1 1
8 0 0 0 0 1 0 0 0
Tabela 9 Leitura do MSB no intervalo de tempo de 0,1s para 8 pulsos

Portanto, na sada do contador (HCTL2016) teremos a presena do nmero binrio (0
0 0 0 1 0 0 0) ou 8 em decimal (N
PULSOS
= decimal).
Para obter a freqncia de pulsos gerados:
CLOCK
PULSOS
PULSO
t
decimal
t
N
f =
A
= (15)

54

Esta informao obtida na sada do HCTL2016 servir como parmetro de
realimentao do controlador.
Neste trabalho, esta informao (byte mais ou menos significativo) ser inicialmente
usado para determinar a velocidade angular instantnea do RM e, assim, determinar a
necessidade ou no de correo atravs do controlador.
Para a velocidade angular, sabe-se que:
) (
s
rad
t A
A
=
u
e (16)

Tomando como base os dados da tabela XX acima, determinamos a variao angular
sofrida pela roda neste intervalo de tempo. Em 0,1s contaram-se 8 pulsos. Este nmero de
pulsos representa certa quantia em relao ao nmero total de pulsos que pode ser fornecido
pelo encoder numa volta completa. Como o encoder HEDS5500 fornece 500 pulsos por
volta ou revoluo, temos:
500 pulsos 1 volta = 2
8 pulsos

500
8 2
'

= A
t
u (17)

Substituindo na equao para a velocidade angular:
500
8 2
=
A
A
=
t u
e
t
(18)

Reescrevendo a equao acima em termos dos parmetros medidos:
( )
LEITURA FENDAS
t N
decimal

=
t
e
2
(19)

A quantidade (decimal / t
LEITURA
) j foi definida como a freqncia de pulsos e,
portanto:
( )
) ( 2 ) (
2
/
s
rad
REVOLUO PULSOS
PULSOS
s
rad
FENDAS
PULSOS
N
f
N
f
|
|
.
|

\
|
=

= t
t
e (20)

55

H normalmente, uma confuso quanto ao conceito de nmero de pulsos e o nmero
de fendas. Um pulso a soma da presena de uma fenda (com luz nvel alto) com a
presena de um anteparo (sem luz nvel baixo). Inicialmente considerou-se como bit lido
apenas a presena de fendas.

Figura 41 - Representao grfica de um pulso

Para o HCTL2016 em questo, o qual um contador de 16 bits e sinal de entrada
Schmitt Trigger para clock externo menor ou igual a 14MHz, o tempo de leitura regulado
pelo tempo que o parmetro OE permanece em baixa. A leitura dos 16 bits (MSB e LSB)
como informado no item 2.2.7.3 realizada pelos parmetros SEL, OEe RST , cujo tempo
de leitura ( OE em baixa) igual a 1000ms, ou seja, (t
LEITURA
= 1s).
O encoder gera 500 pulsos por volta, em que o nmero de fendas igual ao nmero
de regies preenchidas (250 fendas presentes no disco do encoder). Substituindo a equao
17, tem-se:

( )
) (
250
) ( 2
1 250
) ( 2 ) ( 2
s
rad
LEITURA FENDAS
decimal decimal
t N
decimal
=

=
t t t
e (21)

A contagem do circuito de leitura dever ser apagada, para que o contador no
interprete o decimal lido como uma soma dos outros decimais e, conseqentemente, das
velocidades naquele intervalo de tempo. Assim, recorre-se ao seguinte equao para evitar
tais erros nos clculos para obter a diferena entre os decimais entre leituras consecutivas:
D decimal decimal decimal
INICIAL FINAL
A = = (22)

Esta velocidade atual ser usada na correo do valor da velocidade angular
estabelecida para o RM atravs do controlador.

56

2.3 Transmisso de dados (Etapa de comunicao entre o RM e o Host)


No processo de comunicao, a informao transmitida de um ponto a outro
respeitando uma sucesso de processos, tais como: a gerao de um sinal de mensagem; a
descrio deste sinal de mensagem atravs de uma linguagem conhecida entre o transmissor
e o receptor; a codificao desta linguagem em uma forma apropriada transmisso por um
meio fsico de interesse; a prpria transmisso seguindo o percurso at o destino desejado; a
decodificao e reproduo da linguagem original; a recriao do sinal de mensagem
original.
Para tanto, h dois modos bsicos de transmisso, os quais gerenciam qualquer
comunicao ente dois pontos ou conjunto de pontos que estejam envolvidos:
1. Radiodifuso (broadcasting): Uso de um nico transmissor e vrios receptores, os
quais so submetidos por sinais enviados num nico fluxo de dados;
2. Comunicao ponto a ponto: O processo de comunicao se desenvolve atravs de
um link (enlace) entre um nico transmissor e um receptor. Os sinais envolvidos
obedecem a um fluxo bidirecional de portadores de informao.

Estes modos de transmisso tratam as informaes para o envio e recepo em
modelos distintos de comunicao, como: serial ou paralela, sncrona ou assncrona,
analgica ou digital, simplex, half-duplex ou full-duplex, entre outros.
Como a etapa de comunicao do circuito eltrico de gerenciamento provida de
duas interfaces de comunicao serial: UART (padro RS-232) e USB (protocolo CDC),
alm de permitir o uso exclusivo de um Port para a comunicao via rdio-freqncia (RF),
os mesmos so sucintamente descritos e conceituados, para posterior aplicao prtica no
desenvolvimento e implementao das rotinas de tratamento de informaes do sistema
supervisrio.

2.3.1 Comunicao via Rdio-Freqncia (RF)
[22]



Para a comunicao em RF, foram utilizados dois mdulos de alta-freqncia entre o
rob mvel e o host.
57

Os referidos mdulos so da Laipac TRF 2.4G. Denominam-se como transceivers
(pois podem ser programados como transmissores e/ou receptores) aplicados banda de
freqncia ISM (Industrial, Scientific and Medical), a qual varia entre 900MHz 5,85GHz.
Tais mdulos so constitudos internamente por uma antena, um sintetizador de
freqncia integrado, um amplificador de potncia, um cristal oscilador e um modulador. Os
canais de freqncia e sada de potncia para a transmisso so facilmente programveis
atravs do uso de uma interface serial de trs terminais. O consumo de corrente
extremamente baixo, apenas 10,5mA para uma sada de potncia de -5dBm e 18mA no
modo receptor. Em sistemas embarcados mais robustos, que usam o modo de alimentao
eltrica built-in power down, possvel obter economia energtica considervel, tanto em
operao, quanto em modo de espera.
Os mdulos utilizam um circuito de processamento de dados com especificao
Nordic nRF2401-VLSI com um cristal oscilador de 16MHz e uma antena dipolo integrada.
As vantagens do transceiver TRF 2.4G sobre qualquer outro mdulo de rdio freqncia
padro consistem num dispositivo nico de operao para comunicao bidirecional, circuito
somador cclico de redundncia (Cyclic Redundancy Checksum - CRC) de gerao de
cdigo e checagem de erro, bem como um transmissor de alta velocidade (shockburst).
Os ltimos trs itens acima citados so teis para interfaces com microcontroladores,
desde que os mesmos tenham poder de processamento requerido livre para programar um
protocolo de comunicao com enlace de dados de baixo nvel (como o caso deste projeto).
No Anexo VI, h a descrio completa das caractersticas tcnicas, programao lgica e
circuito eltrico de interface generalizado, durante a etapa inicial de comunicao, em
referncia ao datasheet do mdulo de RF.


2.3.2 Comunicao via Protocolo Serial (USART)


Modo de transmisso cujos dados so enviados num nico fluxo direcional, em que os
bits que representam cada caractere so transmitidos em seqncia, isto , um bit de cada
vez, utilizando um nico canal de comunicao.
Este modo configurado atravs de um mdulo interno do microcontrolador
PIC18F4550 de comunicao serial, chamado USART (Universal Synchronous and
58

Asynchronous Receiver Transmitter), pertencente ao protocolo serial universal SCI (Serial
Communication Interface). Tal transmisso pode ser feita de forma sncrona ou assncrona.


2.3.2.1 Comunicao sncrona e assncrona


Comunicao simultnea entre transmissor e receptor. Os dois ns de transmisso se
comunicam e sincronizam suas aes. Logo, os dados sero transmitidos ou recebidos
adequando as taxas de transmisso (potncia transmitida) e tamanhos ordenados e
conhecidos de dados (largura de banda do canal).
Na comunicao assncrona, bits especiais so inseridos no incio e no fim de cada
caractere transmitido, permitindo assim que o receptor interprete claramente o que foi
transmitido. No necessrio que o receptor esteja preparado interpretao da seqncia de
transmisso de dados, tampouco o tamanho da mensagem, pois isto poder ser identificado
pelos bits de incio e de parada (start-bit e stop-bit). Entretanto, os ns de uma linha de
transmisso assncrona precisam estar preparados ao processo de recepo dos sinais
enviados aleatoriamente pelo emissor. Isto determina o perodo ocioso em que as linhas de
transmisso esto submetidas at receber o conjunto de bits caracterstico, avisando do incio
de uma transmisso.


2.3.2.2 Comunicao UART (padro RS-232)
[23]



No modo de comunicao USART em sua forma assncrona, usado o protocolo RS-
232 (Padro Recomendado de comunicao industrial) padronizado pela EIA (Electronics
Industries Association) para a troca serial de dados binrios entre um terminal de dados
(DTE Data Terminal Equipment) e um comunicador de dados (DCE Data
Communication Equipment).
Como qualquer dispositivo de transmisso serial assncrona, os bits so enviados um
a um, de forma seqencial, com o byte menos significativo (LSB) primeiro, seguido do byte
mais significativo (MSB). Com esse padro, pode-se estabelecer uma comunicao
59

bidirecional entre dois dispositivos usando apenas trs pinos de um conector macho ou
fmea DB9 da porta serial: pino 5 (GND), pino 3 (TX) e pino 2 (RX).


Figura 42 - Conectores macho e fmea DB9 da porta serial e seqncia numrica dos pinos.
[23]


Por ser um modo de comunicao assncrono, tanto o circuito de transmisso, como o
circuito integrado (MAX232)
[24]
responsvel pela converso de nvel entre o DTE e o DCE
no geram a freqncia de clock para a transmisso de dados, com apenas a incumbncia do
transmissor e do receptor para a configurao dos bits de incio e de parada.
Na sua forma padro, a interface RS-232 utiliza dois sinais de transmisso (start-bit e
stop-bit) para efetuar o controle de fluxo de dados. No comeo da transmisso, o transmissor
sinaliza o envio das informaes atravs do start-bit, e o receptor aguarda o trmino do envio
com a confirmao de recepo do stop-bit. Para cada byte existe um bit de incio (start-bit)
e um bit de parada (stop-bit).
O circuito integrado (MAX232 Anexo VII) que faz a interface entre o host (porta
serial) e o PIC18F4550 (etapa de gerenciamento) responsvel pela converso de nvel de
tenso entre estes dois dispositivos. Tal CI pode amplificar ou reduzir sinais RX, TX, CTX e
RTS.
Como se trata de um transmissor/receptor duplo que fornece nveis de tenso
TIA/EIA-232-F (Interface Padro EIA de nvel de tenso eltrica), apresenta o seguinte
funcionamento: cada pino receptor do circuito integrado converte entradas TIA/EIA-232-F
para nveis de 5V TTL/CMOS. Estes pinos tm um limiar de tenso tpico de 1,3V, histerese
tpica de 0,5V e aceita 30V de entrada; cada pino transmissor converte nveis de entrada
TTL/CMOS em nveis TIA/EIA-232-F.
A terminologia dos terminais e o diagrama funcional lgico so mostrados na Figura
43, abaixo.


60















Figura 43 - Terminologia dos pinos do CI MAX232 e esquema lgico interno de funcionamento.
[24]


A discrepncia de tenso eltrica (acima de 12V do RS-232 para 3,3V em nvel
TTL) gerada por capacitores de 10F, conectados aos pinos dedicados 1, 3, 4 e 5 (C1+,
C1-, C2+ e C2-, respectivamente).


2.3.2.3 Comunicao USB (CDDC)
[25]



O modo de comunicao USB (Universal Serial Bus) um padro de barramento
serial para a interface de comunicao em alta velocidade de dispositivos e circuitos
microprocessados. Apresenta as vantagens de conexo com interface serial direta aos
perifricos, sem a necessidade de reinici-los ou deslig-los, prov baixo consumo de
energia sem uma fonte externa sua alimentao eltrica e permite que tais perifricos sejam
devidamente instalados e configurados, cada qual com sua prpria especificao de fbrica
para a programao de drivers.
Os tipos de conectores USB so mostrados na Figura 44.

61


Figura 44 - Tipos de conectores USB.
[25]



Este padro de comunicao apresenta, na Tabela 10, as funes de cada pino do
conector de 4 terminais e de 5 terminais. Todo o processo de comunicao entre o
transmissor e receptor, bem como as caractersticas eltricas e os protocolos usados nas
classes especficas de comunicao de dispositivos para este modo pode ser encontradas no
documento (CDDC - Classes de Definies para Dispositivos de Comunicao
[25]
).

Conectores Padro A e B (4 terminais)
Pino Nome Cor Descrio
1 VCC Vermelho +5V
2 D- Branco Dados -
3 D+ Verde Dados +
4 GND Preto Referncia
Conectores Mini/Micro A e B (5 terminais)
Pino Nome Cor Descrio
1 VCC Vermelho +5V
2 D- Branco Dados -
3 D+ Verde Dados +
4 ID -
Distino entre as conexes Mini A e Mini
B
5 GND Preto Referncia
Tabela 10 - Terminologia dos pinos para conectores USB de 4 e 5 terminais.



2.4 Modelagem dinmica e Aplicao de tcnicas clssicas de controle ao RM

2.4.1 Atuadores (Motor de Corrente Contnua CC)


Atuadores so dispositivos que transformam um determinado tipo de energia num
outro tipo distinto. So imprescindveis na robtica, e tm a funo de fornecer a excitao
62

necessria para seu funcionamento numa determinada aplicao, na forma do tipo de energia
adequada
[7]
.
Os atuadores mais utilizados em robtica so: os hidrulicos (caracterizam-se pela
compresso de um lquido); os pneumticos (pressurizao de gs como fonte de energia); os
eletromecnicos (eletricidade como fonte de energia para a converso de movimentos
eletromecnicos).









Figura 45 - Esquema de funcionamento de um motor de CC.
[7]


O motor de corrente contnua (CC) um dispositivo conversor eletromecnico com
caractersticas lineares, cuja potncia depende da tenso eltrica a qual submetido e da
intensidade da corrente eltrica que circula atravs dele. Para que o motor CC gire em um
sentido basta aliment-lo como uma tenso eltrica contnua (CC) nominal, e ao inverter a
polaridade de alimentao, seu sentido tambm se inverter. O campo magntico gerado
internamente responsvel pelo giro do rotor.
A utilizao do motor CC em aplicaes que requerem velocidade varivel
largamente difundida devido s suas caractersticas de operao. Estas caractersticas so
relacionadas com a flexibilidade de atuao sobre a velocidade, fcil modelagem, simples
alimentao, eficincia e alto desempenho tanto em alta como em baixa velocidade. As
desvantagens do uso do motor CC relacionam-se com alto custo, alto nvel de manuteno e
limitao de uso em determinados ambientes.
O controle de velocidade de um motor CC pode ser feito, variando-se a corrente de
armadura, ou de campo, ou ambas. O controle pela corrente de armadura geralmente mais
usado por apresentar uma resposta dinmica mais rpida. Os mtodos de controle de
Quando a bobina energizada,
um campo magntico criado
ao redor da armadura. O lado
esquerdo da armadura
empurrado pelo m esquerdo,
provocando sua rotao
contnua para o lado direito.
Quando a armadura se torna
alinhada horizontalmente, o
comutador inverte a direo da
corrente atravs da bobina, o que
conseqentemente, inverte o
campo magntico. O processo
ento, se repete.
A armadura continua com a
rotao.
63

velocidade de um motor CC evoluram desde os mais elementares limitadores resistivos de
corrente at os atuais conversores estticos.


2.4.2 Especificaes dos Atuadores do Rob Mvel (RM)


As rodas responsveis pela trao so acopladas a dois servomotores alterados (motor
de CC com redutor), empregados como os atuadores do rob mvel.
Os servomotores so um tipo especial de motor que se caracterizam por sua
capacidade de se posicionar de forma imediata em qualquer posio dentro de seu modo de
operao, ou seja, apresentam uma eficiente reduo.
So geralmente formados por um conjunto redutor de velocidade/torque (caixa de
reduo) com circuitos de acionamento e realimentao alm de um potencimetro, todos
dentro de um compacto invlucro. O resultado um servomotor de posio com uma
margem de operao de 180 aproximadamente.
Como os servomotores so dispostos de 3 fios de ligao, um deles responsvel
pelo controle de pulsos recebidos em determinados perodos em nvel lgico alto (acima de
3,3V) que define o ngulo que o motor dever manter seu eixo. Este controle de pulsos se
renova a cada 20ms em configurao analgica e 3ms em configurao digital e, quanto
maior for a repetio do sinal, maior ser o torque aplicado na sada do servomotor.
Conforme o perodo de pulso, o circuito de acionamento compara a posio em que o
potencimetro parou e corrige sua posio.


Figura 46 - Servomotor Hobbico CS-60 usado como atuador.
[26]


64

Como citado acima, os servomotores so alterados, sem a configurao dos circuitos
de acionamento responsveis pela correo do ngulo de atuao e as travas que limitam o
ngulo de trabalho. Com isso, os servomotores tornam-se motores de corrente contnua (CC)
com redutor.
Os servomotores escolhidos trabalham com tenso entre 4,8V 6V (CC), possuem
caixa de reduo e seu torque varia de 3,06Kg/cm 3,57Kg/cm proporcionais a tenso
aplicada e, por fim, so controlados por acionamento PWM.


2.4.3 Modelagem do Sistema de completo (motor + redutor + carga) e Determinao dos
parmetros eltricos e mecnicos


Consideremos inicialmente a ilustrao da Figura 47. A estrutura magntica produz
na regio entre os plos um fluxo magntico de intensidade B

e de direo indicada. Se um
fio condutor de comprimento l e direo indicada (perpendicular a B

), se percorrido por
uma corrente de intensidade i(t), ir sofrer a ao de uma fora cuja intensidade dada por
[2]
;

) . ( l i x B F

=

(23)

e cuja direo obtida pela regra da mo esquerda. Se ) t ( i , B

e l so constantes, o fio de
massa M sofrer um deslocamento com velocidade v.


Figura 47 - Representao da fora (F) sobre um fio condutor e torque sobre uma espira.

65

Se o fio for substitudo por uma espira, cujo plano faz um ngulo u com o plano do
fluxo magntico, a espira sofre a ao de uma fora F e, portanto estar sujeita a um torque
T, o que resultar em movimento circular de velocidade e.
Como pode ser verificado pela figura acima, a tenso induzida nos plos pode ser
considerada diretamente proporcional velocidade de rotao (t), desde que tanto o fluxo
magntico B

, o comprimento l e a distncia entre os plos d sejam constantes. Logo:


(t) K e(t)
e
= , onde
e
K denominada constante de tenso ou constante de fora contra-
eletromotriz (f.c.e.m). Tambm, pelo fato de B

, l e d, serem constantes, obtm-se que o


torque desenvolvido no motor de corrente contnua diretamente proporcional intensidade
da corrente que circula na armadura, isto :

) (t i K T
a t e
=

(24)

Onde
t
K denominado constante de torque e (t) i
a
a corrente instantnea de
armadura.
Desde que o torque
e
T e a tenso induzida e(t) dependam de B

, l, d e u no sistema
internacional de unidades, o valor de
e
K numericamente igual ao valor de
t
K . A estrutura
que compe a armadura representa uma massa em rotao e, portanto define-se para ela:
momento de inrcia J, coeficiente de atrito viscoso (proporcional a ) B, e o coeficiente de
atrito esttico denotado F. A Tabela 11 abaixo apresenta os parmetros e respectivas
unidades no sistema internacional.

Parmetros eltricos Parmetros mecnicos
R
a
resistncia de armadura [] J momento de inrcia
(

rad
N.m.s
2

L
a
indutncia de armadura [H]
B coeficiente de atrito viscoso
(

rad
N.m.s

e
K constante f.c.e.m
(

rad
V
s

F coeficiente de atrito esttico [N.m]
t
K constante de torque
(

A
N.m

m e
T T = torque mecnico [N.m]
(t) i
a
corrente de armadura [A]
r
T torque resistente no eixo do motor [N.m]
e(t) fora contra-eletromotriz [V]
66

) (t v
a
tenso do circuito de armadura [V]
Tabela 11 - Parmetros do motor de CC.

O motor de CC formado por um conjunto de espiras que constitui a armadura.
Estas espiras apresentam uma resistncia (eltrica) denominada R
a
(resistncia de armadura)
e tambm uma indutncia denominada L
a
(indutncia de armadura). Um esquema do motor
de CC controlado por armadura dado na Figura 48
[27]
.


Figura 48 - Diagrama eletromecnico do motor de CC.

Atravs do diagrama eletromecnico do motor CC, obtm-se o seguinte
equacionamento dinmico para o motor CC.
Equao Eltrica: A velocidade de um motor CC controlado por armadura
acionada pela tenso de armadura
a
[1], que suprida por um amplificador
[2]
. A equao
diferencial para o circuito de armadura :
) ( ) ( ) ( t K t i
dt
d
L t i R v
e a a a a a
e + + = (25)

Equao Acoplamento: No motor CC controlado por armadura, a corrente de campo
mantida constante e o torque torna-se diretamente proporcional corrente de
armadura:
) (t i K T T
a t e m
= =

(26)

Equao Mecnica: O torque produzido aplicado massa inercial e ao atrito
viscoso. Atritos so adicionados, gerando:
F t T t B t
dt
d
J T
r m m
+ + + = ) ( ) ( ) ( e e

(27)
67


A funo de transferncia para o motor CC pode ser obtida de maneira direta,
aplicando-se a Transformada de Laplace (TL) s equaes dinmicas, e atravs da
manipulao destas equaes, obtm-se a funo de transferncia geral
[3]
, ou determina-se a
equao de transferncia para cada equao, novamente com a aplicao da TL e, por fim,
obtm-se a funo de transferncia geral
[2]
.
Adotando-se a segunda maneira, na aplicao da TL equao diferencial eltrica,
obtm-se a funo de transferncia eltrica.
Com isso, a funo de transferncia para a parte eltrica dada por:
( ) ) ( ) (
1
) ( s E s v
s L R
s I
a a
=

(28)
Definindo-se:
s L R
s H
a a
1
) (
1
= (funo de transferncia eltrica) (29)
L
R
P
E

= (plo eltrico) (30)


R
L
E
+
= t (constante de tempo eltrica) (31)
O diagrama de blocos correspondente a equao eltrica (acima) dada por:

Com:

Figura 49 - Diagrama de Blocos da equao eltrica

A funo de transferncia para a parte do acoplamento e seu respectivo diagrama de
blocos so mostrados abaixo.
) ( ) ( s J K s T
t m
=

(32)


Figura 50 - Diagramas de blocos da parte do acoplamento.
Aplicando-se a TL equao mecnica, obtm-se:
| | ) ( ) (
1
) ( s T s T
B Jm
s
r m
S

+
= e (33)

) (
1
s H

V(s)
I(s)
+
-
E(s)
E(s)
(s)
e
K

) (s T
m

t
K
) (s I
68


E pode-se definir:
B Jm
s H
S
+
=
1
) (
2
(funo de transferncia mecnica) (34)
m
M
J
B
P

= (plo mecnico) (35)
B
J
m
M
= t (constante de tempo mecnica) (36)

O diagrama de blocos para a funo de transferncia mecnica ilustrado abaixo:



Figura 51 - Diagrama de blocos da parte mecnica.

Assim, o diagrama de blocos correspondente ao sistema completo, obtido pelas
funes de transferncia a ele associados est representado na Figura 52.






Figura 52 - Diagrama de blocos completo da modelagem matemtica do motor CC.
Manipulando-se de maneira adequada as equaes 14, 18 e19, pode-se obter a funo
de transferncia para o motor CC.
(

+ +
|
|
.
|

\
|
+ +
= =
a b a m b a
b a m e
s s
K
s
s
s G
t t t t t t
t t t
u
e
1 1 1 1
1
) (
) (
) (
2

(37)
Onde:
a
a
a
R
L
= t Constante de tempo eltrica


) (
2
s H

) (s T
m
(s
)

(s)
+
-
) (s T
r

t
K

I(s)
) (
1
s H
V(s)
+
-
e
K

) (
2
s H

) (s T
m


W(s)

+
-
) (s T
r

E(s)

69

B
J
m
b
= t Constante de tempo mecnica
t e
m a
m
K K
J R
= t Constante de tempo eletromecnica


2.4.4 Caractersticas da Teoria de Controle Clssica


Controladores analgicos so muito utilizados em aplicaes que exigem um alto
grau de sensoriamento em acionamentos, inspeo e interfaces de servomecanismos. Tais
servomecanismos obedecem a um procedimento de ao constitutiva denominada sistema de
controle.
Um sistema de controle necessita, basicamente, de um sinal de entrada e de um sinal
de sada. Ademais, o sistema a ser controlado chamado de processo ou planta. O processo
um sistema dinmico, cujo comportamento descrito matematicamente por um conjunto de
equaes diferenciais (sistemas eltricos, mecnicos, termodinmicos, bioqumicos). A
entrada do processo u(t) chamada de varivel de controle ou varivel manipulada (MV) e a
sada do processo chamada de varivel controlada ou varivel de processo (PV). A
filosofia bsica de um sistema de controle consiste em aplicar sinais adequados na entrada do
processo com o intuito de fazer com que o sinal de sada satisfaa certas especificaes e/ou
apresente um comportamento particular. Um problema de controle consiste ento em
determinar os sinais adequados a serem aplicados a partir da sada desejada e do
conhecimento do processo.
Um sistema de controle genrico pode ser visto na Figura 53, abaixo:

Figura 53 - Diagrama em blocos de sistema de controle

r(t)
u(t)
y(t)
70

Em sistemas de controle a malha aberta, um sinal de controle pr-determinado
aplicado na entrada e, logo, em sua sada ser apresentado um comportamento aps um
tempo estimado, que seja relacionado com o pr-estabelecido a planta.



Figura 54 - Controle em malha aberta

Neste tipo de sistema de controle no so utilizadas informaes sobre evoluo do
processo para determinar o sinal de controle a ser aplicado em um determinado instante, ou
seja, o sinal de controle no calculado a partir de uma medio do sinal de sada
(realimentao).
No controle em malha fechada, informaes sobre como a sada de controle est
evoluindo so utilizadas para determinar o sinal de controle que deve ser aplicado ao
processo em um instante especfico. Isto feito a partir de uma realimentao. Em geral, a
fim de tornar o sistema mais preciso e de fazer com que ele reaja a perturbaes externas, o
sinal de sada comparado com um sinal de referncia (set-point) e o desvio (erro) entre
estes dois sinais utilizado para determinar o sinal de controle que deve efetivamente ser
aplicado ao processo.





Figura 55 - Sistema de controle a malha fechada

Assim, o sinal de controle determinado de forma a corrigir este desvio entre a sada
e o sinal de referncia. O dispositivo que utiliza o sinal de erro para determinar ou calcular o
sinal de controle a ser aplicado planta chamado de controlador ou compensador. O
diagrama bsico de um sistema de controle em malha-fechada mostrado na Figura 55
acima. Como descrito anteriormente, neste projeto ser aplicado um sistema malha
fechada, cujo objetivo o controle de velocidade do rob mvel. Para que este controle
torne-se efetivo, necessrio modelar o sistema matematicamente (funo de transferncia).
Processo
(Planta)
Sinal de entrada Sinal de sada
Controlador Planta
Sinal de erro
Sensor
Retroalimentao
Sinal de sada
Sinal de entrada
(valor desejado)
+
-
Comparador
71

2.4.5 Controlador PID (Proporcional-Integral-Derivativo)
[3]



Para a descrio completa do controlador PID, primeiramente h a explanao de cada
controlador constituinte (Proporcional, Integrativo e Derivativo).
No controle proporcional (P), o sinal de controle aplicado a cada instante
proporcional amplitude do valor do sinal de erro:
) ( ) ( t e K t u
p
=

(38)

Assim se, em um dado instante, o valor da sada do processo menor (maior) que o
valor da referncia, e(t) > 0 e(t) < 0, o controle a ser aplicado ser positivo (negativo) e
proporcional ao mdulo de e(t); K
p
definida como a constante proporcional (ganho) e para
a funo de transferncia da ao de controle proporcional temos:
p P
K
s E
s U
s G = =
) (
) (
) (

(39)

No controle Integrativo, o sinal de controle de entrada u(t) aplicado
proporcionalmente integral do sinal e(t):
}
=
t
i
dt t e
T
t u
0
) (
1
) ( (40)

T
i
chamado de tempo integral (reset time) e ajusta a ao de controle integral.
A ao integral tem assim uma funo "armazenadora de energia". Note que, se a
partir de um determinado tempo t
0
o erro igual a zero, e(t) = 0, o sinal o sinal de controle
u(t) ser mantido em um valor constante proporcional a "energia armazenada" at o instante
t.
Este fato permitir, no sistema em malha fechada, obter-se o seguimento de uma
referncia com erro nulo em regime permanente, pois a ao integral garantir a aplicao ao
processo de um sinal de controle constante: r(t) = y(t) e e(t) = 0.
A funo de transferncia da ao integral dada por:
s
K
T s E
s U
s G
i
i
I
= = =
1
) (
) (
) (

(41)

72

Por ltimo, no controle derivativo, a sada do controlador proporcional a taxa de
variao do erro com o tempo. A sada do controle derivativo dada pela equao abaixo:
) ( ) ( t e
dt
d
T t u
d
= (42)

No controle derivativo, como a sada proporcional a taxa de variao do sinal de
erro, e este ser relativamente grande e constante, a ao derivativa ser ineficaz, pois
insensvel a sinais de erro constantes ou de variao lenta e, conseqentemente no
empregado sem as demais aes de controle. A funo de transferncia do controle
derivativo :
d d D
K s T
s E
s U
s G = = =
) (
) (
) (

(43)

Desta forma, a unio destes controladores forma o controlador PID, cuja sada para
a entrada de um erro denotada pela equao 30 e a funo de transferncia pela equao 31
abaixo.
|
.
|

\
|
+
|
|
.
|

\
|
+ =
|
.
|

\
|
+
|
|
.
|

\
|
+ =
} }
) ( ) ( ) ( ) ( ) ( ) ( ) (
0 0
t e
dt
d
K dt t e K t e K t e
dt
d
T K dt t e
T
K
t e K t u
d
t
i p d d
t
i
p
p
(44)
|
|
.
|

\
|
+ + = = s T
s T
K
s E
s U
s G
d
i
p PID
1
1
) (
) (
) (

(45)

2.4.6 Mtodos de obteno dos parmetros K
p
, K
i
e K
d
para o controlador PID


A obteno dos parmetros (sintonia) do controlador em questo discriminada pela
relao entre estabilidade/robustez e velocidade de resposta/desempenho do sistema de
controle estudado. Trata-se de um processo de reduo da variao do tempo de resposta do
sistema.
Com o conhecimento das variveis de controle do processo em anlise, a
determinao da sintonia torna-se majoritariamente simples, em relao aos parmetros
proporcional, integral e derivativo, os quais atendem critrios como:
- Mnima variabilidade do sistema de controle em operao normal;
73

- Mnimo overshoot para mudanas de valores do sinal de entrada (setpoint);
- Rpida atualizao do setpoint em caso de mudana;
- Operao estvel do controlador, submetido a alteraes significativas nos
parmetros do processo (robustez);

Estes critrios de sintonia so demonstrados graficamente atravs da Figura 56
abaixo. So divididos em critrios simples (offset c, overshoot a, tempo de elevao
rt, tempo de assentamento st, razo de queda b/a, freqncia de oscilao 1/wo)
e critrios de desempenho do erro integral (ISE integral de erro quadrtico, IAE integral
de erro absoluto, ITAE integral de erro absoluto peridico).

Figura 56 - Critrios de sintonia

Logo, o procedimento para encontrar os valores ideais da sintonia do controlador :
1. Testes de variao;
2. Identificao do processo;
3. Escolha do mtodo de sintonia;
4. Clculo dos parmetros;
5. Anlise da robustez (estabilidade);
6. Testes de desempenho;

Assim, sero descritos os mtodos de Ziegler-Nichols e Chien-Hrones-Reswick, bem
como os mtodos analticos para sistemas de segunda ordem, abordados para a determinao
dos parmetros do controlador PID.


74

2.4.6.1 Mtodos de Ziegler-Nichols, Chien-Hrones-Reswick e Analticos




Os mtodos de Ziegler-Nichols, introduzidos em 1942, so considerados clssicos e
largamente aplicados atualmente em sua forma original, com algumas modificaes em
situaes dspares. Tais mtodos apresentam um modelo de obteno dos parmetros de
determinado controlador com respostas pr-especficas para sistemas a malha fechada e
diferem em relao natureza da informao sobre a dinmica do processo (planta) que lhes
exigido.
Os referidos mtodos so: Mtodo da resposta ao salto e Mtodo do perodo
crtico. O primeiro, tambm conhecido como mtodo do domnio do tempo, requer o
conhecimento prvio de duas grandezas que caracterizam a resposta ao salto da planta.
aplicvel a sistemas cuja funo de transferncia no possui plos na origem e plos
complexos conjugados dominantes. A resposta ao degrau deste tipo de sistema do tipo
sobreamortecido.
O segundo, denominado mtodo de retroalimentao por rel, requer o conhecimento
prvio de duas grandezas que caracterizam a resposta em freqncia da planta, ou seja,
aplicvel a sistemas em malha fechada com retroalimentao unitria, atravs do ajuste do
ganho do controlador proporcional at um limiar de ganho crtico.
Em ambos devem-se ser notoriamente sabidas algumas informaes de sada da
planta, seja em resposta ao salto ou em freqncia.
Atravs do primeiro mtodo de Ziegler-Nichols, partem as regras de Chien-Hrones-
Reswick, que reduzem o tempo de reposta do sistema, minimizando os efeitos de rudo sobre
o processo.
Para a determinao dos ganhos do controlador, uma vez obtidas as informaes de
sada da planta, so usadas frmulas equacionais originariamente determinadas atravs de
ensaios de processos industriais tpicos que, posteriormente, sofreram modificaes para um
aprimoramento no desempenho final das respostas. Estas podem ser caracterizadas por dois
parmetros: L (atraso aparente) e a (ganho integral equivalente).
Estes parmetros so obtidos traando uma reta tangente curva de resposta no seu
ponto de inflexo, ou seja, o ponto em que a taxa de variao da resposta mxima. Os
parmetros so dados ento pela interseo desta reta com os eixos coordenados.
d
y
t L
d
d
=

(46)
75

d d d
y dt L a = = (47)

onde d o mximo valor da taxa de variao da sada, t
d
o instante de tempo em que
este valor observado e y
d
o valor da sada neste instante.
Assim, na Tabela 12 abaixo, so mostrados os valores de cada parmetro para os
controladores P, PI e PID para o mtodo da resposta ao salto de Ziegler-Nichols e na Tabela
13 estes mesmos valores corrigidos pelo mtodo de Chien-Hrones-Reswick para overshoot
de 0% e 20%.
Tipo de controlador K T
i
T
i

P
a
1
-- --
PI
a
9 , 0
3L --
PD
a
2 , 1
2L
2
L

Tabela 12 - Mtodo da resposta ao salto de Ziegler-Nichols.

Overshoot 0% 20%
Tipo de controlador K T
i
T
i
K T
i
T
i

P
a
3 , 0
-- --
a
7 , 0
-- --
PI
a
35 , 0
1,2T --
a
6 , 0
T --
PID
a
6 , 0
T 0,5L
a
95 , 0
1,4T 0,47L
Tabela 13 - Mtodo de ajuste da resposta ao salto Chien-Hrones-Reswick.

J nos mtodos analticos, as caractersticas dinmicas do sistema so conhecidas, ou
seja, para sistemas de segunda ordem, a sintonia dos parmetros definida pela
determinao da equao caracterstica de segunda ordem pretendida e dos plos que a
caracterizam, assim como a aproximao desta equao para uma de terceira ordem atravs
de um terceiro plo para a determinao entre sada e entrada do sistema a malha fechada.
Maiores informaes sobre a determinao dos parmetros segundo os critrios
adotados por J.G Ziegler e N.B. Nichols podem ser encontradas em seu trabalho (Optimum
Settings for Automatic Controllers)
[28]
.

76

2.4.7 Adaptao do controlador PID analgico a um controlador PID digital (discretizao)


Com o desenvolvimento e a reduo dos custos de hardware, o controle digital
passou a ser uma soluo cada vez mais utilizada na robtica. O controle digital caracteriza-
se pelo uso de um computador e/ou microcontrolador que gera a lei de controle e exerce a
funo de controlador. Controladores digitais so flexveis e as funes do controlador
podem ser obtidas de vrias maneiras. Uma vez projetado o controlador PID contnuo
(analgico), h vrios mtodos para se obter a sua verso discreta (digital)
[29]
. A Figura 57
mostra um controlador PID digital.

Figura 57 - Controlador digital.
[30]



Neste esquema o erro amostrado (e(kT) = r(kT) y
m
(T)) e convertido em uma srie
de pulsos expresso em um cdigo numrico (digital). A funo de transferncia convertida
em equaes de diferenas e implementada como um programa no microcontrolador.
Os sistemas discretos podem ser representados, do mesmo modo que sistemas
contnuos, no domnio do tempo, ou atravs de uma transformao, neste caso a
transformada Z
[29]
. No caso do domnio no tempo, a representao feita por equaes
diferenciais, tambm chamadas equaes recursivas. No caso da representao por uma
transformao, usam-se funes de transferncia discretas, obtidas pela aplicao de
transformada Z.
Os controladores digitais podem ser projetados por emulao, ou seja, um
controlador contnuo projetado e discretizado ou implementado diretamente no domnio Z.
O primeiro mtodo dispensa um estudo mais detalhado de tcnicas de discretizao, j o
segundo mais complexo e tambm mais preciso.


77

2.4.7.1 Controlador Digital PID
[29]



Nesta abordagem partiu-se do projeto de um controlador analgico, desta maneira,
ele ser discretizado usando o mtodo de Euler
[8]
. O controle por emulao via Euler
consiste em fazer o projeto do controlador analgico e em seguida aproximar o sinal de
controle obtido com C(s) atravs do mtodo de Euler, usando a seguinte expresso.
T
kT x kT x
x
) ( ) 1 ( +
~ (48)

A aproximao de Euler poder ser vista na Figura 58.


Figura 58 - Mtodo de aproximao de Euler.
[29]

Nos mtodo de emulao as operaes de derivada e/ou integral executadas pelo
controlador so aproximadas por operaes algbricas com as amostras dos sinais de
entrada/sada do controlador analgico projetado a partir do modelo analgico da planta.
Os controladores discretos so os equivalentes discretos dos controladores
analgicos, desta forma podemos ter controladores do tipo proporcional (P), proporcional
integral (PI), proporcional derivativo (PD) e proporcional integral derivativo (PID). Em
seguida ser feita a anlise da estruturas destes controladores.
A equao que descreve o controlador analgico PID dada por:
|
.
|

\
|
+
|
|
.
|

\
|
+ =
}
) ( ) ( ) ( ) (
0
t e
dt
d
K dt t e K t e K t u
d
t
i p

(49)

78

onde u(t) o sinal de atuao, e(t) o erro entre a sada e a referencia, k
p
, T
d
e T
i
as constantes
proporcional, derivativa e integrativa, respectivamente.A discretizao tem inicio assumindo
que:
T t =

(50)

ou seja, o sinal de atuao dever assumir a seguinte forma:
T t
u(t) T) u( u
=
= = (51)

Para aproximar a equao diferencial deve-se, portanto aproximar cada um dos
termos que a compe.
I) Termo proporcional (P) discretizado:
P P
e K (T e k T) ( P P = = =

(52)

II) Termo derivativo
O termo derivativo pode ser aproximado a partir da expresso de Euler.
) e (e q
T
e e
T k
dt
e(t) d
T k (T D D
D

d P d P 1
1

- =

~ = =

(53)

Esta aproximao pode ser melhor entendida, lembrando que derivar uma funo de forma
simples determinar o inclinao desta em funo de uma determinada quantidade .


Figura 59 - Obteno do termo derivativo.
[29]


Deve-se observar que quanto menor o tempo de amostragem (T) melhor ser o
resultado da derivada.

III) Termo integrativo
79

Parte-se do mesmo princpio utilizado acima; ou seja, pode-se escrever o termo
interativo da seguinte forma simplificada;
I
i
P
T

i
P

e q I T e
T
k
I d ( e
T
k
I(( I + = + ~ = =

}
1
0
1

(54)

Na forma de grfico, tem-se.


Figura 60 - Obteno do termo integrativo.
[29]


O termo integrativo a soma de reas sob a curva do erro. Assim quando aparece o
sinal de erro, a rea sob a curva aumenta em uma razo regular e a sada do controlador deve
tambm aumentar em uma razo regular. O principal problema do termo integrativo reside
no fato que a sada em qualquer instante de tempo proporcional ao acmulo de efeitos do
erro em instantes anteriores.
Na prtica os atuadores apresentam limites. Quando o valor da varivel de controle
atinge o limite mximo (ou mnimo) do atuador, ocorre a saturao do sinal de controle. Este
fato faz com que a malha de realimentao seja de certa forma quebrada, pois o atuador
permanece no seu limite mximo (ou mnimo) independentemente da sada do processo. Se o
controlador com ao integral utilizado, o erro continua a ser integrado (somado) e o termo
integral torna-se grande, ou seja, tende a carregar-se demasiadamente (windup)
[29]
. Assim,
para que o controlador volte a trabalhar na regio linear necessrio que o termo integral se
descarregue (anti-windup). Existem diversas formas de se evitar o windup da ao
integral, tais como: Integrao condicional e Integrao limitada. Esta ltima, a mais simples
das tcnicas de reduo do efeito windup, Tracking anti-windup, que o mtodo clssico e a
tcnica conhecida como back-calculation. Neste trabalho inicialmente optou-se por esta
80

tcnica de reduo de windup. A Figura 61 ilustra o diagrama de blocos de um controlador
PID com anti-windup do tipo back-calulation.


Figura 61 - Controlador PID com anti-windup.
[29]


A tcnica back-calculation funciona da seguinte forma: quando a sada do atuador
satura, o termo integral novamente calculado de forma que seu valor permanea no limite
do atuador. Esta correo feita dinamicamente com uma constante de tempo T
t
.
O sistema deve apresentar uma malha adicional. A diferena entre a entrada e a sada
do atuador constitui um erro e
s
que realimentado entrada do integrador com ganho 1/T
t
.
Note que quando no existe saturao o erro e
s
nulo e, portanto, a malha no tem nenhum
efeito quando o controlador est operando linearmente, ou seja, quando a sada u(t) no est
saturada. Se a saturao e
s
for diferente de zero, o tempo para que a entrada do integrador
chegue zero determinado pelo ganho 1/T
t
, onde T
t
pode ser interpretado como a constante
de tempo que determina o quo rpido a entrada do integrador levada zero. Assim a
seleo do valor de T
t
deve ser cautelosa, pois para pequenos valores de T
t
, apesar de
aparentemente ser vantajosa, deve-se tomar cuidado especialmente com sistemas de ao
derivativa. O que pode acontecer que rudos esprios podem levar a sada do controlador
saturao provocando a atuao rpida da malha anti-windup e tornando a entrada do
integrador indesejavelmente zero. Na prtica, deve-se ter T
t
maior que T
d
e menor que T
i
.
Uma regra emprica sugerida selecionar de acordo com:
d i t
T T T =

(55)

81

Dois aspectos ainda devem ser abordados, o primeiro diz respeito a uma possvel
correo (filtro) no termo derivativo, aplicando-se ao mesmo o sinal de sada e no o sinal de
erro. Diante desta possibilidade dois testes sero feitos; inicialmente o de aplicar o sinal de
sada ao termo derivativo e o segundo a implementao de um controlador PI, evitando
assim problemas com possveis rudos presente no sinal. O segundo diz respeito ao perodo
de amostragem a ser adotado. Neste trabalho ser adotado um critrio prtico

onde devero
ser feitas entre 6 e 20 medidas durante o tempo de subida do sinal de sada (Figura 62).
r r
t
10
1
t )
20
1
6
1
( T ~ =

(56)


Figura 62 - Determinao prtica do perodo de amostragem (T).
[29]


3 RESULTADOS

3.1 Projeto e Construo dos circuitos eltricos

3.1.1 Etapa de Gerenciamento


A organizao estrutural de cada etapa desenvolvida apresenta uma dependncia
direta com o componente principal do projeto (PIC18F4550). No desenvolvimento de cada
perifrico agregado sua respectiva funo junto etapa de gerenciamento, preservou-se a
82

necessidade de adequ-los em posies relevantes e estratgicas na prototipagem do layout
do circuito impresso.
A disposio dos componentes deve ser tal que em lados opostos devem permanecer
as etapas de sensoriamento, comunicao, acionamento e alimentao para a atenuao de
rudos durante a comunicao em rdio-freqncia e a aquisio de sinais pelo encoder.
Os itens e/ou componentes necessrios ao desenvolvimento da etapa de
gerenciamento incluem:
Oscilador externo (cristal 4MHz);
Comunicao RF (mdulo LAIPAC TRF2.4G);
Comunicao USB/USART/ICSP;
Alimentao 9V (baterias), 5V (etapas de acionamento, navegao, comunicao
e microcontrolador), 3V (mdulo LAIPAC TRF2.4G);
Conectores (sockets) para as etapas de Navegao e Acionamento;
Microcontrolador PIC18F4550 em SMD (TQFP44);
A distribuio de pinos/PORT do microcontrolador para com as etapas envolvidas
obedece a seguinte relao:
Oscilador externo: 14-RA6 / 13-RA7(OSC1)
Comunicao:
o ICSP: 38-RB5(PGM) / 39-RB6(PGC) / 40-RB7(PGD) / 1-MCLR(VPP)
o USB: 23-RC4(D-) / 24-RC5(D+) / 18-VUSB
o USART: 25-RC6(Tx) / 26-RC7(Rx)
o RF: 2-RA0 / 3-RA1 / 4-RA2 / 5-RA3 / 6-RA4 / 7-RA5
Sensoriamento: 19-RD0 / 20-RD1 / 21-RD2 / 22-RD3 / 27-RD4 / 28-RD5 / 29-
RD6 / 30-RD7 / 35-RB2 / 36-RB3 / 37-RB4
Acionamento/Potncia: 16-RC1 / 17-RC2 / 33-RB0 / 34-RB1 / 15-RC0
Na Figura 63 abaixo, pode-se observar visualmente tal relao acima descrita.

Figura 63 - Distribuio dos pinos do microcontrolador para cara etapa do projeto.
ICSP
L293
L293
TRF2.4G
XTAL
HCTL2016
HCTL2016
Comunicao
USB / USART
PORTE e VPP
83

Para o desenvolvimento de circuitos eltricos, bem como o layout de circuito
impresso de todas as etapas do projeto, foi usado o software EDA (Electronics Design
Automation) Proteus (Labcenter Electronics), em sua verso 7.2, atravs dos mdulos ISIS e
ARES
[31]
, respectivamente.
O mdulo ISIS (Intelligent Schematic Input System) corresponde criao, edio e
tratamento de circuitos eltricos, os quais podero ser simulados para anlise antes da
execuo e prototipagem do circuito real, seja por matrizes de testes ou placas de circuito
impresso.Abrange uma vasta biblioteca de componentes (discretos e integrados), criao de
netlists para desenvolvimento de circuitos impressos e simulao em tempo real
(instrumentos e programao).
Com isso, foi possvel desenvolver os diagramas eltricos das etapas de
gerenciamento, sensoriamento e acionamento.
Dentro do mdulo ISIS, h um uma ferramenta computacional responsvel pelo
tratamento de sistemas virtuais (VSM) com simulao avanada de circuitos integrados
(microcontroladores e microprocessadores), integrando-os com interface de entrada serial
USART (RS232 e USB), com outros protocolos e programao embarcada. Assim, os testes
de programao no microcontrolador das etapas de gerenciamento, sensoriamento e
acionamento, foram realizados virtualmente, simulando o ambiente desejado destas etapas
com suas respectivas respostas.
Com estes experimentos apresentando resultados satisfatrios, e tendo os diagramas
eltricos de cada etapa em sua verso final, o prximo passo estruturar os layouts para
confeco das placas de circuito impresso.
Na realizao de tal objetivo, utilizou o mdulo ARES (Advanced Routing and
Editing Software). O mdulo ARES corresponde a elaborao do layout, ou circuito
impresso atravs da compilao do diagrama eltrico desenvolvido no mdulo ISIS.
Tal compilao realizada por meio de um controle criptografado denominado
Netlist. Desta forma, a criao e edio de ilhas, trilhas, bordas da placa, mscara e
esmalte de solda podem ser feitos, modelando o layout do circuito, seja manualmente, ou
pelos modos autoplacer e roteamento pelo autorouter.
Assim, uma vez apresentados os mdulos responsveis por cada etapa do projeto,
so ilustradas abaixo, as figuras contendo os layouts em dupla-face e visualizao em 3D da
placa, bem como o diagrama eltrico da etapa de gerenciamento, destacando as conexes
84

com a etapa de comunicao e os conectores que interligam as outras etapas (acionamento e
sensoriamento).











Figura 64 - Layout em dupla-face da etapa de gerenciamento.

Para as placas de circuito impresso em todas as etapas, foi utilizado o compsito fibra
de vidro em dupla-face, com os processos de layout, corroso e soldagem de componentes e
jumpers de forma manual auxiliados pelos trabalhos de preciso dos colegas de graduao
Fbio (sobrenome) no layout da etapa de gerenciamento e Juremir (sobrenome) na tcnica de
soldagem do microcontrolador em invlucro SMD.
A visualizao em terceira dimenso da placa de circuito impresso da etapa de
gerenciamento est representada na Erro! Fonte de referncia no encontrada..


Figura 65 - Visualizao em 3D da placa de circuito impresso da etapa de gerenciamento.
85


Figura 66 - Diagrama eltrico da etapa de gerenciamento.


86

Abaixo, podem-se observar as imagens da placa de gerenciamento, acionamento e
sensoriamento, aps a confeco.











Figura 67 - Placas de gerenciamento, acionamento e sensoriamento, aps a confeco.

Nos itens subseqentes, sero apresentados tambm, os diagramas eltricos, layouts
de circuito impresso e visualizao em 3D das etapas de acionamento e sensoriamento.

3.1.1.1 Alimentao eltrica distinta


A estabilidade da tenso de sada de um bloco retificador com filtro no satisfatria
devido a sua sensibilidade a variaes da tenso de entrada, do valor da carga conectada a
sada, e ondulaes devidas ao processo de filtragem. Torna-se, ento necessrio introduzir
circuitos reguladores, cuja funo melhorar a estabilidade da tenso de sada para um pr-
valor constante, independente de outras flutuaes.
Seguindo tal observao, a alimentao eltrica para este projeto foi dimensionada da
seguinte forma: duas baterias de 9V cada conectadas em paralelo para aumentar o fluxo de
corrente (400mA) na etapa de acionamento, principalmente pelo fato de que os motores so
alimentados pelos drivers Darlington de potncia do circuito integrado L293. Logo, os
atuadores so alimentados uniformemente pelo fluxo de corrente dos referidos drivers.


87











Figura 68 - Diagrama eltrico e baterias em paralelo para a alimentao eltrica de 9V.

Entretanto, houve a necessidade de adequar outra fonte de alimentao distinta,
regulada em 5V atravs do circuito integrado regulador de tenso LM7805
[32]
(Anexo VIII),
que atendesse o fornecimento contnuo e seguro de tenso eltrica para a etapa de
gerenciamento e seus perifricos, na alimentao do microcontrolador e suas sadas
configuradas em V
DD
-0,3V/+0,3V, bem como a alimentao das entradas das portas
direcionais do CI L293 para habilitar o acionamento e inverso dos motores em nveis
compatveis TTL pelas mesmas sadas das portas C e B do microcontrolador.










Figura 69 - Diagrama eltrico e disposio real dos componentes para 5V.

A etapa de sensoriamento (HCTL2016), o encoder HEDS5500 e demais perifricos
como oscilador externo e dispositivos de comunicao serial (etapa de gerenciamento) usam
88

tambm esta mesma alimentao eltrica regulada em 5V. Todavia, para o mdulo de RF
(TRF2.4G) foi dimensionada uma nova regulagem de tenso em 3V especfica deste
dispositivo com o uso de diodos zener BZX55C3V3 para estabilizao da tenso entre 2,7V
e 3,3V e resistores de 1K-W para atenuar o fluxo de corrente.










Figura 70 - Diagrama eltrico para alimentao do mdulo de RF em 3V.

Com trs alimentaes independentes, as etapas de gerenciamento, acionamento
(potncia) e sensoriamento tero fornecimento contnuo, regulado e ajustado em que
problemas de rudo ou aterramento de sinal podero ser evitados, uma vez que uma fonte no
interfere na outra.
3.1.1.2 Modos de comunicao e transmisso de dados


Para a comunicao efetiva do microcontrolador para com os perifricos externos e a
transmisso dos dados tratados por estes com o host, so usados trs tipos ou protocolos, que
se confundem pelo mtodo serial de comunicao, porm com funcionalidades especficas
para cada situao.
Tais protocolos so: USART/USB-CDC e EUSART/RS232 de forma serial e
comunicao via rdio-freqncia atravs do mdulo TRF2.4G da Laipac Technologies.
A Figura 71 ilustra a estrutura da etapa de comunicao com o microcontrolador.

89


Figura 71 - Diagrama funcional da etapa de comunicao entre o microcontrolador e o host.


3.1.1.3 Comunicao USART/USB-CDC
[9]



O microcontrolador PIC18F4550 contm uma mquina de interface serial (SIE) USB
que permite comunicao rpida entre qualquer perifrico externo USB (host) e o
microcontrolador. Tal mquina pode ser interfaceada diretamente na porta de comunicao
USB, usando o transceiver interno.
Internamente, alm do transceiver, h um regulador de tenso de 3,3V para
aplicaes dedicadas.
Algumas caractersticas especiais foram includas para o aprimoramento do
desempenho na velocidade de comunicao. Duas portas de memria no espao alocvel de
90

dados (USB RAM) foram adaptadas para dividir o acesso direto memria entre o ncleo do
microcontrolador e o SIE. Buffers foram tambm estruturados, permitindo ao usurio
programar livremente os pontos finais de memria com o espao da USB RAM.


Figura 72 - Arquitetura interna do mdulo USB.
[9]


Da mesma forma, o fluxo de dados em paralelismo pela SPP (Streaming Parallel
Port) foi desenvolvido para suportar a transferncia ininterrupta de grandes volumes de
dados, como dados isocrnico para os buffers de memria externa.


Figura 73 - Esquema eltrico da conexo USB.



91

3.1.1.4 Configuraes de freqncia de clock para a comunicao USB
[9]



Quando o PIC usado para a conectividade USB, deve dispor de um clock de 6MHz
ou 48MHz para a operao adequada, dependendo do modo de velocidade de transmisso
que ser usado (low-speed e full-speed).
Para este propsito, no modo de velocidade low-speed, o clock derivado da corrente
primria de oscilao e no diretamente do mdulo PLL. Tal dividido por quatro ciclos de
instruo para fornecer a freqncia de 6MHz. Por isso, o microcontrolador pode apenas
usar uma freqncia de clock de 24MHz quando o mdulo USB estiver ativo e a fonte de
controle de clock um os modos de oscilao primrios (XT, HS, EC, com ou sem PLL).
O mdulo USB pode ser ativado em modo assncrono com o ncleo do
microcontrolador e outros perifricos. Isto significa que determinadas aplicaes podem usar
o oscilador primrio para o clock USB, enquanto que o microcontrolador configurado com
outra fonte de clock, porm numa velocidade baixa. Mesmo com esta opo, a freqncia de
clock para o completo funcionamento do circuito era dependente de uma fonte de clock
externa por cristal (4MHz), pois a mxima velocidade de operao para a etapa de
sensoriamento na montagem dos bytes mais e menos significativos da leitura do encoder
necessitava de trs vezes o valor do clock atual.
No Anexo IX h uma nota de aplicao (AN956) da Microchip que explica o
procedimento para a migrao de aplicaes UART RS-232 para aplicaes USART USB,
com poucos recursos de implementao via software. J no Anexo II, adendo 3 (p.166
datasheet) possvel encontrar algumas informaes sobre o funcionamento da mquina de
estados para a interface USB do PIC de forma mais detalhada.


3.1.1.5 USART/EUSART (Enhanced Universal Synchronous Asynchronous Receiver
Transmitter)
[9]



O mdulo USART (Universal Synchronous Asynchronous Receiver Transmitter)
um dos dois mdulos de E/S seriais. Conhecido como SCI (Serial Communication Interface)
pode ser configurado como assncrono full-duplex que pode se comunicar com dispositivos
92

perifricos, tais como: terminal CRT e computadores pessoais. Da mesma forma, pode ser
configurado como sncrono half-duplex para a comunicao de circuitos A/D e D/A, bem
como EEPROMS seriais.
O mdulo EUSART oferece caractersticas adicionais aos sistemas de comunicao
USART. Calibrao e deteco de taxa de amostragem automtica (ABD), paralisao Sync
de recepo e transmissor de 12 bits/caractere de parada so as opes especiais deste
protocolo, o que o fazem ideal para aplicaes em sistemas com barramento LIN (Local
Interconnect Network).
O protocolo EUSART pode ser configurado nos seguintes modos:
- Assncrono (full-duplex);
- Sncrono Mestre (half-duplex) com polaridade selecionvel de clock;
- Sncrono Escravo (half-duplex) com polaridade selecionvel de clock;
Os pinos de configurao do protocolo EUSART so multiplexados com o PortC.
Logo, para a sua devida programao nos bits de registros dos pinos RC6/TX/CK e
RC7/RX/DT/SDO como USART, segue a seqncia:
bit SPEN (RCSTA<7>) deve ser configurado em (= 1);
bit TRISC<7> deve ser configurado em (= 1);
bit TRISC<6> deve ser limpo (= 0) para os modos assncrono e sncrono Mestre ou
configurado em (=1) para o modo sncrono Escravo.

O mdulo de operao EUSART controlado por trs registradores:
Status Transmissor e Controle (TXSTA);



Status Receptor e Controle (RCSTA);



Taxa de amostragem de controle (BAUDCON);


93



Figura 74 - Esquema eltrico da conexo USART.

Neste projeto utilizou-se, primeiramente, o mdulo assncrono (UART). So usadas
duas vias de comunicao: transmisso (TX) e recepo (RX), possibilitando que as
informaes sejam enviadas e recebidas ao mesmo tempo, cada qual na sua via. Neste modo,
foi configurado o padro recomendado de comunicao RS-232, uma vez que a transmisso
de dados ser entre o rob mvel e um computador pessoal (host).
Os dados transmitidos neste modo de comunicao atendem a seguinte configurao:
Sincronizao da prpria via de dados atravs do Baud Rate (velocidade de transmisso);
Tamanho dos dados (intervalo de cada bit) padronizado em 19200bps; Operao em
quantidade simtrica de dados (8 bits de dados - sem paridade - com 1 bit de parada sendo o
tempo de durao de cada byte, normalmente chamado de padro 8N1.
Os pinos de comunicao do PIC18F4550 (RC6/TX/CK) e (RC7/RX/DT) so
controlados diretamente pela UART. A definio do modo e como estes pinos sero
utilizados esto descritos na programao de rotinas.
Devido a diferena dos nveis de tenso entre a interface serial do computador (-12V
e 12V) e do microcontrolador (0V e 5V), utilizou-se o circuito integrado MAX232,
devidamente explanado no item 3.3.2.2.
No Anexo II, adendo 4, podem ser encontradas as informaes dos mdulos seriais
SPP e MSSP (Streaming Parallel Port e Master Synchronous Serial Port) para a configurao
em outros nveis de transmisso serial pela USART.

94

3.1.1.6 Mdulo ICSP (In-Circuit Serial Programming)
[9]



Para a programao do cdigo no microcontrolador, em que este apresenta invlucro
TQFP, cuja solda direta na placa de circuito impresso sem um socket de apoio, foi utilizado
o canal de gravao ICSP (In-Circuit Serial Programming), cuja conexo realizada
mediante a interface serial dos pinos dedicados do microcontrolador a este tipo de gravao e
os circuitos eltricos de todas as etapas so alimentados durante a gravao ICSP. O
processo de gravao do mapa da memria de programa descrito no Anexo II, adendo 5.
O esquema eltrico do conector ICSP, com os pinos usados do microcontrolador e as
chaves de reset e seletora podem ser vistas abaixo na Figura 75.


Figura 75 - Esquema eltrico da conexo ICSP.

O incio da transmisso de dados, seja de leitura ou escrita do cdigo depurado pela
conexo ICSP deve ser realizado com a chave comutadora de trs terminais selecionando os
pinos 2 e 3 (conectados por um jumper) para habilitar a alimentao V
PP
do canal de dados
do microcontrolador e o canal de dados do gravador externo ICSP. J com os pinos 1 e 2
conectados pelo mesmo jumper tem-se a alimentao eltrica funcional de todas as etapas.
Tal processo de seleo de pinos para cada situao pode ser visto na Figura 76.


95

Figura 76 - Seleo dos pinos na chave comutado para a alimentao do canal de dados ICSP e
alimentao eltrica funcional.

Os pinos relacionados para a gravao totalizam 5, sendo o V
PP
, j mencionado como
primeiro pino do conector, seguido pelo canal V
DD
(alimentao eltrica - 5V), GND como a
referncia, alm dos pinos de dados e de clock, PGD e PGC, respectivamente. Alm destes,
deve-se conectar o pino PGM (15) referncia, pois habilitado em nvel lgico baixo (0V).


Figura 77 - Conexo do pino PGM massa (nvel lgico baixo).

Nome do Pino Pino Tipo Buffer Descrio da Funo
MCLR/VPP/RE3 18 E/A ST
Master Clear (Reset). Entrada digital em nvel
lgico 0 para operao adequada do
microcontrolador
Entrada de tenso para a programao ICSP;
RB5/KBI1/PGM 15 E/S TTL/ST
E/S digital bidirecional
Pino de interrupo seletora
Pino de gravao ICSP de baixa tenso.
RB6/KBI2/PGC 16 E/S TTL/ST
E/S digital bidirecional
Pino de interrupo seletora
Pino de gravao ICSP de clock
RB7/KBI3/PGD 17 E/S TTL/ST
E/S digital bidirecional
Pino de interrupo seletora
Pino de gravao ICSP de dados
Tabela 14 - Pinos dedicados para a programao ICSP.
Alm deste tipo de conexo direta para a programao do microcontrolador, com o
encapsulamento TQFP possvel realizar outro tipo de gravao direta (com os pinos
sobressalentes NC), denominada ICD (In-Circuit Debugging). Juntamente com a conexo
ICSP e seus pinos dedicados, formam a funo especial de programao direta Port
ICD/ICSP.
Quando implementado, este Port ativa trs pinos NC para dados, clock e reset, cujas
instrues no sobrepem os pinos de aplicao E/S. Para sua ativao, necessria a
96

configurao do bit ICPRT, cuja funo a mesma para os pinos PGC e PGD, porm com
instrues distintas, conforme mostra a tabela abaixo.

Port usual Port dedicado Pino Tipo Descrio da Funo
MCLR/VPP/RE3 NC/ICRST/ICVPP 33 E/A
Reset e Ativao da programao
ICD/ICSP
RB6/KBI2/PGC NC/ICCK/ICPGC 12 E
Pino de gravao ICD/ ICSP de
clock
RB7/KBI3/PGD NC/ICDT/ICPGD 13 E/S
Pino de gravao ICD/ICSP de
dados
Tabela 15 - Pinos dedicados para a programao ICD/ICSP.

3.1.2 Etapa de Acionamento


Nesta etapa, com uso das ferramentas computacionais ISIS e ARES Proteus, foi
projetado o circuito eltrico responsvel pelo acionamento e potncia dos atuadores do rob
mvel, bem como a prototipagem do layout da placa de circuito impresso.
Nos pinos 2, 7, 10 e 15 do CI L293, so aplicados os comandos de entrada para
acionamento PWM e inverso dos motores. Os pinos 7 e 15 recebem os nveis de tenso dos
pinos RB0 e RB1 do microcontrolador, necessrios para acionar, inverter e parar os motores.
Em nvel lgico 0 os motores giram no sentido horrio, em nvel lgico 1, giram no
sentido anti-horrio e em tri-state os motores param.
Para controlar a velocidade de rotao destes motores, so aplicados nveis de tenso
modulados por largura de pulso (PWM) nas sadas dos pinos RC1/CCP2 e RC2/CCP1 do
microcontrolador para as entradas 2 e 10 do L293.
Todavia, tanto o acionamento por PWM, quanto a inverso dos motores apenas so
possveis com a aplicao do nvel lgico 1 s entradas 1 e 9 do L293 pelo pino RC0 do
microcontrolador. Estes dois pinos tm a funo de habilitar ou desabilitar as portas lgicas
internas responsveis pelas sadas de potncia 3, 6, 11 e 14 do mesmo CI, dependentes da
combinao de nveis lgicos das referidas entradas.
Estes pinos de sada so conectados a dois conjuntos de diodos em associao
srie/paralelo para depois serem conectados aos motores. Estes diodos tm a funo de
proteo do CI contra a corrente inversa gerada pela tenso de sobrecarga dos motores.
A estrutura funcional da etapa de acionamento mostrada na Figura 78.
97



Figura 78 - Diagrama funcional da etapa de Acionamento.

Abaixo, pode ser verificado o diagrama eltrico da etapa de acionamento.

98


Figura 79 - Diagrama eltrico e descrio da configurao usada dos pinos do CI L293.


Figura 80 - Visualizao em 3D e layout em dupla face da etapa de acionamento.

O layout da placa de circuito impresso foi construdo em dupla-face para melhor
aproveitamento do espao da placa e diminuir problemas de trilhas cruzadas. O mesmo
visto na Figura 80 acima, a qual tambm ilustra a visualizao em 3D da placa.
99

Como pode ser visto na figura acima, na parte frontal da placa na visualizao em
3D, observa-se um conector macho para a conexo da placa de acionamento com a placa de
gerenciamento. O uso de tal conector (socket) foi necessrio pela reduo dimensional da
placa de gerenciamento. A placa ps confeco ilustrada na Figura 81.









Figura 81 - Placa de acionamento confeccionada.


3.1.3 Etapa de Sensoriamento


A configurao dos pinos do circuito integrado HCTL2016 para a habilitao da
leitura dos dados pelo encoder explanada a seguir: o pino 2 (CLK) conectado diretamente
sada de clock (OSC2) do microcontrolador para o sincronismo de trabalho com mesma
freqncia (4MHz); os pinos de habilitao de leitura para os bytes mais e menos
significativos, 3 e 4 (SEL e OE), so conectados aos pinos RB2 e RB3 do microcontrolador;
o PORTD do microcontrolador totalmente reservado para a entrada de dados da leitura dos
bytes (pinos D0 D7) aps a devida montagem lgica e para o reincio da pilha de dados do
CI, conectado ao pino RB4 do microcontrolador, o pino 5 (RST) do HCTL2016.
Da mesma forma, a conexo entre o encoder e o HCTL2016 realizada entre os
pinos 6 e 7 (CHB e CHA) deste com os respectivos pinos dos canais B e A do encoder.
A estrutura funcional da etapa de sensoriamento ilustrada na Figura 82.
100


Figura 82 - Estrutura funcional da etapa de sensoriamento.









Figura 83 - Layout e visualizao em 3D da placa de circuito impresso da etapa de sensoriamento.
101

O layout e a visualizao em 3D da placa de circuito impresso so ilustradas acima.
O diagrama eltrico pode ser visto na Figura 84.


Figura 84 - Diagrama eltrico da etapa de sensoriamento com conectores para as demais etapas.

A Figura 85 ilustra a confeco da placa de sensoriamento.


Figura 85 - Placa de sensoriamento confeccionada.

3.2 Obteno dos parmetros do conjunto (motor + redutor + carga)
[2]


3.2.1 Determinao dos parmetros do motor + redutor


Nesta etapa, foram utilizados vrios mtodos para se determinar experimentalmente
os parmetros do conjunto motor+redutor. Esta etapa se faz necessria para posteriores
estudos qualitativos e quantitativos para a anlise da planta
102

Na determinao dos parmetros eltricos e mecnicos do conjunto motor + redutor,
foram realizados os seguintes experimentos.
[2]



3.2.1.1 Determinao dos parmetros eltricos


Neste item, so apresentados vrios mtodos para se determinar experimentalmente
os parmetros do motor CC, os quais so necessrios para posteriores estudos quantitativos e
qualitativos.
1) R
a
Resistncia de armadura: Utilizado o mtodo do Ohmmetro na
caracterizao deste parmetro. Este mtodo consiste na conexo do ohmmetro
aos terminais de armadura do motor CC, cujas vrias posies do eixo do rotor
fornece um valor distinto de resistncia. R
a
= (8,0 0,1) o menor valor para
as medidas.










Tabela 16 - Medidas da resistncia de armadura pelo mtodo do Ohmmetro.

2) K
e
Constante de fora contra-eletromotriz: Com e constantes, tem-se:
) ( ) ( t K t i R v
e a a
e + =

(57)

Portanto, para a determinao do parmetro K
e
necessita-se conhecer principalmente
a relao entre a tenso aplicada e a velocidade angular ().
Medida Ra()
1 (8,0 0,1)
2 (7,9 0,1)
3 (80, 0,1)
4 (8,0 0,1)
5 (8,0 0,1)
6 (8,1 0,1)
7 (7,9 0,1)
8 (8,0 0,1)
9 (7,9 0,1)
10 (8,0 0,1)
11 (7,8 0,1)
12 (8,0 0,1)
13 (8,0 0,1)
103

Os motores usados so da Hobbico, modelo HCAM0149-C160 (servo-motores
alterados para trabalhar como motores CC motor e redutor). As caractersticas da tenso de
trabalho, torque do motor e velocidade de rotao, so vistas pela Tabela 17.

Tenso (V) 4,8V 6,0V
Speed (60) 0,19s 0,16s
Torque 3,06Kgf.cm 3,57Kgf.cm
Tabela 17 - Caractersticas iniciais de trabalho do motor para valores de tenso distintos.

Determinando as velocidades angulares e mudando o torque do sistema de unidade,
tem-se para 1Kgf 9,807N:

Tenso (V) 4,8V 6,0V
Velocidade angular (rad/s) 5,51 6,55
Torque (N.m) 0,30 0,35
Tabela 18 - Caractersticas do motor para valores distintos de torque e velocidade angular.

Determinadas as relaes entre a tenso aplicada e a velocidade angular, a medida da
corrente de armadura foi feita usando-se um multmetro em srie com o circuito eltrico da
etapa de potncia com a fonte de alimentao.
Abaixo, encontram-se os clculos para a determinao da velocidade angular.
60 0,19s
360 x
) 19 , 0 .( 360 60 . = x

(58)
s x 14 , 1 ) 19 , 0 ( 6 ) 19 , 0 (
60
360
= =

=

(59)
s
rad
t
51 , 5
14 , 1
283 , 6
14 , 1
2
= = =
A
A
=
t u
e

(60)

O mesmo procedimento foi feito para outro valor de velocidade, em que K
e

(constante de tenso - f.c.e.m) calculada, considerando a tenso igual a = 6V:
Desconsiderando os mximos e mnimos valores medidos, trabalhou-se com o
seguinte valor mdio: i
m
62,3mA, como pode serobservado na tabela abaixo.

104










Tabela 19 - Medidas de corrente para cada valor de tempo.

Substituindo os valores obtidos, tem-se:
e
a a a
e
I R v
K

= (61)
847 , 0
55 , 6
5516 , 5
55 , 6
4984 , 0 05 , 6
55 , 6
10 . 98 , 4 05 , 6
55 , 6
) 10 . 3 , 62 ( 8 05 , 6
3 3
= =

=

e
K

(62)
rad
s V
K
e
.
847 , 0 =

(63)

A medida da corrente (tabela acima) em funo do tempo tambm foi feita a partir do
motor livre, ou seja, sem a presena do redutor.


3.2.1.2 Determinao dos parmetros mecnicos


1) J Determinao do Momento de Inrcia (J) (Anexo X): Define-se momento de
inrcia (J) de um corpo girando a uma velocidade angular ()
[33]
, como:
}
= dm r J
2
Equao (64)

Onde:
V
m
= , sendo m (massa) e V (volume).
Outra forma de escrever a equao 63 dada por:
i(mA) t(min)
90,0 0
64,2 5
61,3 10
61,7 15
61,6 20
60,9 25
63,7 30
61,3 35
62,8 40
63,9 45
64,3 50
65,6 55
62,6 60
105

}
= dv r J
2
Equao (65)

Assumindo o rotor como uma massa girante em torno de um eixo fixo, isto :

Figura 86 Rotor: Corpo cilndrico girando em torno de um eixo.

Onde m = massa do cilindro, R = raio e = velocidade angular.
Considerando-se a geometria cilndrica suposta para o rotor, o momento de inrcia
pode ser calculado por
[27]
:
2
2
1
mr J = Equao (66)

Os valores experimentais obtidos para a massa (m) e o raio (R) esto listados na
tabela abaixo.
Massa (Kg) Dimetro (m)
3,0749.10
-3
9,25.10
-3

Tabela 20 Medidas da massa e do dimetro.

Portanto, para o motor:
2 3 3
) 10 . 625 , 4 ).( 10 . 075 , 3 (
2
1

= J

(67)
rad
s m N
J
2
8
9 6 3 6 3
. .
10 . 289 , 3
2
10 . 776 , 65
2
) 10 . 4 , 21 )( 10 . 075 , 3 (
2
10 . 10 . 221975 , 14


= = = =

(68)

2) Determinao dos parmetros B e F (motor sem carga e velocidade constante):
Neste caso, o torque eltrico (T
m
= K
t
i
a
)

ter unicamente que superar o torque
resistente esttico devido ao atrito. Assim:

m
R
106

r r m a t
T T T i K F B = = = + e

(69)
F B T
r
+ = e (70)

a t m
i K T = (71)

Balano energtico:
e
r a a a a
T I R I v + =
2
(72)

Perturbao do sistema mecnico:
e
e
r a a
r
T I R
T
+
=
2

(73)

Os valores encontrados para o torque resistivo so:
Torque resistivo Motor e redutor
m N T
r
. 053 , 0 =

(74)

Torque resistivo Motor
m N T
r
. 10 . 78 , 1
4
=

(75)

Como se deseja modelar inicialmente o motor somente, e como alguns valores
obtidos referem-se ao conjunto, deve-se retirar a contribuio devido a reduo nestes
valores. O redutor utilizado neste trabalho tem a seguinte formao:









Figura 87 - Montagem do conjunto redutor.
Motor
Roda
1
2
3
4
5
6
7
8
107

Engrenagens Dentes
n
1
10
n
2
60
n
3
10
n
4
50
n
5
10
n
6
35
n
7
17
n
8
41
Tabela 21 - Relao de dentes por engrenagem do conjunto redutor.

3
8
7
6
5
4
3
2
1
2 1
2
2
1
1
t t t
t t
|
|
.
|

\
|
|
|
.
|

\
|
|
|
.
|

\
|
|
|
.
|

\
|
= = =
n
n
n
n
n
n
n
n
n n
(76)
5 4
4
4
3
3
t t
t t
= =
n n
(77)
7 6
6
6
5
5
t t
t t
= =
n n
(78)
8
8
7
7
n n
t t
= (79)
motor
m
t t =
1
(80)
roda
r
t t =
8
(81)
r r m
t t t
|
.
|

\
|
=
|
.
|

\
|
=
4305
17
41 . 35 . 80 . 60
17 . 10 . 10 . 10
(82)
m
r
t q t . = (83)
Razo de transmisso de engrenagem: . 253 ~ q
Portanto, para o torque: . 253
m r
t t =
Uma relao semelhante pode ser obtida para a velocidade angular ():
m r
e
q
e
1
= (84)
r m
e q e . = (85)

Fazendo as converses para as velocidades angulares:
) 8 , 4 ( 1394 ) 51 , 5 .( 253
1 1
V
s
rad
m
~ = =qe e (86)
108

) 6 ( 1657 ) 55 , 6 .( 253
1 2
V
s
rad
m
~ = =qe e (87)

Para o torque:
m N
r
m
. 10 . 186 , 1
253
30 , 0
253
3 1
= = =
t
t (88)
m N
r
m
. 10 . 38 , 1
253
35 , 0
253
3 2
= = =
t
t (89)

Valores de torque e velocidade:

Tenso (V) 4,8 6,0
(rad/s) 1394 1657
(N.m) 1,19.10
-3
1,38.10
-3

Tabela 22 - Valores de torque e velocidade para as tenses de 4,8V e 6V.

Observao:
m N
r
. 10 . 78 , 1
4
= t Motor
m N
r
. 053 , 0 = t Motor e redutor

Ao acionar o motor CC com uma tenso constante e a vazio obtm-se seu
funcionamento com corrente e velocidade tambm constantes, de acordo com a Equao 55.
A equao mecnica se torna:
r e
t t =
Como,
e
t proporcional a corrente de armadura:
e t a t e
K K i K = = t (90)
m N
e
. 10 . 76 , 52 ) 10 . 3 , 62 .( 847 , 0
3 3
= = t (91)
m N
e
. 053 , 0 ~ t (92)
m N
r
. 053 , 0 = t (93)

Portanto, tem-se: . F B
r
+ = e t
Esta uma equao linear, assim representada:
109

Figura 88 - Representao grfica da equao linear do torque resistivo.

Esta condio aplica-se somente para o motor livre, no tendo validade para o
conjunto motor e redutor.
V V
i R v
K
a a a
e
6 =

=
e
(94)
s V
rad
e
K
.
3
3
10 . 34 , 3
1657
537 , 5
1657
463 , 1 6
1657
) 10 . 2 , 53 ( 7 , 8 6

= =

= (95)
m N
r
. 10 . 78 , 1
4
= t (96)

Medida da corrente de armadura para uma tenso aplicada de 4,8V.

i(mA) t(ms)
48,5 0
45,8 5
45,5 10
45,7 15
45,6 20
45,7 25
45,6 30
... ...
Tabela 23 - Medida da corrente de armadura para uma tenso aplicada de 4,8V.
Observao: Motor livre mA i
a
6 , 45 =
V V
i R v
K
a a a
e
8 , 4 =

=
e
(97)
s V
rad
e
K
.
3
3
10 . 1816 , 3
1394
4352 , 4
1394
3649 , 0 8 , 4
1394
) 10 . 6 , 45 ( 8 8 , 4

= =

= (98)

Logo, para os dois valores de tenso, temos:
110

s V
rad
e
K V V
.
3
10 . 34 , 3 6

= = (99)
s V
rad
e
K V V
.
3
10 . 18 , 3 8 , 4

= = (100)

Considerando-se os erros de medida, os valores se mantm constantes.
2 1
x x
K K ~ (101)
e
2
2 a a a a
r
i R i v
T

= (102)
m N
i
T
a
r
. 10 . 45 , 1
1394
20227 , 0
1394
01663 , 0 2189 , 0 8 )) 10 . 6 , 45 ( 8 , 4 (
4
2 3
2

= =

=
e
(103)

Determinao de B e F (motor livre).
Valores de
r
t (torque resistivo) em funo da velocidade angular.
0 200 400 600 800 1000 1200 1400 1600 1800
0,0
2,0x10
-4
4,0x10
-4
6,0x10
-4
8,0x10
-4
1,0x10
-3
1,2x10
-3
1,4x10
-3
1,6x10
-3


T
O
R
Q
U
E

(
N
.
m
)
Velocidade Angular (rad/s)
TM - Torque no motor
Fit Linear - B = 7,48 e-7
F= 1,43 e-4

Figura 89 - Grfico do torque resistivo em funo da velocidade angular.

3) Determinao de
a
L : A medida abaixo requer (necessita) um sistema de medida e
aquisio de dados. Como no dispomos de tal sistema, uma comparao simples
foi feita, tomando-se como referncias dois motores e o valor de L
a
adotado.
a a a
a
a
a
R L
R
L
t t = = (104)
111

Como:
B
J
m
= t (105)
B
8
3
10 . 744 , 3
10 . 20

= (106)
6 5 3 8
3
8
10 . 872 , 1 10 . 1872 , 0 10 10 . 1872 , 0
10 . 20
10 . 744 , 3

= = = = B (107)
mH L
L
R
a
a
a
e
4 10 . 4 10 . 5 , 0 . 8
3 3
= = = =

t (108)

Para o nosso motor:









Figura 90 - Curva caracterstica da tenso em funo do tempo.

Portanto:
mA L L
L
R R
L
a a
a
ef a
a
a
688 , 0 10 . 8 , 68 ) 6 , 8 ).( 10 . 8 (
6 , 0 8
5 5
= = = =
+
=
+
=

t (109)

Todos os parmetros importantes na caracterizao do motor CC foram obtidos de
forma direta (medidas experimentais), ou forma indireta (obtidas a partir de dados fornecidos
pelo fabricante). A seguir, listam-se os valores dos parmetros encontrados para o motor CC.
Parmetros e constantes identificados:

Parmetro
Valores
Globe Motors EC M-1030 Hobicco HCAM149-
17,5
11,0
6
= 0,08

max
= 17,5mV
0,632
max
= 11,06mV

t(ms)
112

C160
) (O
a
R 3,2 3,18 8
) (mH L
a
4 0,53 0,688
|
|
.
|

\
|
rad
s m N
J
2
. .
3,744.10
-8
2,43.10
-6
3,289.10
-8

) . ( m N F No 1,76.10
-3
1,43.10
-4

|
.
|

\
|
rad
s m N
B
. .
1,87.10
-6
1,5.10
-5
7,48.10
-7

) . ( m N
r
t No No
4,8V1,45.10
-4

6V1,78.10
-4


t
K 23.10
-3
0,11
( )
s V
rad e
K
.
23.10
-3
2,3 3,34.10
-3

) . ( m N
eq
t
2,88.10
-4
No
4,8V1,18.10
-3

6V1,38.10
-3

Dimenses



Tabela 24 - Parmetros e caractersticas identificados dos 3 motores.

Funo de Transferncia:
(

+ +
|
|
.
|

\
|
+ +
(

= =
b a a m b a
m b a e
s s
K
s G
s V
s
t t t t t t
t t t
e
1 1 1 1
1
) (
) (
) (
2
(110)

Onde:
a
a
a
R
L
= t Constante de tempo eltrica
B
J
m
b
= t Constante de tempo mecnica
t e
m a
m
K K
J R
= t Constante de tempo eletromecnica

s
R
L
a
a
a
5 3
3
10 . 6 , 8 10 . 086 , 0
8
10 . 688 , 0

= = = = t (111)
113

s
B
J
m
b
2 7 8
7
8
10 . 4 , 4 10 10 . 44 , 0
10 . 48 , 7
10 . 289 , 3

= = = = t (112)
s
K K
J R
t e
m a
m
2 6 8
6
8
2 3
8
10 . 36 , 2 10 10 . 36 , 2
10 . 1556 , 11
10 . 312 , 26
) 10 . 34 , 3 (
) 10 . 289 , 3 ( 8

= = = = = t (113)

Conjuntos:
A:
8
10 2 5 3
10 . 475 , 1
10 . 788 , 67
1
) 10 . 36 , 2 )( 10 . 6 , 8 )( 10 . 34 , 3 (
1 1
= = =
(


a m t
K t t
(114)
B:
11653 ) 10 . 23 , 0 ( ) 10 . 1163 , 0 (
10 . 4 , 4
1
10 . 6 , 8
1 1 1
2 5
2 5
= + = |
.
|

\
|
+ =
|
|
.
|

\
|
+

b a
t t
(115)
C:
5
7 2 5
10 . 93 , 4
10 . 3 , 20
1
) 10 . 36 , 2 )( 10 . 6 , 8 (
1 1
= = =

a m
t t
(116)
D:
5
7 2 5
10 . 64 , 2
10 . 84 , 37
1
) 10 . 4 , 4 )( 10 . 6 , 8 (
1 1
= = =

b a
t t
(117)

| | | |
5 2
8
5 5 2
8
10 . 57 , 7 ) 11653 (
) 10 . 475 , 1 (
10 . 64 , 2 10 . 93 , 4 ) 11653 (
10 . 475 , 1
) (
+ +
=
+ + +
=
s s s s
s G (118)

Pode-se ainda montar a funo de transferncia para o motor CC por partes, ou seja:
Funo de transferncia Eltrica:
) 10 . 6885 , 0 8 (
1 1
) (
3
+
=
+
=
s L R
s G
a a
(119)

Funo de transferncia Mecnica:
| | ) 10 . 48 , 7 ( ) 10 . 289 , 3 (
1 1
) (
7 8
+
=
+
=
s B s J
s G
m
(120)

Acoplamento:
114

] 1 [ K s T
m
= (121)
3
10 . 34 , 3

= =
e t
K K (122)

Para o Simulink (Diagrama de blocos completo):





Figura 91 - Diagrama de blocos completo para o conjunto motor + redutor.

3.2.2 Motor + redutor + carga
[2,34,35,36]



Para se obter o sistema de controle da posio e da velocidade, primeiramente
modelou-se o conjunto motor + redutor. Neste item, encontra-se o detalhamento das etapas
feitas para a modelagem do conjunto motor + redutor + carga (sistema completo). Para o
controle efetivo deste sistema, projetou-se um controlador PID com o auxlio do Matlab.
Foram feitas ento, simulaes do modelo, e ento discretizou-se o controlador para se obter
a sua forma digital.
O modelo dinmico do rob mvel, quando este acelera, pode ser determinado da
seguinte forma. O torque resultante em uma das rodas dado por:
dt
R d MR
dt
R d
J T
R
e e
2
2
1
+ = (123)

Onde:

R
J o momento de inrcia da roda (Kgm
2
)
M Massa do rob (Kg)
R o raio da roda (m)

R
e Velocidade angular da roda


+
-
K
t

H
1
(s)
V(t)
J(s)
+
-
K
e

H
2
(s)
T
m
(s)

(s)

T
r
(s)
115






2
MV

Figura 92 - Representao do torque em uma das rodas.

Na tabela abaixo, so verificados os valores obtidos a partir de medidas no rob
mvel.
Caractersticas Roda Rob
Massa (Kg) 0,040 0,398
Raio (m) 8,2.10
-2

R
e (rad/s)
Tabela 25 - Medidas do rob mvel.
A partir destes dados (tabela acima) os valores obtidos para os termos J
R
e
2
2
MR
so:
2 4 4 2 2 2 2
10 . 35 , 1 ) 10 . 24 , 67 )( 10 . 2 ( ) 10 . 2 , 8 )( 04 , 0 (
2
1
2
1
Kgm MR J
R

= = = = (124)
2 4 4
2 2 2
10 . 4 , 13 ) 10 . 24 , 67 )( 199 , 0 (
2
) 10 . 2 , 8 ( 398 , 0
2
Kgm
MR

= = = (125)

As rodas e o motor esto acoplados atravs de um conjunto de engrenagens (redutor)
ilustrado na Figura 93 o que confere a este uma relao de torques dada pela equao 126
abaixo:





Figura 93 Ligao das engrenagens das rodas aos motores.

2 1
T T q = (126)
T
2

T
1

()

T
1

R
116

Onde:
Coeficiente de reduo;
T
1
Torque na roda;
T
2
Torque na sada do motor;

Assim, resolvendo a equao 124, tem-se:
dt
R d MR
J T
R
e
q
|
|
.
|

\
|
+ =
2
1
2
2
(127)

Na expresso acima, foram desprezadas as inrcias das engrenagens.
Finalmente, a equao de torque no motor, considerando o conjunto (motor + redutor
+ carga) dada por:






Figura 94 - Torque do motor para o conjunto completo.
r M M
T F t B
dt
t d
J T T + + + = ) (
) (
2
e
e
(128)

Ajustando
2
T para a velocidade de referncia. A relao entre a velocidade da roda e
a velocidade do motor:
e
q
e
1
=
R
(129)

Substituindo em
2
T .
dt
d MR
J
dt
d MR
J
dt
d MR
J T
R R R
e
q
e
q q
e
q q
|
|
.
|

\
|
+
|
|
.
|

\
|
=
|
|
.
|

\
|
+
|
|
.
|

\
|
|
|
.
|

\
|
=
|
|
.
|

\
|
|
|
.
|

\
|
+ =
2
1
2
1 1 1
2
1
2
2
2 2
2
(130)

Definindo:
T
2

T
M

Motor
117

|
|
.
|

\
|
+
|
|
.
|

\
|
=
2
1
2
2
MR
J J
R eq
q
(131)

Portanto:
dt
d
J T
eq
e
=
2
(132)

A equao dinmica para o conjunto completo (motor + redutor+carga) dada por:
r eq eq M M
T F t B
dt
d
J J T + + + + = ) ( ) ( e
e
(133)

Onde:
C M eq
B B B
2
1
q
+ = (134)

Para nosso sistema, consideramos inicialmente 0 =
C
B .
2 8
4
4 4
2
10 . 6 , 1
64009
) 10 . 27 , 10 (
) 10 . 92 , 8 10 . 35 , 1 (
) 253 (
1
Kgm J
eq


= = +
|
|
.
|

\
|
= (135)

Portanto, o Momento de Inrcia total :
2 8 8 8
10 . 89 , 4 10 . 6 , 1 10 . 29 , 3 ) ( Kgm J J J
eq M T

= + = + = (136)


A funo de Transferncia do conjunto:
(

+ +
|
|
.
|

\
|
+ +
(

=
b a a m b a
m m a e
s s
K
s G
t t t t t t
t t t
1 1 1 1
1
) (
2
(137)

Onde:
a
a
a
R
L
= t Constante de tempo eltrica (no sofre alterao)
118

B
J
m
b
= t Constante de tempo mecnica
t e
m a
m
K K
J R
= t Constante de tempo eletromecnica

s
R
L
a
a
a
5 3
3
10 . 6 , 8 10 . 086 , 0
8
10 . 688 , 0

= = = = t (138)
s
B
J
m
b
2 7 8
7
8
10 . 36 , 2 10 10 . 654 , 0
10 . 48 , 7
10 . 88 , 4

= = = = t (139)
s
K K
J R
t e
m a
m
2
6
8
2 3
8
10 . 5 , 3
10 . 1556 , 11
10 . 12 , 39
) 10 . 34 , 3 (
) 10 . 89 , 4 ( 8

= = = = t (140)


Calculando as principais quantidades a serem substitudas na equao de
transferncia:

Conjuntos:
A2:
7
10 2 5 3
10 . 95 , 9
10 . 534 , 100
1
) 10 . 5 , 3 )( 10 . 6 , 8 )( 10 . 34 , 3 (
1 1
= = =
(


a m t
K t t
(141)

B2:
11646 ) 10 . 153 , 0 ( ) 10 . 1163 , 0 (
10 . 54 , 6
1
10 . 6 , 8
1 1 1
2 5
2 5
= + =
|
|
.
|

\
|
+ =
|
|
.
|

\
|
+

b a
t t
(142)

C2:
5
7 2 5
10 . 32 , 3
10 . 1 , 30
1
) 10 . 5 , 3 )( 10 . 6 , 8 (
1 1
= = =

a m
t t
(143)

D2:
5
7 2 5
10 . 78 , 1
10 . 24 , 56
1
) 10 . 54 , 6 )( 10 . 6 , 8 (
1 1
= = =

b a
t t
(144)
119

Logo, a Funo de Transferncia vale:
| |
5 2
7
10 . 1 , 5 ) 11646 (
10 . 95 , 9
) (
+ +
=
s s
s G (145)

Comparando as respostas das funes de transferncia para o motor e para o conjunto
(motor + redutor + carga), tem-se (para uma entrada de degrau unitrio):
Motor:
| |
5 2
8
10 . 57 , 7 ) 11653 (
) 10 . 475 , 1 (
) (
+ +
=
s s
s G
M
(146)





Motor + Redutor + Carga (conjunto):
| |
5 2
7
10 . 1 , 5 ) 11646 (
10 . 95 , 9
) (
+ +
=
s s
s G

(147)





Para uma velocidade angular de
s
rad
5 = e , os critrios so:

- Setting time menor que 2s.
- Overshoot menor que 5%.
- Steady-stage error menor que 1%.

Valores obtidos para a roda da Figura 92:
dt
d MR
J
dt
d MR
dt
d
J T
r
r
r r
r r
e e e
|
|
.
|

\
|
+ = + =
2 2
2 2
(148)
- Para a roda:
>> num = [0 0 ];
>> den = [1 11653 ];
>> sys = tf (num,den);
>> step (sys);

>> num = [0 0 ];
>> den = [1 11646 ];
>> sys = tf (num,den);
>> step (sys);

120

2 4
2
10 . 35 , 1
2
Kgm
MR
J
r

= = (149)

- Para o rob:
2 4
2
10 . 4 , 13
2
Kgm
MR

= (150)
dt
d
T
r
r
e
) 10 . 75 , 14 (
4
= (151)
m
T T q =
1
Equao (152)
m r r
T T T q + =
1
(153)
F B
dt
d
J T F B
dt
d
J T
r m m m r m m m
+ + = = + + = e q
e
q qe e e
e
(154)
F B d J J F B
dt
d
J
dt
d
J T
r m r m eq r m
r
m
r
eq r
q e q e q q e q
e
q
e
+ + + = + + + =
2 2 2 2 1
) ( (155)

- Referncia eixo de sada:
m eq T
J J J
2
q + = (156)
m T
B B
2
q = (157)
F F
r
q = (158)
) ( 10 . 289 , 3
2
. .
8
rad
s m N
m
J

= (159)
253 = q (160)
) ( 10 . 1 , 2 10 10 . 1 , 2 ) 10 . 289 , 3 )( 64009 ( ) 10 . 289 , 3 ( ) 253 (
2
. .
3 8 5 8 8 2 2
rad
s m N
m
J

= = = = q (161)
) ( 10 . 58 , 3 ) 10 . 1 , 2 10 . 475 , 1 (
2
. .
3 3 5 2
rad
s m N
m eq T
J J J

= + = + = q (162)
) ( 10 . 79 , 4 ) 10 . 48 , 7 )( 64009 (
2
. .
2 7 2
rad
s m N
m T
B B

= = =q (163)
m N F F
r
. 0362 , 0 ) 10 . 43 , 1 .( 253 ). 253 (
4
= = =

(164)
0362 , 0 ) 10 . 79 , 4 ( ) 10 . 58 , 3 (
2 3 1
+ + =

r
r
r
dt
d
T e
e
(165)

Funo de transferncia mecnica:
121





Figura 95 - Diagrama de blocos da funo de transferncia mecnica.

V K K
B s J
s H
A
m N
T T
T T
6 6 , 5
) 10 . 3 , 62 (
35 , 0
) 10 . 3 , 62 ( 35 , 0
1
) ( '
.
3
3
= = =
+
=

(166)


Para a roda:
847 , 0
55 , 6
) 10 . 3 , 62 ( 8 05 , 6
) (
) ( ) (
3
=

=

t
t i R t u
K
a a
e
e
(167)

Funo de transferncia:
(

+ +
|
|
.
|

\
|
+ +
(

=
b a a m b a
m m a e
s s
K
s G
t t t t t t
t t t
1 1 1 1
1
) (
2
(168)
Onde:
a
a
a
R
L
= t Constante de tempo eltrica (no sofre alterao)
B
J
m
b
= t Constante de tempo mecnica
t e
m a
m
K K
J R
= t Constante de tempo eletromecnica

s
R
L
a
a
a
5 3
3
10 . 6 , 8 10 . 086 , 0
8
10 . 688 , 0

= = = = t (169)
s
B
J
m
b
2 2 3
2
3
10 . 5 , 7 10 10 . 75 , 0
10 . 79 , 4
10 . 58 , 3

= = = = t (170)

1
r
T

H(s)
) (s e

F
F

122

s
K K
J R
t e
m a
m
3
3 3
10 . 04 , 6
7432 , 4
10 . 64 , 28
) 847 , 0 )( 6 , 5 (
) 10 . 58 , 3 ( 8


= = = = t (171)

Calculando as principais quantidades a serem substitudas na equao de
transferncia:

Conjuntos:
A3:
5
7 2 5
10 . 83 , 1
10 . 63 , 54
1
) 10 . 5 , 7 )( 10 . 6 , 8 )( 847 , 0 (
1 1
= = =
(


a m t
K t t
(172)

B3:
11600 ) 10 . 14 , 0 ( ) 10 . 1163 , 0 (
10 . 5 , 7
1
10 . 6 , 8
1 1 1
2 5
2 5
= + = |
.
|

\
|
+ =
|
|
.
|

\
|
+

b a
t t
(173)
C3:
6
8 3 5
10 . 93 , 1
10 . 944 , 51
1
) 10 . 04 , 6 )( 10 . 6 , 8 (
1 1
= = =

a m
t t
(174)

D3:
5
7 2 5
10 . 55 , 1
10 . 5 , 64
1
) 10 . 5 , 7 )( 10 . 6 , 8 (
1 1
= = =

b a
t t
(175)


A Funo de Transferncia final vale:
| |
5 2
5
10 . 85 , 20 ) 11600 (
10 . 83 , 1
) ( '
+ +
=
s s
s G (176)

E o diagrama de blocos final do conjunto completo com todos os valores calculados
ilustrado na Figura 96.
123


Figura 96 - Diagrama de Blocos completo da planta (motor + redutor + carga).


3.2.3 Obteno dos parmetros e aplicao do controlador PID
[37]



O movimento de translao do rob mvel realizado pelo conjunto (motor + carga).
Desta forma, necessrio um controle a malha fechada deste conjunto. Este sistema de
controle ser atualizado por todos os comandos de movimento que realizam a translao do
rob
Desta forma, para a atualizao correta e desejada dos valores da posio e
velocidade do RM, devem-se obter os parmetros necessrios do controlador aplicados
planta (sintonia do controlador). No caso do controlador PID, os parmetros K
p
, K
i
e K
d
,
respondem respectivamente aos ganhos: proporcional, integrativo e derivativo.
Os mtodos de obteno destes parmetros, estudados e analisados nos itens
anteriores, foram abordados para uma melhor aproximao dos resultados desejados estes
ganhos pelo mtodo experimental
[37]
.
Este mtodo parte da resposta a um degrau unitrio que a planta (FT) submetida. A
partir deste resultado, trabalha-se a funo de transferncia, atravs da incorporao dos
parmetros do controlador PID (K
p
, K
i
e K
d
) at obter a resposta desejada para o sistema em
questo. As principais caractersticas desejadas para a maioria dos sistemas fsicos
trabalhados so:
1) Sistema com mnimo (ou nenhum) sobre-sinal;
2) Tempo de subida rpido;
3) Sistema com mnimo (ou nenhum) erro em regime estacionrio;
124


Para se obter sistemas com estas caractersticas, necessitamos muitas vezes alterar o
comportamento natural dos mesmos, fazendo com que nele atue um controlador (PID). Para
a obteno das caractersticas desejadas ao sistema tratado deve-se atentar aos efeitos de
cada termo do controlador sobre as mesmas.
Um controlador proporcional (K
p
) tem o efeito de reduzir o tempo de subida, embora
nunca elimine o erro em regime estacionrio. Por sua vez, com um controlador integral (K
i
)
pode-se eliminar o erro em regime estacionrio, mas com a gerao de transientes na
resposta. Por fim, um controlador (K
d
) tem o efeito de aumentar a estabilidade do sistema,
reduzindo o sobre-sinal.
A Tabela 26 abaixo resume como cada controlador atua nas principais caractersticas
do sistema.
Controlador Tempo de Subida Sobre-sinal mximo Erro em regime estacionrio
k
p
Diminui Aumenta Pequenas mudanas
k
i
Diminui Aumenta Aumenta
k
d
Pequenas mudanas Diminui Diminui
Tabela 26 - Efeitos de cada termo do controlador para o sistema

A partir destas informaes e da curva de resposta do sistema (planta) a um sinal
degrau unitrio em cadeia aberta, pode-se comear a melhorar esta resposta em funo dos
parmetros K
p
, K
i
e K
d
. A Figura 97 mostra a resposta do sistema descrito anteriormente.


Figura 97 Resposta do sistema ao sinal degrau unitrio em cadeia aberta
125


A figura acima mostra que o sistema apresenta um tempo de subida t
r
0,1s, um em
estado estacionrio praticamente nulo, e um sobre-sinal tambm muito pequeno. Com isso,
de uma maneira simples, o nico parmetro a ser modificado seria o tempo de subida.
Embora o tempo de subida seja o parmetro de interesse neste caso, iremos adicionar
todos os termos do controlador.
- Controlador P (K
p
): reduz o tempo de subida, aumenta o sobre-sinal e reduz o erro
no estado estacionrio. A funo de transferncia em cadeia fechada e em retroao unitria
pode ser escrita como:
p
p
p
K s G
K s G
s G
) ( 1
) (
) (
+
= (177)
Onde G
p
(s) a funo de transferncia do conjunto motor + redutor + carga. Sendo
esta escrita em segunda ordem, temos:
) (
) (
2
b as s
d
s G
+ +
= (178)
(
(

+ +
+ + +
+ +
=
(

+ +
+
+ +
=
+
=
) (
) (
) (
) (
1
) (
) ( 1
) (
) (
2
2
2
2
2
b as s
dK b as s
b as s
dK
b as s
dK
b as s
dK
K s G
K s G
s G
p
p
p
p
p
p
P
(179)
)] ( [ )] ( [
) (
) (
) (
2 2
2
2
p
p
p
p
P
dK b as s
dK
dK b as s
b as s
b as s
dK
s G
+ + +
=
+ + +
+ +
+ +
= (180)

Logo, aplicando K
p
a funo de transferncia, obtm-se o seguinte diagrama de
blocos:





Figura 98 Diagrama de blocos com a aplicao do ganho proporcional K
p
.

A resposta a um degrau unitrio est representada na Figura 99 abaixo, para um valor
de K
p
= 5.
G(s) K
P

R(s)
+
-
H(s)
E(s)
B(s)
E(s) C(s)
126

Time (sec.)
A
m
p
l
i
t
u
d
e
Step Response
0 0.5 1
x 10
-3
0
0.2
0.4
0.6
0.8
1
1.2
1.4


Figura 99 Resposta a um degrau unitrio com K
p
= 5.

Esta resposta revela uma diminuio muito grande (x100) no tempo de subida, apesar
do surgimento de um sobre-sinal alto (40%) e praticamente no alterando o erro em regime
estacionrio. Tal resposta foi obtida usando-se o MATLAB a partir da seguinte rotina.

>> kp=5;
>> a=(9.95e7*kp);
>> e=(5.1e5+a);
>> num=[0 0 a];
>> den=[1 11646 (e+a)];
>> x=0:0.1e-4:10e-4;
>> step (num,den,x)

- Controlador PI (K
i
): reduz o tempo de subida, aumentando o sobre-sinal e tempo de
estabilizao, eliminando o erro em regime estacionrio. O diagrama de blocos pode ser
visto abaixo.







Figura 100 Aplicao do controlador PI.
G(s)
I(s)
R(s)
+
-
H(s)
E(s)

B(s)

E(s)
C(s)
K
P
+
+
E(s)

127


A funo de transferncia dada por:
( ) | |
i p
i p
PI
K s K b s a
K s K d
s G
+ + + +
+
=
) ( 1
] [
) (
2
(181)

A resposta foi obtida segundo a rotina abaixo no MATLAB e o grfico da mesma
resposta a um degrau unitrio ilustrado a seguir.

>> kp=5;
>> a=(9.95e7*kp);
>> e=(5.1e5+a);
>> ki=8000;
>> c=(9.95e7*ki);
>> num=[0 a c];
>> den=[11647 (e+a) c];
>> x=0:0.1e-4:10e-4;
>> step (num,den,x)


Figura 101 Resposta a um degrau unitrio com K
p
= 5 e K
i
= 8000.

A funo de transferncia dada por:
) ( ) (
] [
) (
2
p d
p d
PD
dK b s dK a s
K s K d
s G
+ + + +
+
= (182)

- Controlador PD (K
d
): aumenta a estabilidade do sistema, reduzindo o sobre-sinal. O
diagrama de blocos ilustrado abaixo.
128






Figura 102 Aplicao do controlador PD.


A resposta em cadeia fechada foi obtida segundo a rotina abaixo no MATLAB e o
grfico da mesma resposta a um degrau unitrio ilustrado a seguir.

kp=5;
kd=0.0001;
a=(9.95e7*kp);
b=(9.95e7*kd);
num=[0 b a];
den=[1 (11646+b) (5.1e5+a)];
x=0:0.1e-4:10e-4;
step (num,den,x)
Time (sec.)
A
m
p
l
i
t
u
d
e
Step Response
0 0.5 1
x 10
-3
0
0.2
0.4
0.6
0.8
1
1.2


Figura 103 Resposta a um degrau unitrio com K
p
= 5 e K
d
= 0,0001.

G(s)
D(s)
R(s)
+
-
H(s)
E(s)

B(s)

E(s)
C(s)
K
P
+
+
E(s)

129

- Controlador PID (K
p
, K
i
e K
d
): Sistema com mnimos sobre-sinal e erro em regime
estacionrio, apresentando tempo de subida rpido. O diagrama de blocos, a funo de
transferncia e o grfico gerado a partir da rotina especfica no MATLAB so apresentados
abaixo.







Figura 104 Aplicao do controlador PID.

] ) ( ) ( [
) (
2 3
2
i p d
i p d
PID
dK s dK b s dK a s
dK s dK s dK
s G
+ + + + +
+ +
= (183)
Time (sec.)
A
m
p
l
i
t
u
d
e
Step Response
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
x 10
-4
0
0.1
0.2
0.3
0.4
0.5
0.6
0.7
0.8
0.9
1


Figura 105 Estudo da resposta da planta a um degrau unitrio com ao do PID.

kp=10;
kd=0.001;
H(s)
+
+
+
I(s)
G(s)
D(s)
R(s)
E(s)
B(s)
C(s)
K
P

E(s)
+
-
130

ki=8000;
a=(9.95e7*kp);
b=(9.95e7*kd);
c=(9.95e7*ki);
f=(5.1e5+a);
d=(11646+b);
num=[0 b a c];
den=[1 d f c];
step(num,den)


A figura acima mostra que aps a aplicao do controlador PID ao sistema, o tempo
de resposta (5.10
-5
s) tornou-se muito pequeno, assim como o sobre-sinal e o erro em regime
permanente.


3.3 Implementao em Software (rotinas)

3.3.1 Linguagens/tcnicas de programao e formas de depurao
[38,39,40]



As instrues executadas na memria de programa do microcontrolador usam um
cdigo para sua interpretao correta e seqencial destinada a cada operao nos registros da
memria. Tal cdigo denominado opcode (cdigos de operao).
O microcontrolador PIC18F4550 usa um determinado conjunto de opcodes
especfico tanto famlia 18F quanto ao fabricante (Microchip). Este conjunto denota todas
as operaes que podem ser realizadas em nvel de mquina, utilizando o cdigo binrio na
UCP (Unidade Central de Processamento). Para que estas operaes sejam assimiladas pelo
usurio em baixo nvel, deve-se programar o cdigo-fonte representado em mnemnicos,
como trata a Linguagem Assembly.
A montagem dos mnemnicos em funes opcodes feita pelo montador (assembler)
e a traduo destes realizada aps a devida depurao para a execuo de suas respectivas
instrues na UCP. Estas instrues, aps a converso do cdigo-fonte em opcodes pelo
montador armazenada em um arquivo no formato .hex ou .cod que pode ser gravado na
memria de programa do microcontrolador.
Para a manipulao destes dados, so divididos quatro grupos de instrues:
- Instrues orientadas a byte (registradores);
- Instrues orientadas a bit;
131

- Instrues com constantes ou literais;
- Instrues de controle;
Nesta linguagem de baixo nvel, o usurio trata diretamente com os registradores
especiais e de uso geral (acumulador, literal e arquivo). Da mesma forma, a estruturao do
cdigo feita preservando a hierarquia dos arquivos de biblioteca (includes), diretriz de
variveis (que e define), mapas de execuo na memria (org, cblock e endc), chaves (flags),
constantes e variveis de entrada/sada e demais relativos arquitetura interna de cada
dispositivo de hardware.
Os registradores especiais so: Status, PCON, OPTION, INTCON, PIR1, PCL, PIE1
e PCLATH. Nos dois primeiros, que foram configurados para o tratamento da programao,
seguem suas respectivas descries: Para o registrador status, as funes mais usadas nele
esto relacionadas s operaes matemticas, indicando estouros de registradores de uso
geral (c-carry) e resultados iguais a zero. Para o registrador PCON, sua principal funo
em relao escolha da freqncia do oscilador interno (OSCF) de 37KHz ou 4MHz.


Tabela 27 - Identificadores, registradores e mapa da configurao de bits para o microcontrolador
PIC18F4550.
[9]


Como o desenvolvimento em linguagem de programao em baixo nvel requer um
entendimento especfico e detalhado da arquitetura e estrutura internas do microcontrolador
usado, bem como seu respectivo mapeamento de instrues na configurao individual de
cada registrador na memria de dados, alguns itens tornam-se essenciais sua adoo ou
no, como tcnica de programao: codificao de mnemnicos susceptvel a erros, tamanho
132

do cdigo-fonte e sua respectiva manuteno, traduo e depurao lentas em cdigos-fonte
grandes e complexos, tempo de desenvolvimento longo, escassez de bibliotecas especficas
de determinados fabricantes e no permitir portabilidade para sistemas embarcados de
diferentes arquiteturas. Entretanto, tal linguagem possui maior eficincia e velocidade de
execuo aps a traduo e depurao.
Desta forma, para o desenvolvimento dos cdigos-fonte implementados cada
aplicao do sistema de controle, gerenciamento, acionamento, sensoriamento e suas funes
conjugadas, visando um aproveitamento eficaz destes isentando-os dos empecilhos
apresentados pela linguagem Assembly, utilizou-se a linguagem de programao C, de alto
nvel quando comparada com a anterior.
Esta linguagem foi projetada com o objetivo de tornar mais eficiente a criao do
cdigo-fonte e considervel velocidade de execuo projetado, tornando-o mais limpo e livre
a falhas, apesar de exigir aplicativos de compilao e depurao complexos, alm do alto
grau de portabilidade sem a necessidade do conhecimento da arquitetura interna de cada
dispositivo.
Somente as configuraes de portas lgicas e perifricos do microcontrolador usado
so alteradas significativamente, pois esta mantm uma programao estruturada, com uso
de funes e declaraes dinmicas das variveis usadas no cdigo-fonte.
A relao dos mnemnicos (conjunto de instrues), descrio dos campos de
operao de cdigo e o formato geral das instrues (opcode) para o PIC18F4550 so
detalhadas no Anexo II, adendo 6.
Nos prximos itens sero explanadas todas as configuraes e implementaes de
rotinas para cada etapa em linguagem C atravs da plataforma de desenvolvimento PCWH
(CCS)
[41]
. Este software proprietrio direcionado ao sistema operacional Windows, consiste
em um ambiente integrado de desenvolvimento (IDE) programao em linguagem C com
suporte s famlias mais usuais do microcontrolador PIC. dividido em trs mdulos
compiladores independentes para cada dispositivo.
- PCB: dipositivos de 12 bits (famlias 10F, 12C/F e 16C5X);
- PCM: dispositivos de 14 bits (famlias 14000 e 16C/F);
- PCH: dispositivos de 16 bits (famlia18C/F);

A verso utilizada 4.020 a qual prov algumas caractersticas importantes:
compatibilidade com padro ANSI e ISSO; eficincia considervel no cdigo gerado;
133

diversidade extensa de funes e bibliotecas da linguagem C; grande portabilidade de
cdigo.


3.3.2 Configuraes de diretivas iniciais para a programao e Rotinas de Comunicao
[42]



Neste item, so apresentadas as configuraes em linguagem C iniciais para a
estruturao do cdigo e para a transmisso de dados entre o RM e o host, atravs da
interface serial USART (RS232 e USB).
As rotinas comeam com a insero da diretiva da biblioteca do microcontrolador
usado (#include). Esta diretiva determina ao compilador que anexe ao programa o arquivo
especificado com extenso .h, que define o arquivo de cabealho da arquitetura do
microcontrolador ou definio de variveis, tipos, smbolos e funes teis para aplicaes
especficas do programa.
Para o PIC18F4550: #include<18f4550.h>
Em seguida, h a insero da diretiva interna (#use) para vrias configuraes de uso
em interfaces de especficas, como freqncia de clock (4MHz), taxas de transmisso para
mdulos de comunicao do microcontrolador (UART RS232 em 19220bps para receptor e
transmissor).
Para o PIC18F4550: #use delay(clock=4000000)
#use rs232(baud=19200,xmit=pin_c6,rcv=pin_c7))

Acima,o parmetro xmit=pin_c6 representa o pino 6 do port C como o canal de
transmisso de dados seriais. J o parmetro rcv=pin_c7 representa o pino 7 do port C como o
canal de recepo de dados seriais.
Para a especificao dos estados dos fusveis da palavra de configurao do
dispositivo, usada a diretiva #fuses.
Para o PIC18F450 - USB:
#fuses HSPLL,NOWDT, ,NOLVP,,USBDIV,PLL5,CPUDIV1,VREGEN

Na ordem como aparece, os fusveis denotam: cristal ressoador com PLL habilitado
de alta velocidade, temporizador watchdog desligado, programao por baixa tenso
134

desligada, fonte de clock USB dividida por 2 do PLL, 20MHz de entrada para diviso por 5
do PLL, sem sistema de clock postscaler, regulador de tenso USB habilitado.

Para o PIC18F4550 RS232:
#fuses HS,NOWDT,PUT

Na ordem como aparece, oscilador de alta velocidade, temporizador watchdog
desligado, timer power-up desligado.
Para a comunicao USB, verificam-se abaixo alguns itens essenciais para a
configurao deste modo de transmisso:

#include <usb_cdc.h>
usb_cdc_init();
usb_init();
while(!usb_cdc_connected()) {}
usb_task();
if (usb_enumerated())

No trecho acima, todas as diretivas de funes USB so aplicadas mediante
chamadas de instruo biblioteca usb_cdc.h, passando pelo lao de verificao e
inicializao da conexo USB e chamando a funo de transmisso e recepo.


3.3.3 Rotinas de Acionamento

As rotinas programadas para o acionamento dos motores so:
output_high (PIN_C0); //habilita portas lgicas para o acionamento do L293

//M1 = motor 1; M2 = motor 2;
//M1 anti-horrio e M2 horrio: ambos andam para frente
output_low(PIN_B1); //aciona M2
output_low(PIN_B0); //aciona M1

//M1 horrio e M2 horrio: viram para direita
output_low(PIN_B1);
output_high(PIN_B0);

//M1anti- horrio e M2 anti-horrio: viram para esquerda
output_high (PIN_B1);
output_low(PIN_B0);

//M2 anti-horario / M1 horario: ambos andam pa rsras
output_high(PIN_B1);
output_high(PIN_B0);

135

Para parar os motores, basta configurar o ciclo ativo do PWM em 0.

3.3.4 Rotinas de PM


Para a configurao das diretivas e comandos do PWM no PIC, so inseridas as
funes apresentadas no item 2.2.5. Apesar de somente um dos motores estar acoplado
Etapa de Sensoriamento, este serviu como base para a implementao do PWM para os dois
motores.
Abaixo, so listadas as variveis para estas funes usadas na configurao do ciclo
ativo e para a freqncia de 10KHz com explicaes de cada trecho/funo do cdigo-fonte..

//configurao do timer 2 para a freqncia do PWM em 10KHz
setup_timer_2(T2_DIV_BY_1,99,1); //Timer 2 = 10KHz
setup_ccp1(ccp_pwm); //Configurao CCP1 para o modo PWM
setup_ccp2(ccp_pwm); //Configurao CCP2 para o modo PWM

//valor 0 para o ciclo ativo motores parados
set_pwm1_duty(0);
set_pwm2_duty(0);

A funo setup_timer_2(T2_DIV_BY_1,99,1) configura o Timer2 (TMR2) para gerar uma
freqncia de 10KHz. Esta freqncia do PWM assim configurada: Os microcontroladores
dividem internamente o clock de entrada por 4, ou seja, para um clock externo de 4MHz,
teremos um clock interno de 1MHz e, portanto, ser gerada uma interrupo a cada 1s. a
partir deste tempo de mquina que ser trabalhado o tempo (perodo) do PWM.
Para cada valor de freqncia do PWM (10KHz e 1KHz), tem-se valores mximos e
mnimos diferentes para a varivel valor na diretiva set_pwm1_duty(valor), como segue:
- f
PWM
= 10KHz
Partindo de uma f
CLOCK
= 4MHz, ou seja, 4000KHz e prescaler igual 1, temos:

PWM CLOCK
f f = 400 (184)
CLOCK PWM
T T = 400 (185)

Como os tempos so implementados a partir das interrupes, o T
PWM
total ser
obtido aps 400 ciclos de mquina e, portanto neste caso, a varivel valor assume um
mximo igual a 400. Valor este que pode ser implementado em 8 ou 16 bits.
- f
PWM
= 1KHz
136

Assumindo que T
CLOCK
= 0,25s ou T
CLOCK
= 250ns e um valor de prescaler igual a
4, as interrupes sero geradas a cada perodo de:
s ns T
CLOCK
1 1000 ) 250 ( 4 = = = (186)

Como o perodo do PWM T
PWM
= 10
-3
s, o perodo de T
PWM
total ser:
s s T T
CLOCK PWM
3
10 001 , 0 000001 , 0 1000 1000

= = = = (187)

Assim, aps 1000 ciclos de mquina (interrupes) ser obtida a freqncia do
PWM. Neste caso, a varivel valor assume o seu mximo igual a 1000, o que pode ser
implementado em 8 ou 16 bits.
Logo abaixo, h o trecho da funo responsvel pelo acionamento dos motores e
valores pr-determinados em cada situao de orientao quanto direo e sentido do RM.

//M1 = motor 1; M2 = motor 2;
//M1 anti-horrio e M2 horrio: ambos andam para frente com duty cycle = 400
output_low(PIN_B1); //aciona M2
output_low(PIN_B0); //aciona M1
set_pwm1_duty(400);
set_pwm2_duty(400);
//M1 horrio e M2 horrio: viram para direita com duty cycle = 200
output_low(PIN_B1);
output_high(PIN_B0);
set_pwm1_duty(200);
set_pwm2_duty(200);
//M1anti- horrio e M2 anti-horrio: viram para esquerda com duty cycle =200
output_high (PIN_B1);
output_low(PIN_B0);
set_pwm1_duty(200);
set_pwm2_duty(200);
//M2 anti-horario / M1 horario: ambos andam para trs com duty cycle = 400
output_high(PIN_B1);
output_high(PIN_B0);
set_pwm1_duty(400);
set_pwm2_duty(400);


3.3.4.1 Clculos do ciclo ativo do PWM em perodos de 10KHz e 1KHz


Testes foram feitos para confrontar a teoria a respeito da configurao da freqncia
do PWM, assim como do ciclo ativo. Tambm verificou-se como a tenso mdia do PWM
varia em funo da fonte de alimentao.
Os resultados so apresentados abaixo.
137

- T
PWM
= 100s = 0,1ms:
KHz f
PWM
10 10
10
1
10 10
1
4
4 6 2
= = =

=

(188)

Portanto, o valor mximo do ciclo ativo ser 400 (set_pwm1_duty(400)). A Figura 106
abaixo mostra o esquema eltrico usado neste experimento.


Figura 106 Esquema eltrico utilizado para verificar T
PWM
, T
ON
e V
M
.

Na Tabela 28 encontram-se os valores obtidos para os parmetros T
ON
, V
M
e os
valores do parmetro valor calculados de 50 em 50 ciclos de mquina.

set_pwm1_duty(valor) T
ON
(s) V
M
(V)
0 0 0.552
50 12 0,740
100 24 1.560
150 40 2,572
200 48 3,561
250 60 4,587
300 72 5,603
350 88 6,619
400 100 7,750
Tabela 28 Valores dos parmetros T
ON
, V
M
e valor.

Observa-se na tabela acima que apesar do tempo T
ON
estar coerente com T
PWM
, o
valor da tenso mdia no atinge o valor total (8V) de entrada. O valor final obtido
138

aproximadamente 97% do valor de entrada. Outra preocupao o nmero limitado de
incrementos possveis no parmetro valor, o que restringe o controle de velocidade do RM.

- T
PWM
= 10
-3
s e, portanto, f
PWM
= 1KHz:
O valor mximo do ciclo ativo ser 1000 (set_pwm1_duty(1000)). Na tabela abaixo encontram-
se os valores obtidos para os parmetros T
ON
, V
M
e os valores do parmetro valor
calculados de 50 em 50 ciclos de mquina.

set_pwm1_duty(valor) T
ON
(s) V
M
(V)
50 40 0,631
100 100 1,034
150 140 1,227
200 200 1,470
250 240 1,553
300 300 1,766
350 340 1,943
400 400 2,142
450 440 2,312
500 500 2,464
550 540 2,502
600 600 2,620
650 640 2,790
700 700 2,948
750 740 3,118
800 800 3,288
850 840 3,458
900 900 3,638
1000 1000 3,858
Tabela 29 - Valores dos parmetros T
ON
, V
M
e valor para freqncia de 1KHz.

Observa-se que a V
M
muito baixa em relao tenso de entrada (8V). Isto se deve
ao fato da baixa carga nas baterias, as quais foram mensuradas na metade do valor de
entrada. Sendo assim, com ambas as baterias carregadas em 8V, a V
M
seria igual a V
M
=
7,716V correspondente ao valor do ciclo ativo em 1000.
Desta forma, trabalhar com estas configuraes na freqncia do PWM em 1KHz
melhora o desempenho no controle da velocidade do RM. Este fato revela porque adotou-se
a f
PWM
= 1KHz.


139

3.3.5 Rotinas de Leitura dos dados pelo encoder/HCTL


Nas rotinas de leitura dos dados pelo encoder, so descritos o modo de nvel lgico
para o PORTD e as linhas de comando necessrias para a leitura e montagem do byte mais
significativo e menos significativo.

set_tris_d(0b11111111); // configuracao INPUT para o portD

//funcao para reiniciar HCTL2016
void reset_hctl()
{
output_low(PIN_B4); // !RST ativo; Limpeza na pilha de leitura
delay_ms(5);
output_high(PIN_B4); // normaliza a leitura do HCTL
} // fim reset_hctl()

//funo de leitura dos bytes
output_low(PIN_B3); // OE em nivel logico 0
//inicia leitura do byte alto
output_low(PIN_B2); // SEL em nivel logico 0

void leitura()
{
output_low(PIN_B3); // coloca OE em nivel logico baixo (0) (SEL esta em 0)
output_low(PIN_B2); // SEL em nivel logico baixo
delay_ms(500);
//OE permanece 500ms iniciais em baixa para a ativacao da leitura (MSB)
//SEL permanece 500ms em baixa para a leitura do MSB
dado1 = input_d();
printf("Port D total MSB = %d\r\n", dado1);
d0 = input_state(PIN_D0);
printf("Port D 0 alto = %u\r\n", d0);
d1 = input(PIN_D1);
printf("Port D 1 alto = %u\r\n", d1);
d2 = input(PIN_D2);
printf("Port D 2 alto = %u\r\n", d2);
d3 = input(PIN_D3);
printf("Port D 3 alto = %u\r\n", d3);
d4 = input(PIN_D4);
printf("Port D 4 alto = %u\r\n", d4);
d5 = input(PIN_D5);
printf("Port D 5 alto = %u\r\n", d5);
d6 = input(PIN_D6);
printf("Port D 6 alto = %u\r\n", d6);
d7 = input(PIN_D7);
printf("Port D 7 alto = %u\r\n", d7);

output_high(PIN_B2); //coloca SEL em nivel logico alto (1) LSB
delay_ms(500);
//OE permanece mais 500ms em baixa para a ativacao da leitura (LSB)
//SEL permanece 500ms em alta para a leitura do LSB
dado2 = input_d();
printf("Port D total LSB = %d\r\n", dado2);
140

d0 = input(PIN_D0);
printf("Port D 0 baixo = %u\r\n", d0);
d1 = input(PIN_D1);
printf("Port D 1 baixo = %u\r\n", d1);
d2 = input(PIN_D2);
printf("Port D 2 baixo = %u\r\n", d2);
d3 = input(PIN_D3);
printf("Port D 3 baixo = %u\r\n", d3);
d4 = input(PIN_D4);
printf("Port D 4 baixo = %u\r\n", d4);
d5 = input(PIN_D5);
printf("Port D 5 baixo = %u\r\n", d5);
d6 = input(PIN_D6);
printf("Port D 6 baixo = %u\r\n", d6);
d7 = input(PIN_D7);
printf("Port D 7 baixo = %u\r\n", d7);
printf("\n");

//desabilita hctl para leitura
output_high(PIN_B3); // OE em nivel logico 1
//OE permaneceu 1000ms em baixa para a leitura completa (MSB e LSB)
}

3.3.6 Rotinas do controlador PID


Para a rotina do controlador PID implementado, seguem os textos como referncias
(Controlador PID digital de velocidade de um motor de corrente contnua)
[43]
e o Anexo
XI (AN937: Impementing a PID Controller using a PIC18 MCU) como orientao para a
aplicao da correo das variveis ao nosso problema com aprimoramento do cdigo-fonte
abaixo.
void pid()
{
wdes = 6; //velocidade angular desejada em 6 radianos por segundo
kp = 10; //valor do termo proporcional desejado
ki = 8000; // valor do termo integral desejado
kd = 0.001; //valor do termo derivativo desejado
watual = (2*3,141516*conta_pulsos)/250; // velocidade angular atual gerada pelo encoder
printf("Velocidade angular atual gerada pelo encoder = %u\r\n", watual);

erro = wdes - watual; // erro igual a velocidade desejada menos a velocidade atual
printf("Diferenca entre as velocidades desejada e atual = %u\r\n", erro);

//Calculos referentes aos termos do PID desejados e atuais
t_p = (erro * kp)/10; // termo proporcional
printf("Termo proporcional = %u\r\n", t_p);

aatual = ((erro + erro_ant) / 2) * dt; // soma dos erros multiplicado pelo tempo
aatual = aatual + area_ant; // rea atual sob a curva
t_i = (aatual * ki)/10; // termo integral
printf("Termo integral = %u\r\n", t_i);

141

derr = (erro erro_ant) / dt
t_d = derr * kd; // termo derivativo
printf("Termo derivativo = %u\r\n", t_d);

calc_pid = t_p + t_i + t_d; // calculo PID
printf("Calculo do PID = %u\r\n", calc_pid);

set_pwm1_duty(calc_pid); // funo que altera o ciclo de trabalho
set_pwm2_duty(calc_pid); // funo que altera o ciclo de trabalho
erro_ant = erro; // erro anterior recebe erro atual
area_ant = aatual; // area anterior recebe area atual
conta_pulsos = 0; // zera a varivel responsvel pela velocidade do motor
}

O cdigo-fonte, com todas as rotinas segmentadas e comentadas anteriormente, pode
ser visto no Anexo XII.

4 CONCLUSES


O projeto desenvolvido atendeu a maioria das expectativas quanto s etapas de
construo fsica estrutural, circuitos eletrnicos e aplicao de tcnicas de controle de
velocidade ao problema de posicionamento. Com isso, relata-se abaixo, uma anlise de
alguns estgios que precisaram de correes e aprimoramentos.

Estrutura fsica

- Plataformas

O dimensionamento do comprimento e largura da plataforma secundria, a
qual comporta a placa de circuito eltrico de gerenciamento, possui em sua fixao
com a primeira plataforma (integra os dois apoios de TEKNILL) quatro barras
cilndricas com parafusos, os quais no tm um nivelamento adequado nas cabeas,
para permanecer no mesmo plano que a segunda plataforma.
Logo, para a adequao da placa de circuito eltrico de gerenciamento
devem-se colocar espaadores de velcro e adicionar isolantes nos parafusos para
interromper qualquer suspeita de curto-circuito.

Eletrnica
142


- Etapas de Gerenciamento, Acionamento e Sensoriamento:

Na alimentao com a converso do nvel de tenso de entrada de 9V para
5V, usando o CI 7805, havia solda fria e o prprio regulador de tenso apresentava
defeito na converso causando sobreaquecimento.
O mesmo foi trocado por um novo devidamente testado e com a adio de um
dissipador de calor.

Para todos os capacitores cermicos e o eletroltico foram refeitas as soldas
em seus respectivos terminais.

A conexo ICSP tambm apresentou avarias. A primeira, em relao ao tipo
de conector macho que alocado na etapa de gerenciamento, no se adequava
corretamente ao conector fmea para a comunicao com a gravadora. A segunda,
decorrente ao processo de solda em dupla face, tornando-a difcil de ser aplicada,
pois no havia uma maneira malevel de no comprometer o conector no ato da
aplicao do estanho para cada terminal.
Todos os terminais foram estanhados e o conector trocado para melhorar o
posicionamento deste quanto solda de cada terminal. Testes de continuidade foram
realizados confirmando a eliminao de rudos e os problemas acima.

Para todos os jumpers foram refeitas as soldas e verificadas as conexes de
uma face para outra, eliminando qualquer problema intrnseco conectividade da
placa principal.

Para a comunicao USB, verificou-se que alm de dispor de pouca literatura
do fabricante em mtodos prticos para sua implementao no referido PIC,
observou-se a necessidade de troca do cristal (oscilador externo) de 4MHz para
20MHz, e com uso do fusvel PLL para seu aumento em at 48MHz, para a alta
conectividade da transmisso de dados. Da mesma forma, colocou-se um capacitor
cermico multicamadas de 470nF para estabilizar a conexo e resposta dos dados.
Este capacitor conectado entre a alimentao de 5V e 0V (terra).
143


Os conectores para as etapas de acionamento e sensoriamento foram trocados
por outros adaptados de cabos com uma melhor rigidez na conexo entre seus
terminais do conector macho e conector fmea. Esta necessidade deu-se ao fato de
que o primeiro conector outrora adaptado emitia falhas na conexo dos terminais,
ocasionando mau-contato para com os sinais de alimentao e dados.

Para a alimentao e sinais de dados do mdulo de RF houve a necessidade da
adio de resistores entre os terminais de entrada e sada do PIC para os diodos
Zener, atenuando o nvel de corrente entre o PIC e o mdulo e sua estabilizao na
conexo.

Na placa de sensoriamento, adicionaram-se resistores pull-down para nivelar
os nveis de tenso em baixa que o circuito integrado HCTL2016/7 enviava s
entradas de PIC, certificando tais nveis como 0V, e atenuando rudos da massa.

No mesmo circuito integrado HCTL2016, o terminal de dados D6 (sada)
apresentou defeito e tal CI foi substitudo por um similar (HCTL2017), o qual
apresenta a mesma configurao interna de hardware e pinagem, porm com limite
de freqncia de clock mais elevada que o primeiro, sendo de 33MHz.

O conector DB9 soldado na placa de gerenciamento tambm foi substitudo
por um de mesma caracterstica, porm fmea, sendo que o anterior necessitava de
um adaptador extensor para posterior conexo com o host.

Na placa de gerenciamento, onde se localiza a fonte de energia, no foi
adotado um LED indicativo de ligao, passando por um resistor aps a regulagem
de 5V, o que viabilizaria a confirmao visual de pleno funcionamento do CI
LN7805.

Para os prximos estudos e aplicaes destas etapas com suas devidas correes, h o
interesse em implementar outros controladores PID para, alm do controle de velocidade,
obter um melhor desempenho no controle de posio angular e acelerao do rob mvel.
144

5 REFERNCIAS BIBLIOGRFICAS



[1]
CAPEK, K; R.U.R. (Rossum's Universal Robots), 1920.

[2]
OLIVEIRA, Vilma A; AGUIAR, Manoel L; VARGAS, Jerson B. Sistemas de Controle:
Aulas de Laboratrio. EESC USP, So Carlos SP, 2005.
[3]
OGATA, Katsuhiko. Engenharia de Controle Moderno. 3. Ed. Rio de Janeiro: LTC, 2000.
[4]
MALVINO, Albert Paul. Eletrnica, v. 1. 4. ed. Sao Paulo: Makron Books do Brasil,
1997.
[5]
MALVINO, Albert Paul. Eletrnica, v. 2. 4. ed. Sao Paulo: Makron Books do Brasil,
1997.
[6]
CAPUANO, Francisco Gabriel; MARINO, Maria Aparecida M. Laboratrio de
eletricidade e eletrnica. 13. ed. So Paulo: rica, 1997.
[7]
PAZOS, F., Automao de Sistemas e Robtica, Axel Books do Brasil Editora Ltda,
2002.
[8]
REIS, Flvio L C., OTTONI, Marcelo B.. Simulao em tempo real de um acionamento
eletromecnico controlado. Projeto de Graduao, Universidade de Braslia DF, Faculdade
de Tecnologia, 2007.
[9]
Datasheet PIC18F4550 <ww1.microchip.com/downloads/en/DeviceDoc/39760d.pdf>
(Microchip Technology). Acesso em: 16 de setembro de 2007, s 14:20:56.
[10]
Datasheet L293 <www.st.com/stonline/books/pdf/docs/1328.pdf> (STMicroelectronics).
Acesso em: 16 de setembro de 2007, s 15:30:06.
[11]
LEONHARD, Werner. Control of Electrical Drives. Berlin: Springer, 2001.
[12]
CROWDER, Richard M.. Electrical Drives and Their Controls. Oxford, UK: Oxford
Science Publications, 1998.
[13]
HOLMES, Grahame D.. Pulse width modulation for Power converters. Hoboken, NJ:
John Wiley, 2003.
[14]
HSU, Hwei P. Teoria e problemas de sinais e sistemas. Porto Alegre: Bookman, 2004.
[15]
HAYKIN, Simon; VEEN, Barry Van. Sinais e sistemas. Porto Alegre: Bookman, 2002.
[16]
CAPELLI, Alexandre. Encoder. Revista Saber Eletrnica n 329, p. 4-6, Junho 2000.
[17]
WYATT, Tom. <www.designworldonline.com/ArticleDetails.aspx?id=708> (Design World).
Acesso em: 17 de julho de 2007, s 10:70:57.
145


[18]
Encoder absoluto <www.yusari.co.id/image-product/img352.jpg>. Acesso em 06 de maio de
2007, s 22:21:09.
[19]
Datasheet Encoder HEDS5500 <www.datasheetsite.com/datasheet/HEDS-5500> (Agilent
and Avago Technologies / Hewllet Packard). Acesso em: 14 de outubro de 2007, s
21:45:34.
[20]
Encoder HEDS <www.linengineering.com/site/products/e2.htm>. Acesso em: 02 de junho de
2007, s 09:46:13.
[21]
Datasheet HCTL2016 <www.datasheetcatalog.org/datasheet/hp/HCTL-2000.pdf> (Agilent
Technologies / Hewllet Packard). Acesso em 23 de maio de 2007, s 17:14:49.
[22]
Datasheet Laipac TRF2.4G <www.sparkfun.com/datasheets/RF/RF-24G.pdf> (Laipac
Technologies). Acesso em: 24 de agosto de 2007, s 10:54:32.
[23]
STRANGIO, Chris <www.camiresearch.com/Data_Com_Basics/RS232_standard.html> (The
RS232 Standard). Acesso em 05 de setembro de 2007, s 19:00:43.
[24]
Datasheet MAX 232 <www.datasheetcatalog.org/datasheet/texasinstruments/max232.pdf>
(Texas Instruments). Acesso em 05 de setembro de 2007, s 08:21:29.
[25]
USB.org <www.usb.org/developers/devclass_docs/usbcdc11.pdf> (USB CDDC - Classes de
Definies para Dispositivos de Comunicao). v.1.1; 19 de janeiro de 1999.
[26]
Hobbico CS-60 <www3.towerhobbies.com/cgi-bin/wti0001p?&I=LXCTZ8>. Acesso em: 09
de julho de 2007, s 09:43:21.
[27]
ROSRIO, Joo M. Princpios de Mecatrnica. Prentice Hall, So Paulo, 2005.
[28]
ZIEGLER, J. G., NICHOLS, N. B.. Optimum Settings for Automatic Controllers Taylor
Intrument Companies. New York, 1942.
[29]
DAS 6653. Tcnicas Anti-Windup para Controladores PID. Universidade de Santa
Catarina SC, 2005.
[30]
Igncio Penarrocha, seminrio, 2006.
[31]
Labcenter Electronics Proteus; v.7.2, sp6 <www.labcenter.co.uk/index.cfm> (Mdulos
ISIS/ARES), 2008.
[32]
Datasheet LM7805 <www.datasheetcatalog.org/datasheets/228/390068_DS.pdf> (Fairchild
Semiconductor). Acesso em 07 de junho de 2007, s 23:43:20.
[33]
DISTEFANO, J. Sistema de Retroao e controle. So Paulo: McGraw-Hill, 1972.
[34]
DORF, Richard C; BISHOP, Robert H. Sistemas de controle modernos. 8. ed. Rio de
Janeiro: LTC, 2001.
146


[35]
CARVALHO, J. L. Martins de. Sistemas de controle automtico. Rio de Janeiro: LTC,
2000.
[36]
NISE, Norman S. Engenharia de sistemas de controle. 3. ed. Rio de Janeiro: LTC, 2002.
[37]
PID Tutorial <www.engin.umich.edu/group/ctm/PID/PID.html> (Carnegie Mellon Courses -
Control Tutorials for MATLAB), em 26 de agosto de 1997.
[38]
PEREIRA, Fbio. Microcontroladores PIC: programao em C. 4. ed. So Paulo: rica,
2005.
[39]
DOMINGUES, Alvaro Alipio Lopes. A Linguagem Assembly. Sao Paulo: Icone, 1986.
[40]
DORFMAN, Len. Linguagem assembler orientada para objeto. So Paulo: Makron
Books do Brasil, 1992.
[41]
CSS PCWH Compiler Software <www.ccsinfo.com> (Mdulos IDE. PCB, PCM,
PCHv.4.020), 1994-2009.
[42]
CLARK, Dennis. Programming and customizing the OOPic microcontroller: the official
OOPic handbook. New York: McGraw-Hill, 2003.
[43]
ZORZI, Cleber. Controlador PID digital de velocidade de um motor de corrente contnua.
Trabalho de concluso de Curso Universidade de So Francisco, 2004.

S-ar putea să vă placă și