Sunteți pe pagina 1din 13

6. CIRCUITE SECVENŢIALE SINCRONE.

6.1. AUTOMATE DE STĂRI

Circuitele secvenţiale sincrone (CSS) se caracterizează prin faptul că ele utilizează un semnal
de ceas comun pentru controlul tuturor operaţiilor efectuate. Circuitele secvenţiale sincrone sunt
realizate din circuite logice bistabile active pe front şi din circuite logice combinaţionale. În cele ce
urmează vom nota variabilele de intrare prin xi, i = 0,1,..., m-1, variabilele de stare prin Q j, j =
0,1,...,s-1, şi variabile de ieşire prin y k, k = 0,1,..., p-1. În general, vom considera stările CLS ca
fiind ieşirile bistabililor care fac parte din aceste circuite. Intările bistabililor, numite excitaţii sunt
furnizate de circuitele logice combinaţionale care prelucrează atât intrările primare xi cât şi stările
curente qj . Deci starea următoarea depinde atât de starea curentă cât şi de intrarea curentă. Ieşirea
CSS poate să depindă atât de starea curentă cât şi de intrarea curentă prin CLC de ieşire. Un astfel
de CSS se numeşte automat Mealy (Fig. 6.1). Dacă ieşirea CSS depinde doar de stare, atunci CSS
se numeşte automat Moore (Fig. 6.2).

x
E
CLC1 BB CLC2
Q y

clock

Fig. 6.1. Automat Mealy.

Semnificaţia notaţiilor din figurile precedente sunt următoarele: x – mărime de intrare, CLC 1 –
circuit logic combinaţional pentru stabilirea mărimilor de excitaţie, E – mărimi de excitaţie pentru
intrările bistabilior CSS, BB – bistabili, CLC 2 – circuit logic de ieşire, Q – mărimi de stare, y –
mărimi de ieşire.

x
E
CLC1 BB CLC2
Q
y

clock

Fig. 6.2. Automat Moore.

Un circuit logic secvenţial este descris de funcţiile de tranziţie ele stărilor şi ale ieşirilor.
Reprezentarea acestor funcţii poate fi făcută prin grafuri de tranziţie (diagrame de stări), tabele de
tranziţie (tabele de stări), organigrame.

165
Reprezentarea prin grafuri de tranziţie presupune că fiecărei stări i se asociază un nod marcat
printr-un cerc (Fig. 6.3 şi Fig. 6.4) şi fiecărei tranziţii i se asociază un arc orientat, de la starea
curentă la starea următoare.

Fig. 6.3. Diagrama de stări pentru automatul Mealy.

Fig. 6.4. Diagrama de stări pentru automatul Moore.

În cazul automatului Mealy, în interiorul unui cerc se trece numele stării sau reprezentarea
acestuia în codul ales pentru codificarea stărilor. Pe arcul orientat se marchează intrarea ce a cauzat
schimbarea stării şi ieşirea corespunzătoare stării curente şi intrării. De exemplu, în Fig. 6.3 este
prezentată o diagramă de stări pentru un automat Mealy cu şase stări, o intrare şi o ieşire.
În cazul automatului Moore, în cercul ce reprezintă starea se trec numele stării (sau codul ei)
şi ieşirea corespunzătoare. Pe arcul orientat se marchează intrarea ce a cauzat schimbarea stării. În
Fig. 6.4 este dat un exemplu de diagramă de stări pentru un automat Moore cu patru stări, o intrare
şi o ieşire.
Reprezentarea prin tabele de tranziţie a unui automat Mealy descrie evoluţia stării următoare
şi a ieşirii în funcţie de starea curentă şi de intrare. Astfel, în tabelele 6.1 şi 6.2 sunt date tabelele de
tranziţie pentru automatul Mealy din Fig. 6.3.
Automatul Moore are tabelul de tranziţie a stării la fel ca în cazul automatului Mealy (identic
cu tabelul 6.1). În schimb, tabelul de tranziţie a ieşirii nu conţine intrarea (Tabelul 6.3).

166
Tabelul 6.1. Automat Mealy. Tabelul 6.2. Automat Mealy.
Tranziţia stărilor. Tranziţia ieşirilor.
Stare Stare
curentă următoare Stare Ieşire
x=0 x=1 curentă x=0 x=1

A A B A 0 1

B B C B 0 1

C A D C 1 0

D B A D 1 0

Tabelul 6.3. Automat Moore Tabelul 6.4. Tabelul de stări


Tranziţia ieşirilor. codificate
Stare Ieşire Q1Q0 Q1*Q0*
curent x=0 x=1
ă
A A B
A 0
B B C
B 1
C A D
C 0
D B A
D 1

Stările automatelor pot fi codificate cu un număr de biţi mai mare sau egal cu [log2 s + 1].
Astfel, pentru codificarea celor patru stări din exemplele precedente pot fi folosiţi doi biţi Q 0 şi Q1.
Un exemplu de codificare este în ordine naturală: A = 00, B = 01, C = 10, D = 11.
De multe ori codificarea stărilor este importantă în procesul de minimizare a circuitelor logice
combinaţionale aferente.
Dacă biţii ce codifică starea curentă se notează prin Q j, atunci biţii corespunzători ce codifică
starea următoarea se notează prin Qj*. Cu notaţiile precedente, tabelul de tranziţie a stărilor pentru
automatul Mealy de mai sus este Tabelul 6.4.
Descrierea automatului prin organigramă (flowchart) presupune utilizarea simbolurilor
prezentate în Fig.6.5.
Tinând seama de simbolurile de mai sus se poate construi organigrame pentru automatele
Mealy (Fig. 6.6 şi Fig. 6.7) date ca exemple.

calea prin care se obţine starea A dintr-o


stare precedentă
A starea A
a)

calea prin care se obţine o stare


următoare din starea A

0 1
x
b)
Calea urmată Calea urmată
pentru x = 0 pentru x = 1
167
Semnal care generează
ieşirea y

y
c)

Fig. 6.5. Simboluri pentru reprezentarea automatului într-o organigramă:


a) starea A, b) semnalul de intrare x, c) semnalul de ieşire y.

y=0

x
0 1
y=1

B
y=0
y=0
0 x 1
y=1
y=1

y=1
C

0 1
x

y=0

x
0 1

Fig. 6.6. Organigramă pentru automatul Mealy.

168
A

y=0

x
0 1
B

y=1

0 1
x

y=0

0 1
x

y=1

0 1
x

Fig. 6.7. Organigramă pentru automatul Moore.

6.2. ANALIZA AUTOMATELOR CU STĂRI FINITE

La analiza unui circuit logic secvenţial se pleacă de la faptul că se cunoaşte schema CLS şi se
urmăreşte să se obţină o descriere precisă a funcţionării şi a operaţiilor care au loc în interiorul
circuitului. În acest scop, analiza unui automat de stări sincron presupune parcurgerea următoarelor
etape:
i) Determinarea ecuaţiilor ce caracterizează excitaţia E din circuitul logic combinaţional de intrare
CLC1.
ii) Scrierea ecuaţiilor caracteristice ale bistabililor şi înlocuirea variabilelor de intrare cu cele din
ecuaţiile de excitaţie; obţinerea ecuaţiilor stării următoare;
iii) Alcătuirea tabelului de tranziţie a stării din ecuaţiile stării următoare;
iv) Obţinerea ecuaţiei de ieşire din circuitul logic combinaţional de ieşire CLC2;
v) Obţinerea tabelului de tranziţie a ieşirii din ecuaţiile de ieşire;
vi) Alcătuirea diagramei de stare din tabelul de tranziţie a stării şi tabelul de tranziţie a ieşirii.

169
Exemplu
Se dă circuitul logic combinaţional din Fig. 6.8. Să se precizeze ce tip de automat este şi să se
alcătuiască diagramele de stare parcurgând etapele de mai sus.

Deoarece ieşirea depinde atât de stare cât şi de intrare, automatul este de tip Mealy.
Etapa 1
Ecuaţiile de excitaţie:

E 0  Q1  u  Q0  v

E1  Q 0  u  Q 1  v

Etapa 2
Bistabilii sunt de tip D şi au ecuaţia caracteristică:

Fig. 6.8. Exemplu de automat Mealy.

Qi* = Di (= Ei)

Rezultă ecuaţiile stării următoare:

Q0*  Q1  u  Q0  v

Q1*  Q 0  u  Q 1  v

Etapa 3
Tabelul de tranziţie a stării:

Q1* Q0*
Q1Q0 (u v) (u v) (u v) (u v)
00 01 10 11
00 10 00 10 10

01 10 01 10 01

170 10 00 00 11 11

11 00 01 01 01
Etapa 4
Ecuaţia de ieşire:

y  Q1  Q0  v  Q1  Q 0  v

Etapa 5
Tabelul de tranziţie a ieşirii:

y
Q1Q0 (u v) (u v) (u v) (u v)
00 01 10 11
00 0 1 0 1

01 0 0 0 0

10 0 0 0 0

11 0 1 0 1

Etapa 6
Diagrama de stareeste prezentată în Fig. 6.9.

171
Fig. 6.9. Diagrama de stare pentru circuitul din Fig. 6.8.

6.3. SINTEZA AUTOMATELOR CU STĂRI FINITE

Plecând de la descrierea funcţionării unui automat se cere să se implementeze circuitul logic


corespunzător. Pe parcursul procesului procesului de sinteză se pot efectua operaţii suplimentare de
optimizare cum ar fi: minimizarea stărilor, minimizarea funcţiilor logice, creşterea vitezei,
micşorarea consumului. Sinteza elementară, fără optimizare constă în următoarele etape:
i) Întocmirea diagramei de stări pornind de la descrierea funcţionării şi codificarea stărilor.
ii) Determinarea tabelei de tranziţie a stării din diagrama de stare.
iii) Conversia tabelului de tranziţie în tabel de implementare.
iv) determinarea tabelului de ieşire din diagrama de stare.
v) Determinarea ecuaţiilor de excitaţie pentru bistabili.
vi) Obţinerea ecuaţiilor de ieşire din tabelul de ieşire.
vii) Determinarea schemei circuitului bazată pe ecuaţiile de excitaţie şi pe cele de ieşire.

Exemplu:
Proiectarea unui numărător sincron modulo 5 utilizând bistabili de tip T.

Etapa 1. Stabilirea diagramei de stare.


Un numărător modulo 5 numără de la 0 la 4 şi apoi trece în 0. Numărul este reprezentat prin stările
bistabililor. Fiind vorba de cinci numere (0,1,2,3,4) şi ataşând fiecărui număr câte o stare, pentru
codificarea lor este nevoie de [log24] +1 = 3 bistabili. Ieşirile lor se notează prin Q 2, Q1, Q0 şi
reprezintă biţii pentru codificarea stărilor. Deoarece conţinuturile bistabililor reprezintă chiar
numărul, stările vor fi reprezentate în codificare binar-naturală. Automatul are o intrare de numărare
x şi o ieşire y care este 1, dacă el este în starea 4 (Q 2Q1Q0 = 100) şi 0 în rest. Deci automatul este de
tip Moore. Diagrama de stări este prezentată în Fig. 6.10.
Etapa 2. Determinarea tabelului de tranziţie a stării (Tabelul 6.5)
Etapa 3. Conversia tabelului de tranziţie în tabel de implementare.

172
Ţinând seama de de tabela de tranziţie a stării pentru un bistabil T (Tabelul 5.6), pentru
implementare rezultă tabelul 6.6.
Etapa 4. Determinarea tabelului de ieşire (Tabelul 6.7).
Etapa 5. determinarea ecuaţiilor de excitaţie pentru bistabili.
Luând coloanele corespunzătoare funcţiilor de excitaţie T2, T1, T0, din tabelul 6.7 şi ţinând seama de
stările curente şi de intrare, rezultă diagramele Karnaugh din Fig. 6.11. Aceste diagrame pot fi
utilizate pentru obţinerea în formă minimală a funcţiilor de excitaţie.

Fig.6.10. Diagrama de stare pentru numărătorul modulo 5.

Tabelul 6.5. Tabelul de tranziţie a stării.

Q2 Q1 Q2* Q1* Q0* Q2* Q1* Q0*


Q0 x=0 x=1
0 0 0 0 0 0 0 0 1

0 0 1 0 0 1 0 1 0

0 1 0 0 1 0 0 1 1

0 1 1 0 1 1 1 0 0

1 0 0 1 0 0 0 0 0

173
Tabelul 6.6. Tabel de implementare

Q2 Q1 T2 T1 T0 T2 T1 T0
Q0 x=0 x=1
0 0 0 0 0 0 0 0 1

0 0 1 0 0 0 0 1 1

0 1 0 0 0 0 0 0 1

0 1 1 0 0 0 1 1 1

1 0 0 0 0 0 1 0 0

Pentru excitaţiile T2, T1 şi, respectiv, T0 rezultă diagramele Karnaugh din Fig. 6.9.

Q1 Q0 Q1 Q0
00 01 11 10 00 01 11 10
x Q2 00 0 0 0 0 x Q2 00 0 0 0 0
01 0 X X X 01 0 X X X
11 1 X X X 11 0 X X X
10 0 0 1 0 10 0 1 1 0
a) b)
Q1 Q0
00 01 11 10
x Q2 00 0 0 0 0
01 0 X X X
11 0 X X X
10 0 1 1 0
c)

Fig. 6.11. Diagramele Karnaugh pentru mărimile de excitaţie: a) – T2, b) – T1, c) – T 0.

Aplicând metodologia de minimizare a funcţiilor logice prin diagrame Karnaugh, se obţin


următoarele expresii ale funcţiilor de excitaţie:

T2 = x ∙ Q2 + x ∙ Q1 ∙ Q0

T 1 = x ∙ Q0

T0 = x ∙ Q2’

174
Tabelul 6.7. Tabelul de ieşire.

Q2 Q1 Q0 y

0 0 0 0

0 0 1 0

0 1 0 0

0 1 1 0

1 0 0 1

În tabelul 6.7 este prezentată funcţia de ieşire, pentru care rezultă următoarea expresie:

y = Q2 ∙ Q1’ ∙ Q0’

Schema numărătorului sincron rezultată în urma proiectării este prezentată în Fig. 6.12.

Fig. 6.12. Schema de implementare a numărătorului sincron modulo 5.

Aplicaţii

i) Se dă diagrama de stare a automatului Moore din Fig. 6.13. Să se determine tabelul de tranziţie a
stării şi tabelul de ieşire.
ii) Se dă diagrama de stare a automatului Mealy din Fig. 6.14. Dacă x este intrarea şi y este ieşirea,
să se determine tabelul de tranziţie a stării şi tabelul de ieşire.

175
Fig. 6.13. Automat Moore.

Fig. 6.14. Automat Mealy.

iii) Să se Q2* Q1* Q0* ieşirea alcătuiască diagrama de stare pentru


automatul Q2Q1 y caracterizat prin tabelul de tranziţie
intrarea
următor: Q0 x = 0, x=
1
000 000 10 1
1
001 0
001 00
010 0 0
011 010 00 0
1
100 0
011 01
101 0
0
100 01
1
176
101 10
0
iv) Din tabelul de tranziţie de mai sus să se determine ecuaţia stării următoare Q1*.

177

S-ar putea să vă placă și

  • TSA Global
    TSA Global
    Document219 pagini
    TSA Global
    Ionut
    Încă nu există evaluări
  • TSA Global
    TSA Global
    Document219 pagini
    TSA Global
    Ionut
    Încă nu există evaluări
  • TSA2014 Cap1
    TSA2014 Cap1
    Document55 pagini
    TSA2014 Cap1
    Cosmin Neculau
    Încă nu există evaluări
  • Tsa 1
    Tsa 1
    Document75 pagini
    Tsa 1
    Gîrju Andrada
    Încă nu există evaluări
  • Curs 1
    Curs 1
    Document20 pagini
    Curs 1
    Gîrju Andrada
    Încă nu există evaluări