Sunteți pe pagina 1din 16

Algebra logicii. 1. Funcii i variabile.

Pentru descrierea proce-sului de lucru a dispozi-tivelor electronice nume-rice (dioda, tranzistorul, microcircuit, rezistor, condensator, bobina de inducie) se folosesc variabile i funcii speciale. E cunoscut c orice dispozitiv electronic poate fi inclus n regim de lucru, adic la el se aplic tensiune sau trece curent prin el sau nu este inclus n regim de lucru. Pentru aa dispozitive deosebim stri! ".n regim de lucru .n regim de repaos. Pentru descrierea procesului de lucru a acestor dispozitive se folosesc

variabile care pot fi notate! a, b, c, d, ... # $%, $", $ , $&, $',... (ceste variabile pot primi numai valori! % sau ". )f* +umim funcie logic aa o funcie care ca i variabilele sale poate primi valorile! % sau ". f(a, b, c, d, e, f),% sau ". Pentru construirea dispozitivelor electronice numerice comple$e se folosesc & (funcii) dispozitive elementare. (ceste funcii sunt! +-, ./, 0(-. Funcia NU. )f* 1uncia +- este o funcie de un singur argument, este egal cu valoarea invers a argumentului, funcia se mai numete negare, iar dispozitivul care face aceast operaie se

numete invertor. 1uncia +se prezint prin urmtoarea figur convenional! Funcia I )f* 1uncia ./ reprezint o funcie logic de i mai muli argumeni i este egal cu " atunci c2nd toi argumenii ei sunt egali cu ". (ceast funcie se mai numete con3uncie. (nalitic aceast funcie ca proces logic 1(a,b,c,d, ...), a4b4c4d4.... 0c5emele convenionale Funcia SAU reprezint o funcie logic de i mai muli argumeni, i primete valoarea " atunci cnd mcar " argument este egal cu ". 1uncia 0(se mai numete dis3uncie.

(nalitic aceast funcie se scrie n felul urmtor, i reprezint o sum logic. 1(a, b, c, d),a v b v c d.

(a4b)4c,a4(b4 +ot(a4b),not( c) a)8not(b) 7eorema de ;organ se &.7eorema demonstreaz distributiv a(b8c),a4b8a uor cu a3utoruul 4c tabelului de adevr. 7eorema asimilrii a8a4b,a("8b) ,a4",a

". Familia de #uncii logice )in aceste & #undamentale puncte pot fi . compuse '.7eorema 1unciile +-, dispozitive alipirii 9 aduce ./, 0(mai comple$e. la micorarea reprezint 2Axiomele i numrului de familia fundateoremele de argumente mental baz a prin folosirea principial de algebrei a$iomelor de funcii logice logice. baz care se folo($iomele de (a8b)4(a8not( sesc pentru baz pentru b)),a4a8a4not descrierea funcia +(b) dispozitivelor 8a4b8b4not(b) utilizate pentru 1uncia ./ ,a8a(not(b) funcia construirea 8b),a 0(dispo-zitivelor 64%,% 6 :.7eorema de numerice com;organ v%,6 ple$e. 7otui 64",6 6 7eorema de n practic nu ;organ are v"," este rentabil 646,6 6 formulri! de a folosi & ".0uma v6,6 dispozitive invers a dife-rite de eoremele de argumenilor aceea n loc de baz! este egal cu aceste & ".7eorema produsul dispozitive comutativ. argumenilor (+-, ./, 0(-) )ac inversai se folosesc sc5imbm cu +ot(a8b),not( dispozitive ./locul a)4not(b) +- care argumenii .Produsul reprezint a funcia nu se inversat a doua familie sc5imb. argumenilor fundamental .7eorema este egal cu de funcii asociativ. suma logice, 0(-(a8b)8c,a8 argumenilor +- care (b8c) inversai reprezint a &

familie de funcii logice fundamen-tale. <n loc de funciile +-, ./, 0(- se folosesc! ./+-, 0(--+-. (cum v-om demonstra c funciile ./+-, 0(--+tot prezint funcii logice fundamentale. Pentru aceast v-om construi dispozitivele +- i 0(din ./-+-, 0(--+-. 0 vedem ce reprezint o funcie ./-+-, dup )f* e o funcie de cel puin argumente i la ieire are un invertor. a)=onstruim din ./-+- 9 un +b)=onstruim din ./-+- 9 un ./ c)=onstruim din ./-+- 9 un 0(0au-e$cl

ec5ival Prin aceste & demonstrri putem considera c funcia ./-+e o funcie logic fundamental i poate fi utilizat n loc de ./, +-, 0(-. SAU$NU > funcie de cel puin argumente. a)=onstruim din 0(--+funcia +-, a,b b)=onstruim din 0(--+funcia 0(c) =onstruim din 0(--+funcia ./, dup de ;organ 0(- E6=?

'. %etodede re&rezentare a #unciilor logice. 1unciile logice pot fi reprezentate prin urmtoarele metode! ".prin tabele de adevr (strilor) .n forma analitic1=+), 1=+= &.n form numeric '.cu a3utorul sc5emei electrice principiale &rin tabel de adevr (cest tabel ne demon-streaz c avnd la intrare doi argumeni se pot construi dispozitive cu "@ funcii diferite!

b, c) s prezentm mintermenii.

ec5iv i-nu

%etoda analitic 'e#. +umim mintermeni produsul logic al tuturor argumenilor luai cte unul sau fr inverii si. 1ie avem o funcie de & argumeni f(a,

vid, iar pentru alte seturi de argumeni m% = a b c valoarea este f = a bc + a b c". + ab c + a b c = %"% m' = ab c )up m" = a b c %%" +""% + %%% = (% +" + + @) compunerea m: = ab c valorilor de 1=+=! m = a bc f = ( a + b + c ) + adevr (a + b + c ) +scrie se m@ =abc sau ( a + b + c) + (a + b + 1=+) c ) = (" +" + %) m& = a bc (" + % + %)(% +" +")(% 1=+=. + % +") = <n mA = abc rezultat (",&,',@) f(a, b, c),f%m%8 primim nite Sc)ema f"m"8 f m 8 formule electric f&m&8 f'm'8 complicate. Pentru a f:m:8 f@m@8 1ormulele construi fAmA obinute tresc5ema % buie de electric f i = , i = %,",...,A " trebuie s tim simplificat A f ( abc ) = f i mi nr. )e ./, +-, (minimizat). ;inimizarea i =% 0(1orma rep o proc prin complect care se obine normal forma scurt a dis3unctiv se unor funcii. obine din Procesul de 5. tabelul de %inimizarea minimizare a adevr. funciilor #uncii$lor 'e#. +umim logice poate fi logice ma$termeni mintermenilo efectuat prin suma logic a r i diferite tuturor maxtermenilo metode! argumenilor ". metoda r. cu B sau fr algebric %etodele de inverii si. de minimizare a M% = a + b + c minimizare #unciilor M" = a + b + c . logice. abe$ M = a +b +c met.special le *arnog) M =a +b +c e ! prin Proiectarea A cuburi nunui dispozif ( a , b , c ) = ( f i M i ) FCNC dimensiotiv numeric se i =% nale, ncepe de la (rin metode metoda lui compunerea numerice Cuain tabelului <n cazul ;acclasDi, strilor, n prezentrii metoda lui acest tabel numerice a Carnog5 pen-tru unele funciilor logice 1=+), seturi de argu- 0 minimizm funcia 1=+= n care meni funcia snt prezentai este muli-mea f(abcd).
( a,b, c) ( a,b,c ) &

prin valorile sale n cifre (% sau ") 1=+)!

;etoda folosit n practic este metoda tabelelor lui Carnog5. Pentru a aplica aceast metod vom face cunotin cu forma tabelelor lui Carnog5 i proprie-tile lor.

numai cu o poziie. &.;intermenii plasai la frontier respectiv pe vertical sau orizontal sunt vecini '.;intermenii vecini pot fi grupai n grupe cte , ', E, & , ... , n , n,", ,&,... )up completarea tab. Carnog5 se face (dugarea unui argument minimizarea a funciei duce folosind urmtoarea la mrirea mintermenilor regul! dac n grupul dat de de ori. minter-meni 7abelul lui argumentul Carnog5 are respec-tiv i urmtoarele sc5imb starea proprieti! atunci el nu se ".1iecare scrie cu starea celul a dat. tabelu-lui )up reprezint un obinerea mintermen care poate fi % acestei forme se poate utiliza sau " .;intermenii teorema algebrei logice plasai n dac e posibil celule vecine pe orizon-tal i teorema de ;organ sau vertical snt vecini. =ei %inimizarea de pe diagona- #unc de#i$nite incom&let. l nu snt vecini. +umim a b c d f % % % % % mintermeni vecini cie care % % % " % % % " % " 4 se deosebesc % % " " " 4 % " % % %

% " % " % % " " % % % " " " % " % % % " 4 " % % " % " % " % " % " " " " % % " " % " " " " % " " " " 1ie c avem o funcie care este definit numai pentru "% stri conform urmto-rului tabel de adevr. (cest tab de adevr rep strile unui dispozitiv care reacioneaz cnd contorul ne arat cifrele , &, E <n acest caz trebuie s utilizm pentru descrierea lucrului dispozitivului ce va fi construit ca func de ' argumeni. (vnd tab de adevr minimizm aceast func. (!

+ Sc)eme =az ! U in = " I B %, T electrice &rinci&iale de Rab Rc >> Rab U U ab %, U ie = U ab ti& , -. ' -. (ceast -.Sc)ema sc5em electric lucreaz n &rinci&ial de regim de ti& , inversare Gom cerceta Sc)ema SAU sc5emele! 1 NU /c)ema 1ie c avem o electric care func 0(- 9 0nde&linete +- de n I #unc NU argumeni. Gom cerceta lucrul ace-stei Pentru func sc5eme la 0(- 9 +aplicarea putem da o semnalelor discrete drep- definiie! ". 1unc 0(- 9 tung5iulare. Hb 9limitea-z +- este , cu " atunci cnd toi curentul n argumenii snt baz. Hc 9 , cu % limiteaz (( . 1unc 0(- 9 ) curentul n +- este , cu % colector. <n atunci cnd aceast mcar un sc5em 7 argument este <n lucreaz n (F ) regimul clasei egal cu " =az"! F. )ac la U in % = U in" = ... = U inn baz nu se aplic tensiune I Bo = I B" = ... = I Bn " = cazul cnd T" , T ,...Tn " inchisi# atunci 7 este func nu este nc5is, iar dac Rab , Rab >> Rc definit U ab E CE , U ab = U ie complet atunci aplicm 7 se =az ! fie desc5ide. n locul U ini =" , unde mintermenilor i =", ,&,..., n " nedeterminai I Bi % Ti deschis se poate utiliza Rab << Rc #U ab << U Rc =az"! sau numai % U ie = U ab = % F( a ,b ,c ,d ) = a b c +ab c U in = % I B = %, T inchis , sau numai ". 0tarea Rab >> Rc U ab >> U Rc nu se & 9 +-# 9 sc5imb dac U ab ECE , U ie = U ab = " ./# " 9 0(-# se vor F! desc5ide mai F( a ,b ,c ,d ) = a b c +a muli

9 +-# " 9 ./# " 9 0(-# <n tab (() socotim c toi mintermenii nedeterminai snt egali cu %, i atunci grupm toi mintermenii , cu " <n tab (F) socotim toi mintermenii nedete-rminai , "0 construim sc5emele electrice pentru aceste dispozitive i s demonstrm ec5ivalena lor dup funcii.

tranzistori sau c5iar toi. +ea3unsul acestei sc5eme const n aceia c! ". 1regvena (viteza) de lucru este 3oas . Prezena rezistenelor care ocup volum mare, pierderi de energie pe rezistori 2lemente de ti&ul ' I 1 NU

I B % T deschis Rab a %#7" iunile FE Rab << Rc U ab << U RC #U vor fi nc5ise, ab %,

)em 0(--+". -in i,K%K,7"..7n nc5ii,HabNN-N Pentru func ./ HabNNHc", 9 +- poate fi I B %, T inchis Rab , #R -ab-N pe ab # definit U ab E KE , U ie = U abporiunea =" ab se urmtoarea aplic tens 0c5emele de =onform def definiie! 7"4-desc5is i pentru func 07 tipul 77? ". 1unc ./ 9 este conectat ocup mai +- , % atunci 9 +- trebuie cu collector puin spaiu s democnd toi dect sc5emele comun i argumenii snt nstrm c reprez ca H7? i )7?, sc5ema dat , cu " snt mai rapide repetitor pe ndeplinete! -dac toi . 1unc ./ 9 emitor, apare i cost mai +- ," atunci argumenii puin. cur /c", /b"%, cnd mcar un sunt , cu " /c"%, /e"%, argument este atunci func , % -ie,/e"4He" -dac mcar , cu =az"! %,K"K U in % =U in" = ... =U un arg ,% inn " =" . Gom dem atunci func , " - n acest caz c la -ie se ". -in% ,-in" se aplic la ob f-ia 0(,... , -inn-" , catodul -in J"K. ?a toi diodelor i i,K%K,7"..7n emitorii diode-le nc5i-i,HabD" , D ,..., Dn " tranzistorului N )eoarece 7" se aplic 8. - nc5ise la baza 7% <n acest caz e$ist o toate 3onc-

iar 3onciunea FC a 7" este =az ! 1ie U ini = % desc5is ceea i =", ..., n ", ce permite formarea n acest caz Di deschis, I B = % T inchis# curentu-lui Rab >> Rc #U ab >> Ubazei RC U ab EKE U ie = U ab = " tranzistorului (ceste sc5eme 7 . /F L %, 7 9 snt mai desc5is, rapide, dup pre la ace-lai HabM%, -abM%, -ie , nivel. -ab , % 2lemente . 1ie c logice de ti& -<n aceste mcar la o intra-re se sc5eme se aplic %, -ini , utilizeaz %, aBi tranzistori 3onciunea FE bipolari e conectat n speciali cu muli emitori. mod direct i se desc5i-de.

U ie = U ab = %

3 Sc)eme electrice &rinci&iale de ti& 2- %4S 2- 0c5ema are n intrri i ieiri! o ieire se utilize pBu ndeplinirea fei 0/-+-, alt ieire pBu ndeplinirea fei 0(--+-

tensiune -%% 7%-desc5is, /c%% /b%%, /e%%,sau Hcb-N%, sau H4 are rezistena miccadere de tensiune la baza tranzist 7 4-se nc5ide /b 4,%, /c 4,%, /e 4,%, -ie ,/e 44H e 4,K%K -in i,K"K,7"..7n desc5i-i,HabN% )eoarece Hab este foarte mic-! cur crete smitor, iar pe poriunea cb se micor, /c%-N% 7% se nc5ide, 7 4 apare tens i se desc5ide /b 4%, /c 4%, /e 4%, -ie ,/e 44H e 4,K"K +ea3uns! -nu pot lucra la nlimi mari -prezena rezistoarelor duce la mrirea volumului a microcircuitul ui -rezistena de intare este mic %4S

SAU$NU

7% se folosete ca resistor, pBu a limita curenii drenei tranzist 7i. ", -ini,K%K, 7i- nc5ii Hab-N -ieOHab, -ie,K"K , -ini,K"K, 7i- se desc5id Hab-N% -ie,K%K i$NU

-ini,K%K, 7inc5ii HabN -ieOHab, -ie,K"K , -ini,K"K, 7i- desc5ii Hab-N% -ie,K%K 5.6i/tabili cu o trea&t ,S$ a/incron.,/$ /incron ' )ef! +umim 6i/tabil un dispozitiv electronic care se poate afla n una din cele stri posibile i se utiliz pBu nscrierea, pstrarea,

n c ) P not r P % % % P not " % " PP 7ab de adev 0emnul " % % not n c s r P+ conven r ot( & " " " P " P) % 7ab de adev % %% %P+ DC Q , Q 0 H P +ot( " % % " P ot P, , C DC Q P) %" %PQ % % P +ot( & % " " P + "=,%,),%,P, % % Q =" Q =Q % " % P) ' " % % P ot , Q , % %% Q , " % " " : "% "% Q " Q =Q ....... " " " % @ "" %" + +ea3uns! n " A " " " " ot proc nscrierii Q "0,%,H,%, Q unei stri noi + , R Q , % Q = st preced se " Q =Q Q , ot pierde. S Q " Q =Q Q + starea de 8.6i/tabili cu ot pstrare 2 tre&te Q 0,%,H,", Q %S.'9. .:* " , R Q , "Q = )ef! +umim % Q = " Q, % 6i/tabil un S Q " " =% " dispozitiv -tergere "c,%,s,%,H,% electronic care &0,",H,%, Q P, CS Q =Q , se poate afla n Q , CS Q =Q , R Q , una din cele " " =% Q , c,%,s,%,H," stri posibile i S Q , " Q = " P, CS Q =Q , se utiliz pBu Q , CS Q =Q . -nscriere nscrierea, 70,",H,", Q .... pstrarea, , R Q , +ea3uns- st " " prezentarea % " =% Q , este interzis unui bit de inf. S Q , % % =" ' st " " se %S$ eBe form -intzerzis e$clude din bist Hs+ea3uns- st " " constructiv, ac sin, prima este interzis, este datorit treapt-master, disp sc5imb fapt c st % % a doua-slave, starea n dep

prezentarea unui bit de inf. ,S$a/incron$ se constr pe baza elem log 0(--+-, ./+1ie constr disp din elem ./+-

de sc5imb strii pe ;). ,/$/incron are o intr adaugat.

nu sc5imb nimic.

0emnul conven

0emnul conven

G,", =,"semn de tactare sc5imb starea bist. are legat n c s r P + dintre intr i r ot( ie, intr = se P) conect mpreun cu % %% %% " intr 7, la cBe se " %% "% " aplic un sing %" %% " semn de & %" "% " tactare. ' "% %% " : "% "% " @ "" %" % A "" "$ $ =,"-lucr prima treapt, <n rez ob un a este semnal cu deconectat. frecven m Propr! - poate mic. (c bist fi diri3at utiliz ca -pstr inf nou divizor de i vec5e n fregven. timp de o semi :*$universal perioad, i inf vec5e poate fi restabilit. +ea3uns- st " " "-permite toate strile este interzis -se utiliz ca '9 se constr H0, 7,) pe baza ;0. 0e e$clud st " =,% bist "-este interzis deconect,P,% i notP," i % %-nu R C P not sc5imb P inform % % P not % " % P " % " " =- semnal de " " % % tactare " G-semnal de 0t %% nu diri3are, G,%- sc5imb la ie avem nimic, ""-duce ntotdeauna %, la inversare st bist deconect, G,", =,% i

ele lucr n dif semiperioade.

de la sf, adic st preced. 1;,egi/tii <,=> <n realitate n calculator este necesar de a nscrii, pstra, transforma, trans-mite, infia care este cu mult mai mare de ct " bit. Pentru aceasta se folosete registii.+umi m regi/tru un dispozitiv electronic construit din bista-bili i util-t pentru nscri-erea , pstrarea, prelucra-rea , transformarea unui cuvint de informaie. )up metoda de nscriere informaie deosebim urmatoare tipuri de regitri! ") paralel ) consecutiv &)conbinat (i paralel i consecutiv) Hegitrii pot fi! -monofozaibifazai ;onofazai nscrierea informaie i preventarea ei

de o singur polaritate (sau direct , sau numai invers) 6i#azai nscrierea i pregtirea infiei direct ct i invers. )up principiul de transformare informaiei deosebim urmtoare tipuri de regitri! ".regitri de transformare a informaiei din cod consecutiv n cod paralel, sau inversregitri de transformare a codului. .regitrii de deplasare a informaiei un orden , sau mai mare n dreapta sau n stinga 9 regitrii de depla-sare a informaiei. &.regitrii care permit obinerea codului invers din codul direct sau obinerea codului direct din invers (se refer la paralel i consecutive)registru de

convertare a codului. ,egi/tru &aralel. 1ie c avem registru paralel cu n intrri.

informaia de la ieirile directe i inverse a bisabilelor pe magistrala de date. %%-peste tot este % 1ie un registru %"-pe de tip paralel. magistrala de date se =%-se aplic transmite semnalul de tactare -=%,% codul paralel "%-pe informaia la magistrala de intrrile bistabilelor nu date se transmite se aplic. =%,"informai codul paralel direct(Pna aplicat la intrrile trece "..P%) ""-interzis , prin elementele .i (Pie,") Hegistrul dat i se aplic la poate ndepintrrile 0 a lini bistabilelor. urmtoarele El-le ./ de la funcii! intrare 3oac rolul de c5ei , ".iniializarea (H,") care .nscrierea conecteaz informaiei n registru n cod paralel lucru sau deconecteaz. (=%,") &.regimul de H-iniializare (tergerea inf- deplasare a informaiei iei vec5i) -bistabili sunt (=%,%) '.prelucrarea de tip H0asincron i se informaiei n cod direct utilizeaz =",",= ,%) pentru :.prelucrarea nscrierea informaiei n psrarea, i cod util invers(paralel) informaiei. =",= -pentru (=",",= ,") <n alt sc5ema a transmite intrrile i

ieirile (Pn"....P%) se conecteaz la magistrala de date, iar ntrrile =%, =",= - la magistrale de comand. 0imbolul convenional!

(aplic) consecutiv. <nscrierea informaiei (=,") i fie c starea bistabilului se sc5imb dup frontul anterior a inpulsului de tactare =. )-se aplic datele +r. )e intrri =-semnal de i de ieire tactare este multiplu H,H,%la 11,egi/trul iniializarea con/ecutiv <de Pentru a de&la/are> prezenta 1ie c avem procesul de registrul de nscriere vom deplasare de folosi orden ' diagramele n construit din timp cu ;0 a3utorul cruia vom pre-zenta dinamica Hegitrii snt (sc5im-barea) legai semnalului de consecutiv. tactare i dinamica strilor n " i ".direct. a treapta a .nversat. fiecrui Pentru a bistabilP& demonstra ...P%- ieirile principiul de intermediale a lucrul a altui bistabilului de registrul vom la treapta ". nscrie n el urmtoare informaie! )in ),"%"" diagramele n <nscrierea se timpul se vede ncepe de la bitul infireor i c n procesul nscrierii informaia se informaiei n transmite

HS are loc deplasarea ei spre dreapta. =itirea informaiei de la ieirile bistabilului se face pauza dintre inpulsurile de tactare. )up al ' impuls de tactare informaie este nscris n HS prin deplasare n dr. , i ea poate fi citit n cod para-lel. Pentru a citi infor-maia n cod consecutiv trebuie nc & inpulsuri i informaia se citete numai de la ieire P% n cod consecutiv direct sau de la ieire P%(n cod consecutiv inversat) 1uncia ndeplinit n regim ".iniializare (HT"),terger .nscrierea informaiei n cod consecutiv &.prelucrarea informaiei n cod paralel direct (ieirile(P&..P %) i n cod paralel invers ieirile P&..P%

'.prelucrarea informaiei n cod consecutiv direct (numai ieire P%) :.pstrarea informaiei (=,%) 12,egitrii ciclici de de&la/are direct

)atele aplicate la ) vor fi nscrise n registru , dac =,")ir,% ) este deconectat de intrare 0 i HS trece n regim de HS ciclic. )rept surs de informaie va servi P% 0 vedem cum se va sc5imba tabel de adevr fa de cazul <n alt HS precedent, ieire P%(P%)- dac vom este conectat folosi printro celul regimuri de de diri3are cu lucru intrare 0 a ()ir,",)ir,%) bistabilului ) = P PP P 77&, =elula de i & " % diri3are este de r celule ./ , un " "" %% % el-+- , "" " "% % 0(- =elula de " &% "" % diri3are are " '" %" " intrri! % :" "% " -)- la care se % @" "" % aplic datele % A% "" " care vor fi % E" %" " nscrise -)ir- este folosit pentru (lt HS ndeplinete sc5imbarea toate fuciile regimului de enumirate lucru a pentru HS registrului. consecutiv i )ir,"-el-l ./ adugtor de sus transformrile deconecteaz informaiei ieirea P%, i prin deplasare HS lucreaz ciclic ca registru consecutiv de npotriva micarea deplasare.

acelor de ceasornic. (a HS se folosesc pe larg n cazul utilizrii operaiilor ciclice

)ac )ir,J%K, atunci avem registrul paralel. ) 9 intrarea pentru aplicarea datelor n mod 12. ,egi/tru consecutiv.)&, de de&la$/are ) , )", )% 9 direct cu intrrile la care intrare se aplic con/ecutiv$ informaia n &aralel. mod paralel. Gom prezenta /eirile!P% 9 sc5ema se utilizeaz registrului de pentru citirea ordinul ' cu informaiei n intrare cod consecutiv consecutivdirect. Q% 9 se para-lel care are utilizeaz posibilitatea pentru citirea de lucru n informaiei n regimuri! cod consecutiv ".ca registrul invers. consecutiv# P&, P , P", .ca registrul P% ( Q& , Q , Q" , Q% ) 9 se paralel. Gom folosi ca utilizeaz element de pentru citirea baz un ;0informaiei n bistabil. cod paralel direct (invers). 1ie c /ntrrile!= 9 se )ir,K"K! ?a toate aplic elementele ./ impulsul de cu indicile JaK tactare# se aplic J"K, H 9 reset 9 iar la iniializare elementele ./ (tergerea cu indicile JbK informaiei)# )ir 9 diri3are! se aplic J%K! )ac )ir,J"K, ), ./a, 0(-, )(77&), P& atunci avem ./a, 0(-, registrul )(77 ), P consecutiv. ./a, 0(-,

)(77"), P" ./a, 0(-, )(77%), P%. ?a nscrierea informaiei are loc transferul ei de la stnga la dreapta. )ir,J%K. ./a snt deconectate, dar sunt puse n lucru ./b.<n acest caz la intrarea 0 a bistabilelor se conecteaz intrrile )&, ) , )", )% i obinem urmtoarele circuitele aparte! )&, ./b, 0(-, 077&, P&. ) , ./b, 0(-, 077 , P . )", ./b, 0(-, 077", P". )%, ./b, 0(-, 077%, P%. (cest registru poate ndeplini urmtoare funcii! ".H,J"K 9 iniializare# .nscrierea informaiei n regim consecutiv dir," &.nscrierea informaiei n regim paralel ()ir,J%K)# '.prezentarea informaiei n regim

consecutiv direct P% sau invers Q% # :.prezentarea informaiei n regim paralel P&, P , P", P% sau Q& , @.pstrarea informaiei =,% A.transformare a de cod din consecutiv n paralel i invers. Pentru a transforma informaia din cod paralel n cod consecutiv la nce-put se nscrie informaia n cod paralel ()ir,J%K), apoi se instaleaz )ir,J"K, se aplic impulsul de tactare, iar la ieire P% sau Q% informaia poate fi citit n cod consecutiv direct sau invers.

12 ,= univer/al HS de ord '

/+7HUH/ 0",0%diri3are.,)-pBu cod consec i HS de deplas direct,)4- pBu cod consec i HS de deplas stg la dr.)iintr pBu cod paralel, =semn de tact, H-iniializ /eiriP%-cod consec,P"P&-cod paralel S1S;?1;-Hg consec, depl dir,lucr elem ./a, cele lalte dec, circuit ),./a, sau,)(77&),P &,./a,sau, )(77 )P ,./a ,sau,)(77"P" , ./a,sau,)(77% ),P%. f-le! inscr inf, transf segven a inf, pstr inf,prez inf, invers inf, iniializ inf. S1S;?11Hg paralel lucr elem ./b, cele lalte dec, circuit )&,./b,

sau,)(77&),P &,) ,./b, sau,)(77 )P ,) ,./b,sau,)( 77"),P",)%,. /b,sau, )(77%),P%. f-le! inscr inf, pstr inf,prez inf, invers inf, iniializ inf. S1S;?;1Hg consec cu depl invers lucr elem ./c, cele lalte dec, circuit )4,./c, sau,)(77&),P &,./c, sau,)(77 )P ,./c,sau,)(77 "),P",./c,sau, )(77%),P%. f-le! inscr inf S1S;?;;Hegi m de reinnoire a inf, lucr elem ./d, cele lalte dec, circuit P&,./d, sau,)(77&),P &, P ,./d, sau,)(77 )P , P",./d,sau,)( 77"),P", P%,./d,sau, )(77%),P%. fle!HE<++>/H E ./ PU07H ( /+1.prezent inf n cod paralel.

bim contoare de tip! - consecutiv (asincrone)# - paralel (sincrone). Parametrii de baz a contoarelor snt! - ordinul (numrul de bistabili, umrul ma$im care poate fi 12. @ontoare. numrat)# 'e#iniia. @ - timpul de de adunare de reacie /cadere. (intervalul de combinat. @u timp de la reacie aplicarea inver/.)ef. impulsului la +umim contor intrarea un dispozitiv contorului i electronic, obinerea starea la ieire rezultatului se sc5imb cu numrrii la o unitate dB la ieirea intrare se contorului). aplic un )ef. Prin impuls de contor de adutactare. nare nelegem )eosebim aa un urmtoare dispozitiv tipuri de numrul la contoare! ieirea cruia a) de se mrete cu adunare# " la aplicarea b) de unui impuls la scdere# intrare. c) combina te. )ef. Prin d) =iclic contor de sce) Vecimal dere f) =u nelegem aa modulul un dispozitiv ; numrul la <n afar de iei-rea cruia aceast deose- se micoreaz cu " la

aplicarea unui im-puls la intrare. =ontor combinat )B )ir,%, =, not(P%), i3os, sau, not(P"), i, sau, not(P ), c de adunare )ir,", =, P%, i-3os, sau, P", i, sau, P -c de scadere. =ontor cu reacie inverst

)ir preface contar n scadere i adunare. )ir,%./b 9c combinat )ir,"./a 9 n ac caz obinem un circuit P cu intrare = <1">2lementel e -ogice @ombinaion ale <n calculator se e$ecut foarte des operaiile cu datele fr memorarea lor =ea mai fregvent operaie este!

Transferul de da e! )in operaiile care nu necesit memorizarea informaiei putem meniona! ") 7ransferul informaiei din mai multe canale ntr-un canal paralel sau consecutiv i invers. ) >peraiile de codificare i decodificare. &) >peraiile aritmetice. ') >peraiile logice. Pentru e$ecutarea acestor operaii se folosesc Elemen ele combina"ionl# care repr disp electr, care nu mem inform, starea la ieire eBe unuvoc det de statea aplicat la intrare sau starea urmt nu dep de starea preced i se utiliz pBu transferul, codo-ficarea, decodif, multip-le$area, demult, e$ecut

op aritm asupra inform. )in grupa elementelor combinaional e fac parte! - decoderul# - coderul# - translatorul de cod# multiple$orul# demultiple$or# -unitile aritmeticologice 'ecoderul un dispozitiv electronic combinaional care are ;-intrri i n, M -ieiri .i este utilizat pentru transformarea unui cod binar aplicat la intrare n " sau % numai la una din ieirile sale. )eosebim decoder ") complet cnd n, M # ) necomplet cnd nW M

impuls de comand, la ieirea lui se conecteaz diferite dispozitive ale calculatorului. Este de observat faptul c starea de la ieire se sc5imb univoc cu starea de la intrare. @oderul 9 un dispozitiv electronic combinaional care are n, M -intrri i ; 9ieiri i este utilizat pentru transformarea unitii X"K sau X%K aplicate numai la una din intrrile sale, n cod binar la ieire.)eosebi m de asemenea dou tipuri de codere! ") complet cnd n, M # ) necomplet cnd nW M

(cest dispozitiv este cel mai mult util pentru a transforma codul binar n

ran/latorul de cod- un dispozitiv electronic utilizat pentru a efectua

operaia de tarnsformare a unui cod i este format dintr-un decoder i un coder. <17>2lementel e -ogice @ombinaion ale <n calculator se e$ecut foarte des operaiile cu datele fr memorarea lor =ea mai fregvent operaie este! Transferul de da e! )in operaiile care nu necesit memorizarea informaiei putem meniona! ") 7ransferul informaiei din mai multe canale ntr-un canal paralel sau consecutiv i invers. ) >peraiile de codificare i decodificare. &) >peraiile aritmetice. ') >peraiile logice. Pentru e$ecutarea acestor operaii se

folosesc Elemen ele combina"ionl# care repr disp electr, carenu mem inform, starea la ieire eBe unuvoc det de statea aplicat la intrare sau starea urmt nu dep de starea preced i se utiliz pBu transferul, codo-ficarea, decodif, multiple$area, demult, e$ecut op aritm asupra inform. )in grupa elementelor combinaional e fac parte! - decoderul# - coderul# - translatorul de cod# multiple$orul# demultiple$or# -unitile aritmeticologice %ulti&lexorul - un dispozitiv electronic combinaional utilizat pentru transmiterea informaiei din + canale, unde! +N", ntr-un singur canal.

;ultiple$orul poate fi folosit ca un generator de funcii. Prin generarea funciilor de ctre un multiple$or se subnelege transmiterea consecutiv a informaiei de la intrare la ieire. 'emulti&lexo rul 9 un dispozitiv electronic combinaional utilizat pentru trasmiterea informaiei dintr-un canal n mai multe canale, ieiri.

Semi/umator ul 9 este o unitate electric combinaional utilizat pentru sumarea a dou cifre %8%,% %8"," "8%," "8","%. 0imbolul convenional este urmtorul! 0emisumatorul poate fi construit cu a3utorul elementelor S$U%NU i are urmtoarea sc5em!

-nde! a i b 1 cifrele supuse sumrii# / 9 rezultatul sumrii ordinului inferior#c 9 transferul n ordinul superior# (cest dispozitiv nu poate fi folosit direct pentru sumarea numerelor <1A>2lementel deoa-rece are e logice doar dou combinaiona intrri i deci le! nu se poate lua n consideraie

transferul n ordinul superior obinut la sumarea cifrelor de ordin inferior. Pentru a avea posibilitatea de a efectua sumare numerelor semisumatorul poate fi utilizat ca celul de baz. ;ai 3os vom prezenta un dispozitiv numit element al sumatorului de ordinul + construit pe baza semisumatorul ui.

sumarea n ordinul urmtor poate fi ndeplinit dac este cunoscut valoarea transferului din ordinul superior. (cest algoritm presupune e$e-cutarea consecutiv a ope-raiei de sumare a dou numere. 1ie c avem de sumat dou numere! (,"%"2 i F,"""2 n rezultat obinem 0,""%%2 Pentru sumarea numerelor de (ceast celul ordin (n) de sumare este trebuie s utilizat pentru utilizm un sumator de construirea sumatorului de ordinul (n), n tip consecutiv cazul dat n,&. 0c5ema unui i de tip sumator paralel. consecutiv de 7abelul de ordinul & arat adevr! astfel!

odat cu creerea numrului (n). (dic dac timpul de sumare pentru 0; ,: (ns) iar n,"%% atunci ,:4n,:%% (ns).

baz ale procesului de sumare, prezentata prin valorile intermediare i valorile cifrelor sumate. ") =i8" , g i 8 ri , g i 8 =i pi# ri , =i pi# g i , ai 4 <1A>Sumatoru bi# ) pi ,ai bi# l &aralel! &) =i8" , =u a3utorul ai4bi8=i(ai acestui tip de sumator putem bi)# )in formulele standar("-&) putem diza,adic face concluzia stabiliza c transferul n timpul de sumare a dou ordinul suparior l numere. putem calcula Pentru a numai folosind construi cifrele ini-iale sc5ema sumatorului de sau valorile tip paralel este interme-diare dup nevoie de a efectuarea studia procesele care sumrii.Pentru a efectua au loc ntr-o sumarea n celul de paralel s sumare lund n consideraie prezentm formulele de valorile de tip / pentru intermediare. fiecare din ordine!=%,%# i,%#=",g%8p%=

Sumatorul con/ecutiv! (lgoritmul cunoscut de sumare presupune c

<n acest caz observm c timpul de sumare a dou numere crete

<15>Unitatea de /cdere! >peraiile aritmetice 8 i 9 snt cel mai des utilizate de Procesul de sumare de tip calculator. 1ie c avem paralel se dou numere! ndeplinete (n, an-", anconform ...a", a% i Fn, urmtoarelor bn-", bn- , ...b", b% etape! operaia de ") 0e aplic scdere va fi numrul ( i urmtoarea! F la intrrile )n,(n-Fn (") sumatorului, imediat are loc =onform formulei (") sumarea a trebuie de dou cifre n efectuat (-) toate ordinile n mod paralel ns sumatorul nu poate face i se obin aa operaie, valorile rezult c intermediare R%P%# R"P"# etc. numrul F trebuie de-l (cest proces este ndeplinit transformat n ntr-o perioad numr pozitiv, ns trebuie de "# pus condiia ) 7oate aa ca valorile reYultatul %# intermediare i,"#= ,g"8p"= sumrii s fie 1olosind obonute snt corect. (cest ",g"8p"g%8p"p% tabelul de aplicate la =%# lucru se poate adevr i elementele ./face prin sc5ema celulei i, #=&,g 8p = 0(- a ,g 8p g"8p p" adugarea i de sum-are s sc5emei de g% 8p p"p%=%# scderea unui prezentm transfer paralel numr mai formu-lele de i,&#=',g&8p&= i n rezultat mare ca Fn, &,g&8p&g 8p&p

g"8p&p p"g%8p& p p"p%=%# 1olosind aceste formule se poate de construit sumator paralel n caz de fa de ordinul '.

obinev valorile =%# ="# = # =&# 7oate aceste valori se aplic la treapta a a elementelor de sumare.

adic )n,(n8 (=n-Fn)-=n ( ) +umrul acesta =n care-l adugm i-l scdem depinde de corelaia dintre Fn i =n. ") (nNFn # )n N%# ) (nWFn # )n W%# =nd )nN% atunci =n poate fi luat =n, n sau =n, n-", dac se iau aceste numere atunci sc5imbrile n sc5ema electronic a sumatorului snt minime. 1ie c ordinul n,'# (ma$,"""" # Fma$,"""" iar FnW Fma$ aBi =n, n, ', "%%%% de aici se vede c =nN(ma$ i =nNFma$. 1ie c avem Fn,"%"" deci dup formul avem c ne trebuie =n- Fn dup cal-cule am obinut c =n - Fn,%%"%" iar codul complimentar la a lui Fn este ) F( , "%"%" . n )eci =n- Fn, F

, diferena fcndu-l bitul semnului. .i deci formula ( ) capt forma!)n,(n8 ) F( - =n# n (&) =nd =n, n-" aBi formula final are forma ) )n,(n8 F ( n n 8"# (') =onform formulei (') pentru a preface sumatorul n unitate de scdere trebuie s facem urmtoarele! ") 0 obinem ") F( adic n trebuie s introducem invertori la toate intrrile F. ) 0 adugm unitatea n ordinul inferior. (ceasta se face prin instalarea =%," i trebuie de sczut n operaie care se nlocuiete cu operaia de inversare a cifrei de ordin superior.

( ) n

<1+>Sumarea numerelor cu /emne di#erite! <n proc de adun a nr pot fi cazuri cnd arte loc transformarea din ordin numrul n ordenul semnuluirez ultet greit. 0 ncercm s cercetm procesul de sumare a numere de diferite semne. 1ie c avem de sumat dou numere de ordinul (A)! (n,an-"an- Za% i Fn, bn-"bn- Z b%. 1. (n,Fn>%, ambele nr sunt poz 0n-"(a), 0n(b) " ,%. ?a sumare pot fi cazuri cnd este transferul i cnd ne este =n-",% sau =n"," 2,(n>%,Fn<%,= n-",%=n-"," ",(n<%,Fn>%,= n-",%=n-"," 7,(n<%,Fn<%,= n-",%=n-"," )B analiz tab de adevr = ai bi 0i i

% % % % " % % " % % " " " % " % % " % " " " % " % " " % " " " " obs c rezultatul poate fi corect sau greit. PBu a det cnd rez este corect sau incorect se fol un disp numit dispozitiv de prezentare a suprasarcinii. 1ie dac avem suprasarcin pozitiv aBi ea se calc n modul urmtor >G8, & & & >G$$, & & & - suprasarcin negativ. 49? >G8 8>G$$, & & & 8
a b s a b s a b s

23. Unitate de inmulire

&a&b &s

0c5ema! (c dispozitiv servete ca sumator pBu unitate de vscadere

<n rezultatul op de nmul au fost e$ecut urmt op intermed! -dB nmulitor e ", aBi demulitul se repet, dB nmulitor e %, aBi rez inmul este % -dup fiec nmul parial rez inmul se deplaseaz faa de preced rez cu un orden la stg. -rez inmul pariale se adun. )isp de nmul a nr poate fi construit prin dif metode! 1 poate fi utiliz HS de deplasare. NeaBun/ul princip esteHS trebuie diri3at de un program# -timpul de efec-tuare a op de nmul de-

pinde de ordenul numrului. 2 utilizarea elem combinaionale. AvantaB! - nu treb un progr special pBu a diri3a ac proces de inmul# - timpul de efectuare a op de nmul nu depinde de ordenul numrului. )ac elementul principal este sumatorul atunci putem construi un despozitiv de nmulire n care trebiue s aib loc i adunare. 0c5ema este!

?a intrre din stg se aplic nr (, nr C este suplimen-tar i este % la intrare, la itrare c% se alic nr F b& b b" b% determen numrul ( la ntrrile sumatorul.)ac b4 , ", atunci

la ntrarea se componente a aplic nr (. memoiei! 0-;% - memoria intern - memoria 8""%" e$tern %%%% ;emoria ""%" intern PAP@P:P'P&P construit pe P"P%, baza "%%%"""" microcircuitel P,(4F8C - or de tipul rez inmul. H>; sau )in ac sc5em H(;. /n cazul se vede c! memoria -n proc de e$tern avem nmul nu discuri banda trebuie de magnetic, mem rez banda nmul perforat, i -nu este nec de dispozitivele un progr respective aparte pBu a necesare diri3a cu proc pentru citirea de nmul sau inscrierea -timpul in funciei pe necesar pBu purttor. nmul este H>;( ;H>; det de viteza ,PH>;,.EPH de lucru a >;, sumatorului# E PH>;) -pBu a nmuli H(; nr de ord m ( 0H(;# mare se )H(;) adaug nr. ;emoria necesar de intern a unui sumatori. calculatorul personal are companen permanent i 21%emoria companen calculatorului memoria In#ormaia operativ. (tt general memoria Pentru permanent c calculatoarele t i memo-ia personale operativ este deosebit confe-ional din

microcircuite deosebirea fiind numai n elementele de baza utili-ate pentru confecionarea microcircuitel or. ;icrocirculitul ui memoriei permanante n principal snt confecionate in elemente convenionale cum ar fi decoderul i coderul. /ar microcircuitul memoriei operaiv snt confecionate pe baza registrulor care la rndul su snt construii din tranzistori sau din eleme-nte de tip condensator (varicap). Garicap 9 o diod sub aciunea tensiu-nea sc5imb capacitate. /n calculatorului personale volumul memoriei permanente pn la ";bYte se folosete pentru F/>0.

=onfigutraia micocircuitulu i memoriei operative poate fi unu sau bidiminsional. ;icrocircuitul memoriei operative! -Pentru mrirea numrul de bii -Pentru m rirea numrul de cuvini Elementele mem oriei opera tive ;icrocircuitul memoriei operative alctuiec partea ma3oritar a memoriei intern /n sc5emele electrice microcircuitul memoriei operative se nseamn de urmtoare.

microcircuitul ui) HB[ 9 Head B[rite Gom prezenta structura unui microcircuit de memoria H(; care are organizare E$" (E cuvinte, "bit fiecare) trebuie s avem E adrese pentru a adresa E registri. (dresa %%% %%" %"% %"" "%% "%" ""% """ Hegistru HS% HS" HS HS& HS' HS: HS@ HSA Pentru a construi microcircuitul de memorie operativ este necesar un decoder (&$") i E regitri

;)magestrala de date ;( 9 magestrala de adrese =0- =/P 0elect (selectarea

0c5ema dat are urmtoare regimuri! ") =0,% la ieire \(") i \( ) HB[,6 6,%#"

=5eile C"4. C 4 sunt interuptoare. ;icrocircuit nu este conectat la ;). ) =0," <n acest caz fie c HB[ ] ," la ieirile \("),% , c5eia C4 este ntrerupt iar la ieirea \( )," , c5eia C 4conectat i n acest caz n dependena de adresa aplicat poate fi citit informaia din registru respective. &)=0," , HB[,% \(")," care conecteaz c5eia C"4, iar ieirea \( ),%, C4 deconectat. <n dependena de adresa de date informaia poate fi scris n registru respectiv. <n acest desen nu sunt prezentate = (semnal de tactare), ntrrile H(tergerea informaiei) pentru a nu

complecta desen. (a o structur de organizare a microcircuitul ui de memorie operativ se numete unidiminsional , <n loc deregitri de un singur bit pot fi utilizai regitri den n bii (n,E,"@,& ,,,,), ns structura rmne unidiminsional ! =onstruim un tabel n care vom prezenta nr.\ de nr. de c5eie.

cnd sunt necesare cazuri de a nscrie cuvinte de alt standart, se poate construi o sc5em din mc standarte. <n procesul construirii sc5emei din mc std putem obine o stuctur care! -va avea un nr de cuvinte ma3orat -va avea un nr de bii n cuvinte ma3orat 1ie c avem o structu r E la ' mc. )in care vrem s constru im E4E i "@4' E4E

18 /c)eme &aralele ale memoriei o&erative. %emorii o&erative dinamice. <n prezent microcircuite a ;> se produc conform formulei std nm i n cazul

22 'i/&ozitive re/& IN92,SIA de 'A 2-4, o&er >p de eleme inversare se ntere. fol pe larg n IN@, procesul 2%2 ndepl op N A, aritm de adun 2A I a nr cu semne '2@, diferite. >p de I%2N inversare A,2 poate fi A efectuat de 'A 2 HS sau de o -4, . sc5em Iniiali combinaional zare. 7oate op log, a. cu a3ut HS aritm, e$ecutatede microprocesor, controler, b.n procesul adaptor, n transferrii disp de transfer a inf se reduc la e$ecutarea op '2 elementare!>p 'A 2 '2(-ASA,2 de transfer,>p . A de inversare, IN92 se 1olosete 'A 2-4,. "@4' )eplas ,SA, un semnal de )estul de des ordenului, sin5ronizare 2A n procesul de /ncrement , 'A 2 numit clocD decrement, ,ANSF2, lucru apare -4,. ;> dinamic neces de a tergere , 'e&la/ U- 'IN poate pstra efectua op de nscriere ,2=IS ,U area informaia deplasare a nr. IN@,2%2N CN unui interval 7oate op log, A,2A I %A=IS ,A cu un ordin de timp limitat aritm, '2@,I%2N -A '2 'A 2 sau m multe e$ecutatede "%- %ms. ordine spre stg A,2A microprocesor, Elementui de sau dr. 'A 2-4, controler, 0c5ema! adaptor, n

baz este disp de condensator transfer a inf se reduc la <n aa e$ecutarea op elementare! microcircuite >p de informaia transfer,>p de trebuie sc5imbat des. inversare, )eplas 0c5ema ordenului, /ncrementare , decrementare, tergere HB[," C- informaiei, nc5is, nscriere nscriere ,ANSF2, (amplificator U"), HB[,% se 'A 2-4, activeaz >peraia amplificatorul elementar de , C- transfer e cea deconectat,citi mai frecvent re i poate fi organizat 21 'i/&ozitive ntre re/& urmtoarele de elemente! o&er -H9>H,H9 eleme >;),;)9>H ntere. ,AN sc5emaHegistr SF2, u J(K n HJFK! U-

'in %' 0n ,=

>p de incrementare i decrimentare asupra datelor se ndepl cu a3ut unui

(a el-le se folosesc n microprocespa re i adaptori (controler

') =,K"K (H, /, V, [,K%K) \", \ , \&, \' 9 nu funcioneaz

)B /," incrimentare )B /,% decrimentar e Iniializare. (c op se utiliz nainte de a efectua op asupra datelor. PBa a efectua op eBe nec de a terge inf n HS strile preced, sau rez op preced. PBu ac se face iniializare. <n cazul cnr este nec ca la eire HS s fie unitatea se face op de instalare n starea unitate. 0c5ema

>peraiile e$ecutate snt! [-se scrie inf din ;) n HS, H-trans din HS n ;), / 9 incrementare, = 9inversare, V- iniializare. PBu a ndeplini aBte oper-aii eBe nec ca numai pe un canal [, H, /, =, V s fie ",iar pe celelalte s fie %! ".[,K"K (H,=,/,V,K%K)transf din ;) n HS
( i ='Di

(") . H,K"K (=, /, V, [,K%K) inf din HS pe mg


K i =' Di

Di = RQi

0etBHest,% Pi,% 9 Qi " iniializare, ( i = K i = I)i " 0etBHest," (&) Pi," 9 <n aBt caz eBe instalare diferena pBu unitii TT% ,n cBe 2+,egi/tru ( % = * % = "( multio&ional.

( ) &. /,K" (=, H, [, V,K%K) inf eBe trans la intr-e K i , ( i de la ieire

de a transmite instr resp intro ordine anumit,pBu ac controler. ( i = K i =" Etapele! ", (') Va,"-iniializ i are loc a (== inversare , Ha,"-nsc :) V,K"K (H, nr+a pe =, /, [,K%K) ;),[Ki = + (i = % inscriere &, H,"-transm (:) 1ormulele a nr+a n (")...(:) pot fi (==,i nr se generalizate R aplic la intr a i C a a sumat bistabilului. ', Hb,"-nsc ( i = 'Di + I)i " + C nr+b pe K =' D + I) +C ++ HS,[inscriere nr la 23 @ontroler prima intr a sum, apoi are elementar loc def! )rept +a8+b,+s =ontroler se consid un disp :, [a,"nscrie rez +s electr, n (cc numeric,cBe @, poate ndepl Ha,",[a,",+ op element i ndepo f-ia de s se nscrie n HSa. control a 27Sc)ema a e$ecutrii a m&. (rinci& unei op compuse din f- de lucru @aracter m& le Intel.)ef! element.0c5e +umim ma controler microprocare asig cesor unitate adunarea a nr central a calc, cu semne dif. care ndepl op log i aritm i diri3 cu proc de lucru a tut >p de sumare disp a calc. a nr +a +b. ;= - mag de PBu a face com;/-mag adun eBe nec intern
i i i "

suntmem n =ac5e =) i depind de tipul de date, sunt Princip de lucru!>p nde- prelucr -(? de tip integer plinite de mp de -(? cBe sunt scrise prel datele n intr-un virgula program pBu flotant. Hez e$e-cutarea obinute pot fi programulul cu viteza ma$. mem n HS de lucru n mem Prog treb s =ac5e =) sau fie mem in ;>, se tie c n ;>. att condurile rocedurile prelucrrii inst att i instruciunilor codurile de date se pstr n i codurilor de date se e$ecut ;>. )up pornirea prog n mod mp elab cereri consecutiv. 28%icrocircui i co-durile tele /td adreselor. intrareDieire. =onform PBu cererilor din ;>n mp sunt organizarea lucrului dintre transmise codurile instr. microprocesor (poi codurile i dispozitivele periferice date-lor. =odurile instr (transmiterea instruciunilor, se mem n i datelor n =ac5e =/. <n ambele continuare ac direcii), se coduri sunt folosesc transmise n adaptori, care decoder,unde se trans-form includ n n semnale de componena sa microcircuite diri-3are, care apoi sunt apl- specializate de icate la diferite tipul! uniti a mp, i -(H7 P/> unit de =7= comand. =odurile 1)= datelor

UA, 9 eBe un microcircuit utilizat pBu transferul datelor dintre microprocesor i dispozitive periferice de tip consecutiv. 7rebuie s aib bufer mare

consecutivitate de impulsuri -a(D)^ -a(t) -a(D)*. (c F'@ 9 proced se microcircuit, effect cu a3ut care unui organizeaz modulator lucrul dintre microprocesor amplitudinii i disD fle$ibil. impulsului. Princ de lucru! dB =,% aBi la ieirea ac modulator nu ob nimic -a(D),%, =," -a(D), -a(t)

impuls / se det val num. pBu ac intervalul de variaie a valorilar impulsului se mparte n m nivele cu un pas anumit ,(-ama$-amin)Bm

(I4 9 microcircuit, care organizeaz transferul datelor dintre microprocesor i dispozitive periferice de tip paralel.

2ASc)ema$ bloc a microcalculat orului. (arametrii &rinci&ali. Gom prezinta sc5emabloc a calculatorului de tip /F; pe baza elementelor studiate
f

(c semnale discrete snt cuantificate cu a3ut unui set de comparatori i un decoder.

;icrocirc transf semnale analogice n mrimi num cu scopul de a le nscri n mem calc i lucreaz utiliznd metoda discrizrii i cuantificrii. =ea mai rapid met este met discrizrii. =onvertor de tip paralel

parametrii! ordenul ;), ordenul ;(, nr de porturi, ordenul porturilor @ @9 microcircuit, care servete drept surs de semnale de tactare de diferut frecven i ca contor pBu a det-na intervale de timp.

;icroprocesor )=
rB_ 5 5 5 rB_ 5

H>;
rB_ rB_

cs" cs D D cs' D

H(; -(H7 /mprimanta P/> =onvertor analogiconumeric 1)= 1)) H>; ;onitor
cs&

rB_ 5 rB_ 5

cs: D cs@ D

"1'i/cretizar ea i cuanti#icarea /emnalelor =onversia semnalelor de tactare.(roc de di/creti$ zare-este un process de prezentare a semnalului sub forma de o

(pare probl corectitudinii! alegerea semn de tactare. =riteriu de alegere! >rice semnal de tactare prez de un spectru limitat poate fi restabilit din setul de impulsuri luate cu un int t
" ,1ma$ F ma$

=omponena! set de rezistori conect consec H%..Hn-"


Ri =
i

Param princ! -vit de lucru eBe direct propor cu frecvena de tactare# -ordenul# -puterea consumat, curentul nominal, tens mominal. @onvertarul numerc$ analog$un disp utilizat pBu a trans codul num n semnal analog. (a o proced se utilize destul de des n sist automatizate.

este fegvena ma$ a armonicii spectrului a semn . (roc de cuanti#icare. )up ob setului de impulsuri fiec

-%NZN-n-", E%-tens etalon, ;(/ modulator amplitudinii impulsului, ==;icomparatoarel e. 0emnale obinute la ieirea comparatorulu i sunt aplicate la intr codificatprului . cBe la rndul su le transf n "2@onvertaru cod binar.proced l analog$ numerc. de cuantificare.

=omponena! set de rezistori conect consec H%..Hn-"


Ri =
i

E%-tens etalonse aplic la rezistorii.1iec resist este connect cu o c5eie electr, care este diri3at de de decoder. El tramsmite cod bin aplic la intr n semnal electronic pe una di ieiri,dac la o ie a

decoderului ' apare o tens aB/ c5eia resp se connect. PBu orce cod binarY se connect o c5eie. (mplific mrete amlitudinea semnalului pn la niv necesar. Param princ! -vit de lucru -ordenul# -tens nominal. - curentul ma$imal.

;P ce ( lu la ( " ( % ; %% % % ; %% " " ; %" % ; %" & " ; "%

)&) )" )%

%"%" %%%" %"%% %"%% "%"%

S-ar putea să vă placă și