Sunteți pe pagina 1din 11

PlacaDigilentNexys Manualdereferin

Prezentaregeneral
Placa Digilent Nexys este o platform de dezvoltare pentru circuit integrate, bazat pe un FPGA Xilinx Spartan 3. Placa Nexys ofer vectori externi de memoriededimensiunimari,ocolecie de dispozitive I/O folositoare i numeroase porturi, devenind astfel platforma ideal pentru experimentele cu sisteme digitale bazate pe FPGA, incluznd i nucleuri integrate precum MicroBlazedelaXilinx. Placa Nexys este potrivit att pentru circuitele logice simple ct i pentru sistemele digitale complexe. Toate semnalele externe sunt fr ncrcare electrostatic i au protecie pentru scurtcircuit,asigurndastfelofuncionaredurabilnoricemediu. Nexys este perfect compatibil cu toate versiunile instrumentelor Xilinx ISE, incluznd i versiunea gratis WebPack. Caracteristicile plciiNexysinclud: Poart200K Xilinx XC3S200 FPGA cu opearaii 500+MHz (sunt disponibile i versiunile de pori 400K i 1M) Port USB2 pentru configurarea FPGA i transfer de date de mare vitez (folosind Adept Suite Softwarecareestegratis) AlimentareUSB(bateriilei/sautecherdereeapotfifolositedeasemenea) 16MBMicronPSDRAMrapidi4MBIntelStrataFlashFlashROM XilinxPlatformFlashROMcarestocheazconfiguraiiFPGAnesfrite Eficienmarencomutareasurselordealimentare Oscilatorde50MHz

ConectorpentruLCDdenaltrezoluiesau16*2afiajLCDpentrucaractere 60 I/Ouri pentru FPGA direcionate ctre conectorii de expansiune (un conector de mare vitezHiroseFX2i4antete6pin) 8 LEDuri, display pentru 4 cifre, fiecare fiind format din 7 segmente, 4 butoane, 8 comutatoare Estelivratntrocarcasdeplasticdeprotecie,mpreuncucablulUSB

Descrierefuncional
Placa Nexys o platform ieftin, robusti uor de folosit de ctre oricine dorete s experimenteze dispozitivile FPGAi metodele moderne de design. Este centrat pe Spartan 3 FPGAi suport toate circuitele necesare astfel nct designurile s fie implementatei folosite repede. Colecia mare de dispozitive onboard permit ca multe designuri s fie realizate fr a avea nevoie de hardware auxiliari face din Nexys platforma ideal pentru a experimenta designuri noi sau pentru a nva despre FPGAuri i instrumentele CAD. Conectorul 100pin de mare vitez i cei patru conectori expansivi 6pin permit ca designurile s se dezvolte dincolo de Nexys, fie prin folosirea plcilor create de utilizator, fie a unor module periferice (Pmod) de plci oferite de Digilent. (Pmodurile pot fi module I/O digitale sau analogice ieftine care ofer conversie A/D sau conversie D/A,antrenare mecanic, senzori de intrare.a.). Semnalele pentru fiecare conector expansiv 6pin sunt protejate mpotriva defectelor cauzate de descrcarea electrostatic sau conexiuni scurtcircuitate, asigurnduse astfel o funcionalitate durabil n orice mediu. Placa Nexys funcioneaz fr probleme cu toate versiunile intrumentelor Xilinx ISE, incluznd i intrumentele gratis WebPack. NexysestealimentatprininterfaaUSBiestelivratmpreuncuuncabluUSB,astfelnctdesign urilepotfiimplementateimediat,frafinecesarhardwareauxiliar.

ConfigurareFPGAiPlatformFlash
FPGA din placa Nexys trebuie configurat sau programat de ctre utilizator nainte ca aceasta s ndeplineasc orice funcie. Softul de design, precum WebPack de la Xilinx, poate fi folosit pentru a defini orice numr de circuite care vor fi programate n FPGA. Odat programat, FPGA va reine configuraia att timp ct este alimentat. Fpga poate fi programat n dou moduri: direct din calculator sau prin Platform Flash ROM care este integrat pe plac i este de asemenea programabil de ctre utilizator. Pe plac se gsete un jumper care determin ce va folosi FPGA pentru a ncrca configuraia: calculatorul sau ROM. FPGA poate ncrca automat o configuraie de pe Platform Flash ROM atunci cnd este pornit la alimentare , prin setarea modului de selecie a jumperuluiJP3laFLASH. Trebuie menionat c o configuraie demonstrativ este ncrcat n placa Nexys n timpul fabricaiei. Dac aceast configuraie nu a fost suprascris, poate fi ncrcat automat n FPGA prin starea modului de selecie a jumperului JP3 la FLASHi putere ciclic sau prin apsarea butonului dereset.

FPGAi Platform Flash ROM pot fi programate folosind calculatorul cu ajutorul softului Adept de la Digilent sau iMPACT de la Xilinx. Amndou pot fi descrcate gratuit. Adept de la Digilent folosete circuitul USB, iar iMPACT cablul paralel JTAG3. Atunci cnd se utilizeaz circuitul USB, un jumper ocolitor al cablului trebuie s fie ncrcat n headerul JTAG la J6 pentru a pute conecta semnalele TDIiTDO. Pentru a programa placa Nexys, se conecteaz cablul la placi la calculatori se alimenteaz placa. Se pornete softul de programarei se ateapt ca FPGAi Platform Flash ROM s fie identificate automat.PentruaprogramaFPGA,seselecteazfiierul.bitdorit;pentruaprogramaPlatformFlash , se selecteaz fiierul .mcs. Se apas clickdreapta pe dispozitivul care trebuie programat i se selecteaz funcia programului. Fiierul de configurare va fi trimis ctre FPGA sau Platform Flash, iar soft=ul va indica dac programarea a fost efectuat cu succes. Pentru mai multe detalii legate de programareadispozitivului,sepoateconsultamanualeledeutilizarepentruAdeptsauiMPACT. AttFPGA,ctiPlatformFlashROMvorapreamereuatuncicndseefectueazdetecialor.Dup ce Platform Flash ROM a fost ncrcat cu un fiier de configurare, FPGA poate ncrca automat fiierulatuncicndestealimentatdacjumperulestesetatnpoziiaROM. Exist un buton reset care poateterge ntreaga configuraie FPGAi se poate ncepe apoi un nou cicludeprogramare.UnLEDdenumitgatavaluminaatuncicndFPGAfostconfiguratcusucces.

Alimentareacuenergieelectric
Placa Nexys poate fi alimentat cu ajutorul portului USB sau a oricrui alimetator DC care produce o tensiune electric de 59 V DC. Mufa de alimentare a plcii necesita un conector centrupozitiv de 2.1 m la fel care se gsesc n alimentatoareletecherelor de reea.Tensiunileelectricemaimaride10V potdistrugeplaca. Tensiunea electric efectiv din jackul de alimentare este direcionat ctre conectorul expansiv 16pin i ctre un reglator de tensiune de 3.3 V. Alimentrile de 2.5 Vi 1.2 V cerute de ctre FPGA sunt generate din alimentarea de 3.3 V . Cantitatea total de curent necesar plcii este dependent de configuraia FPGAului, frecvena ceasului i de conexiunile externe. n circuitele de test cu porile 20K, o surs de ceas de 50 MHzi toate LEDurile luminate, aproximativ 200 mA de curent este tras din alimentarea de 1.2 V , 50 mA din 2.5 V i 100 mA din 3.3 V. Cantitatea de curent necesar va crete dacsunt configuratecircuite maimarin FPGAsaudac suntataate plciperiferice.Tabelul demaijosdescrieparametriialimentriicuenergieelectric. Vendor Linear Technology Linear Technology Linear Technology Linear Technology Linear Technology Technology Switcher Switcher(dual) Switcher(dual) Linear Linear Use Mainpower FPGAVaux FPGAVcore Vsram Vusb Supply 3.3V(IC6) 2.5V(IC7) 1.2V(IC7) 1.8V(IC8) 3.3V(IC4) PN LTC1765 LTC3417 LTC3417 LTC1844 LTC1844 Current (Max/typ) 3A/100mA 1.4A/50mA 1.4A/200mA 150mA/90mA 150mA/60mA

Oscilatoare
Placa Nexys include un oscilator de silicon primar, configurabil de ctre utilizator, care produce 25 MHz, 50 MHz sau 100 MHz, n funcie de poziia jumperului JP4. Un socket pentru al doilea oscilator este de asemene oferit la IC11. Oscilatorul primar i cel secundar sunt conectate la pinii globali de intrare ai ceasului , la pinul A8, respectiv la pinul R9.Ambele intrri ale ceasului pot direciona sintetizatorul DLL al ceasului de pe Spartan 3, permind frecvene interne de la de patru ori frecvena de intrare i pn la orice diviziune ntreag a frecvenei de intrare.

I/OUtilizator
PlacaNexysincludenumeroasedispozitivepentruintrriiieiri,precuminumeroaseporturi pentrudate,permindastfelimplementareadiferitelordesignurifrafinevoiedealte componente. segemente 8LEDuriindividualeAfiajLEDtipapte

8comutatoare 4butoane

Intrri:Comutatoareibutoane
Patru butoane i opt comutatoare sunt oferite pentru intrrile circuitului. Butoanele sunt n mod normal inactive i se activeaz n momentul n care sunt apsate. Comutatoarele genereaz constant intrri reduse sau nalte n funcie de poziia lor. Butoanele i comutatoarele folosesc un rezistor serie pentru protecie mpotriva scurtcircuitelor (un scurtcircuit ar putea avea loc dac un pinFPGAasignatunuibutonsaucomutatorarfidefinitnmodneintenionatcaunoutput).

Ieiri:LEDuri
Opt LEDuri sunt disponibile pentru ieirile circuitului. Anodurile LEDurilor sunt conduse din FPGA prinrezistoride390ohm,aacun1logicvaluminacu34madinunitateacurent.Unalnoulea LED are rolul de LED care semnalizeaz pornireai un al zecelea LED indic starea de programare a FPGAului.

Ieiri:Afiajaptesegmente
Placa Nexys conine un anod comun din patru cifre cu afiaj LED format din apte segmente. Fiecare din cele patru cifre este compus din apte segmente aezate sub forma cifrei 8, cu cte un LED integrat n fiecare segment. LEDurile segmentelor pot fi iluminate individual, aa c oricare dintre cele 128 de modele poate fi afiat pe o cifr prin iluminarea anumitor segmente i neiluminarea celorlalte. Dintre cele 128 de modele, cele mai folosite sunt cele zece corespunztoare cifrelor zecimale. Anodurile celor apte LEDuri care formeaz fiecare cifr sunt legate mpreun ntrun anod comun, dar catodurile LEDurilor rmn separate. Semnalele anodului comun sunt disponibile ca 4 semnale de activare cifrpentruafiajuldetip4cifre. Catozii segmentelor similare pe toate cele patru afiaje sunt conectate n apte noduri de circuit etichetate de la CA la CG (de exemplu, cei patru catozi D de la cele patru cifre sunt grupai mpreun ntrun singur nod de circuit numit CD). Acesteapte semnale de catod sunt disponibile caintrripentruafiajulcupatrucifre.Aceastschemdeconectareaasemnalelorcreeazunafiaj multiplexat, unde semnalele de catod sunt comune tuturor cifrelor, dar pot ilumina numai acele segmentealecifreloralecrorsemnaldeanodcorespunztoresteactivat. Un circuit controller pentru scanarea afiajului poate fi folosit pentru a arta un numr format din patru cifre pe acest afiaj. Acest circuit conduce semnalele de anod i modelele catozilor corespunztori fiecrei cifre ntro succesiune continu, repetitiv, la o rat de actualizare care este mai rapid dect poate rspunde ochiul uman. Fiecare cifr este iluminat doar o ptrime din timp, darpentrucochiulumannupoatepercepentunecareacifreipnatuncicndesteiluminatdin nou, cifra apare ca fiind iluminat continuu. Dac rata de actualizare este micoratpnlaun anumit punct (n jur de 45 Hz), atunci majoritatea persoanelor vor vedea displayul

plpind. Pentrucacelepatrucifresaparstrlucitoareiiluminatecontinuu,estenecesarcatoatepatrus fie actualizate la 116 ms (pentru o frecven de 1kHz 60 Hz). De exemplu, ntro schem de actualizare de 60 Hz, ntregul afiaj va fi actualizat la fiecare 16 ms i fiecare cifr va fi iluminat pentru o ptrime din timpul un ciclu de actualizare. Controllerul trebuie s se asigure c este prezentmodelulcorectalcatoduluiatuncicndsemnaluldeanodcorespunztoresteactivat.Pentru a ilustra procesul, dac AN0 este activat atunci cnd CBi CC sunt activate, atunci se va afia 1 n poziia1acifrelor.Apoi,dacAN1esteactivatntimpceCA,CBiCCsuntactivate,atuncisevaafia 7npoziia2acifrelor.DacAN0iCBiCCsuntactivepentru4msiapoiAN1iCA,CBiCCsunt active pentru 4 ms ntro succesiune fr sfrit, afiajul va arta 17 n primele dou poziii ale cifrelor.Esteoferitodiagramdetimppentruuncontrollerdepatrucifre.

Porturiiconectoriexterni
PorturiUSB
Nexys conine un circuit USB2 integral bazat pe un controller USB Cypress CY7C68013. Portul USB poate fi folosit pentru a programa dispozitivele Xilinx integrate pe plac, pentru a realiza transferuri de date pentru utilizator de pn la 37 Mbytes/sec sau pentru a alimenta placa. Programarea este realizat cu Adept Suite Software de la Digilent. Sursa de putere pentru plac este determinat de scuratarea pinilor adecvai la J4. Fiierele de programare sunt generate cu diferite pachete de soft, DigilentrecomandndpecelgratuitdelaXilinx,ISEWebPack.Odatcefiiereledeprogramaresunt generate,programareaplciiestefinalizatcufunciadeExport,inclusnAdeptSuite. Portul USB de pe placa Nexys poate fi de asemenea folosit pentru transferul datelor pe calculator. AdeptSuiteoferointerfapentrucautilizatorulssepoatacomodamaiuorcufunciileoferite. CircuitUSBoferoflexibilitatesporitatuncicndplacaestefolosit.

Conectori6pini
Placa Nexys ofer un modul periferic de patru conectori 6pini. Fiecare conector este caracterizat prin Vdd, GND i patru semnale FPGAS unice. Toate cele patru circuite 6pin au rezistori pentru protecie mpotriva scurtcircuitelor i diode pentru protecie mpotrivadescrcrilorelectrostatice. Diferite module de plci 6pin oferite de ctre Digilent pot fi ataate acestui conector. Ele pot fi plci difuzor, plci Hbridge sau plci de senzori etc. pentru mai multe informaii se poate accesa siteul www.digilentinc.com.

Conectori16pin
PlacaNexysoferun conector16pin pentrua putea fi folosit mpreun cu interfaa modulelor 16pin care sunt n stadiul de proiectare sau producie. Interfaa 16pin este proiectat pentru folosirea mpreun cu modulul VGA, modulul LCD caracter sau modulul LCD grafic. Conectorul este caracterizat prin Vcc, GND i paisprezece semnale unice FPGA. De asemenea deine rezistoripentruproteciempotrivascurtcircuitelor.

Memoria
Nexys conine un Intel JS28F320J3, 32 Mbit StartaFlash i un Micron MT45W8MW16 128 Mbit Cellular RAM. Aceste dou dispozitive mpart OE, WE, precum i toate adresele i semnalele decontrolDATA. MemoryPinAssignaments FPGA DATA Pin Pin C3 DB15 A3 DB14 M4 DB13 D3 DB12 G5 DB11 H3 DB10 G4 DB9 L4 DB8 F3 DB7 M3 DB6 L5 DB5 N3 DB4

ADDRPin ADR23 ADR22 ADR21 ADR20 ADR19 ADR18 ADR17 ADR16 ADR15 ADR14 ADR13 ADR12

FPGA Pin D2 E2 D1 E1 F2 G2 G1 H1 R3 R1 P1 P2

ADR11 ADR10 ADR9 ADR8 ADR7 ADR6 ADR5 ADR4 ADR3 ADR2 ADR1

F5 F4 E3 G3 K4 H4 K3 J4 L3 K5 J3

DB3 DB2 DB1 DB0

N1 N2 M1 M2

J1A 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38

Name VCC3V3 VCC3V3 TMS JTSEL TDOFX2 FX2IO1 FX2IO2 FX2IO3 FX2IO4 FX2IO5 FX2IO6 FX2IO7 FX2IO8 FX2IO9 FX2IO10 FX2IO11 FX2IO12 FX2IO13 FX2IO14 FX2IO15 FX2IO16 FX2IO17 FX2IO18 FX2IO19 FX2IO20 FX2IO21 FX2IO22 FX2IO23 FX2IO24 FX2IO25 FX2IO26 FX2IO27 FX2IO28 FX2IO29 FX2IO30 FX2IO31 FX2IO32 FX2IO33

HiroseFX2ConnectorPinAssignments FPGA J1B Name 1 SHIELD 2 GND C13 3 TDOROM 4 TDK 5 GND B4 6 GND A4 7 GND C5 8 GND B5 9 GND E6 10 GND D6 11 GND C6 12 GND B6 13 GND E7 14 GND D7 15 GND C7 16 GND B7 17 GND D8 18 GND C8 19 GND A10 20 GND B10 21 GND D10 22 GND E10 23 GND B11 24 GND C11 25 GND D11 26 GND E11 27 GND B12 28 GND C12 29 GND A13 30 GND B13 31 GND A14 32 GND B14 33 GND B16 34 GND C16 35 GND C15 36 GND D14 37 GND D15 38 GND

FPGA C14

10

39 40 41 42 43 44 45 46 47 48 49 50

FX2IO34 FX2IO35 FX2IO36 FX2IO37 FX2IO38 FX2IO39 FX2IO40 GND FX2CLKOUT GND VCCFX2 VCCFX2

D16 E15 E16 F14 F15 H15 H16 D9

39 40 41 42 43 44 45 46 47 48 49 50

GND GND GND GND GND GND GND FX2CLKIN GND FX2CLKIO VCCFX2 SHIELD

C9 B8

Pin 1 2 3 4 5 6

Name JA1 JA2 JA3 JA4 GND VCC

FPGA Pin T14 R13 T13 R12

PMODExpansionConnectorPinAssignaments Pin Name FPGA Pin Name FPGA Pin Pin 1 JB1 T12 1 JC1 D5 2 JB2 R11 2 JC2 P9 3 JB3 P8 3 JC3 A5 4 JB4 T10 4 JC4 A7 5 GND 5 GND 6 VCC 6 VCC

Pin 1 2 3 4 5 6

Name JD1 JD2 JD3 JD4 GND VCC

FPGA Pin A9 A12 C10 D12

PinoutpentruoplacdeextensieVGAsiPS2conectatlaconectorulPMOD: NET"r0"LOC="T13"|IOSTANDARD=LVCMOS33; NET"r1"LOC="R13"|IOSTANDARD=LVCMOS33; NET"r2"LOC="T14"|IOSTANDARD=LVCMOS33; NET"r3"LOC="R12"|IOSTANDARD=LVCMOS33; NET"g0"LOC="P8"|IOSTANDARD=LVCMOS33; NET"g1"LOC="R11"|IOSTANDARD=LVCMOS33; NET"g2"LOC="T12"|IOSTANDARD=LVCMOS33; NET"g3"LOC="T10"|IOSTANDARD=LVCMOS33; NET"b0"LOC="A5"|IOSTANDARD=LVCMOS33; NET"b1"LOC="P9"|IOSTANDARD=LVCMOS33; NET"b2"LOC="D5"|IOSTANDARD=LVCMOS33; NET"b3"LOC="A7"|IOSTANDARD=LVCMOS33; NET"vsync"LOC="A9"|IOSTANDARD=LVCMOS33; NET"hsync"LOC="A12"|IOSTANDARD=LVCMOS33; NET"ps_data"LOC="D12"|IOSTANDARD=LVCMOS33; NET"ps_clk"LOC="C10"|IOSTANDARD=LVCMOS33 Aceastaesteodescriereaacumaparenfiierulnume.ucf

11

S-ar putea să vă placă și