Documente Academic
Documente Profesional
Documente Cultură
RAPORT
Lucrarea de laborator nr. 3
la AA
A verificat:
Chişinău 2023
Lucrarea de laborator nr. 3
1. SCOPUL LUCRĂRII
2. DESFĂȘURAREA LUCRĂRII
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity UAL_PART is
generic( OPCODE_WIDTH : integer := 4;
DATA_WIDTH : integer := 8);
port( OPCODE : in std_logic_vector(OPCODE_WIDTH-1 downto 0);
OPERAND_A : in std_logic_vector(DATA_WIDTH-1 downto 0);
OPERAND_B : in std_logic_vector(DATA_WIDTH-1 downto 0);
RESULT : out std_logic_vector(DATA_WIDTH-1 downto 0));
end UAL_PART;
6. RTL:
Figura 3. RTL Viewer-ul circuitului pentru arhitectura cu 3 magistrale (Multiple Bus)
7. Diagrama de timp:
7.1 Operația de încărcare (LOAD)
A
Figura 11. Diagrama de timp funcțională a operației de adunare (ADD)
Concluzii:
În cadrul Lucrării de laborator nr. 3, s-a proiectat și simulat arhitectura Multiple-Bus a unității aritmetico-
logice orientate pe acumulator folosind Intel Quartus Prime. S-au implementat instrucțiunile LD, ST și
BRN, precum și cele opționale. După compilare, a fost analizată reprezentarea RTL și s-au creat diagrame
de timp pentru fiecare instrucțiune. Această lucrare a consolidat cunoștințele privind optimizarea și
funcționarea arhitecturilor digitale.