Documente Academic
Documente Profesional
Documente Cultură
RAPORT
Lucrarea de laborator nr. 2
la AA
Chişinău 2023
Lucrarea de laborator nr. 2
1. Scopul
2. Desfașurarea lucrării
entity UAL_PART is
generic(
OPCODE_WIDTH : integer := 4;
DATA_WIDTH : integer := 8
);
port(
OPCODE : in std_logic_vector(OPCODE_WIDTH-1
downto 0);
OPERAND_A : in std_logic_vector(DATA_WIDTH-1 downto
0);
OPERAND_B : in std_logic_vector(DATA_WIDTH-1 downto
0);
RESULT : out std_logic_vector(DATA_WIDTH-1
downto 0)
);
end UAL_PART;
process(INT_OPCODE)
begin
case INT_OPCODE is
when 2 => INT_RESULT <= INT_OPERAND_A +
INT_OPERAND_B;
when others => INT_RESULT <= 0;
end case;
RESULT <= std_logic_vector(to_unsigned(INT_RESULT,
RESULT'length));
end process;
end UAL_arch;
5. Rezultatul compilării circuitului pentru arhitectura cu 3 magistrale:
6. RTL:
Figura 3. RTL Viewer-ul circuitului rulat
Memory.mif
7. Diagrama de timp:
Figura 4. Diagrama de timp pentru generarea semnalelor de comandă la realizarea operației de
adunare în UAL
Concluzii: