Sunteți pe pagina 1din 14

Circuite Integrate Digitale

2007/2008

CAPITOLUL 5

MEMORII SEMICONDUCTOARE

5.1. INTRODUCERE, CLASIFICARE


Memoriile sunt circuite integrate care asigur stocarea (memorarea) informaiei sub form binar. Ele reprezint, n prezent, cele mai rspndite i cele mai vndute circuite integrate din lume att n form distinct ct i n componena altor circuite integrate cum ar fi microprocesoarele, microcontrolerele, FPGA, etc. Memoriile semiconductoare se pot clasific: n mod tradiional: memorii care pot fi doar citite, denumite ROM (Read Only Memory) nscrierea informaiei numit programarea memoriei - se realizeaz ntro etap anterioar utilizrii ei; memorii care pot fi scrise i citite, RAM (Random Access Memory). dup tehnologia de fabricaie: memorii cu tranzistoare bipolare; MOS; CMOS; BiCMOS. dup organizarea magistralei de date: memorii paralele; memorii seriale, (se fabric memorii seriale EEPROM i SRAM). dup modul de utilizare: memorii de program; memorii de date. n general memoriile ROM sunt folosite pentru stocarea programelor iar memoriile RAM pentru memorarea datelor. De asemenea exist: memorii volatile care pierd informaiile memorate la deconectarea alimentrii; memorii nevolatile care nu pierd informaia memorat chiar dac tensiunea de alimentare se deconecteaz. n general memoriile ROM sunt nevolatile, iar cele RAM sunt volatile. O memorie ideal se caracterizeaz prin: capacitate ridicat de memorare; informaie nevolatil; timp de acces redus; 107

Circuite Integrate Digitale

2007/2008

programabilitate n circuit; putere disipat sczut; pre mic. Memoriile prezentate n tabelul 5.1 au dou sau mai multe caracteristici din cele enunate mai sus singura care le ndeplinete pe toate este memoria Flash.
Tabelul 5.1 Tipul memoriei ROM PROM EPROM OTP EEPROM Flash NV RAM SRAM DRAM Comparaie ntre caracteristicile diverselor tipuri de memorii. Celul elementar Capacitate Volatil Reprogramabil cu 1 tranzistor Mare NU DA NU Mic NU DA NU Medie NU DA DA Medie NU DA NU Medie NU NU DA Mare NU DA DA Medie NU NU Mare DA NU Foarte mare DA DA Programare n montaj NU NU NU NU DA DA -

5.1.1. PARAMETRII UNEI MEMORII


n memorii, informaia este organizat fizic pe 1 bit, 4 bii, 8 bii, 16 bii sau 32 de bii. O locaie de memorie de 8 bii se mai numete octet (byte), se noteaz cu B i are ca multipli kB, MB, GB, TB. Mrimea logic ce caracterizeaz limea unei adrese de memorie se numete cuvnt. Timpul de acces - tACC - cel mai important parametru dinamic al unei memorii reprezint durata dintre momentul adresrii memoriei i momentul n care la ieirea de date devine disponibil informaia. Timpul de acces este cuprins ntre cteva ns la cele mai rapide memorii SRAM la cteva sute de ns la cele mai lente memorii EPROM. Capacitatea memoriei (exprimat n multipli de bii sau de octei) este C = m k unde: m = numrul de cuvinte distincte ce pot fi adresate (numrul locaiilor de memorie); k = numrul de bii ai fiecrui cuvnt memorat. Capacitatea de memorare folosete urmtoarele uniti de msur: 1 Kilobyte = 1024 bytes (210 bytes); 1 Megabyte = 1024 KB (210 kilobytes) = 220 bytes; 1 Gigabyte = 1024 MB (210 megabytes) = 230 bytes; 1 Terabyte = 1024 GB (210 gigabytes) = 240 bytes; 1 Pentabyte = 1024 TB (210 terabytes) = 250 bytes; 1 Exabyte = 1024 PB (210 petabytes) = 260 bytes; 1 Zettabyte = 1024 EB (210 exabytes) = 270 bytes; 1 Yottabyte = 1024 ZB (210 zettabytes) = 280 bytes; Progresul tehnologic se reflect permanent prin creterea capacitii de stocare i reducerea timpului de acces (creterea vitezei de funcionare a memoriilor).

5.1.2. SELECIA UNUI CUVNT DIN MEMORIE


Se poate face: liniar; prin coinciden.

CARTE pag. 188. 108

Circuite Integrate Digitale

2007/2008

5.2. MEMORII ROM - Read Only Memory


Memoriile ROM sunt memorii semiconductoare nevolative care pot fi doar citite. Se ntlnesc urmtoarele variante constructive: ROM sunt memorii programate prin masc la productor; informaia se scrie la locul fabricaiei i nu poate fi modificat de utilizator; utilizatorul furnizeaz productorului coninutul memoriei. Sunt ieftine i se execut numai pentru comenzi mari (peste 10.000 buc.); PROM (programmable ROM) sunt memorii programabile de ctre utilizator o singur dat prin ntreruperea sau realizarea unor conexiuni interne folosind un dispozitiv denumit programator; EPROM (Erasable PROM) sunt memorii reprogramabile electric de ctre utilizator; programarea se face electric cu ajutorul unui programator iar tergerea se face prin expunerea la radiaii UV (ultraviolete) cteva zeci de minute. Sunt memorii MOS la care capsulele sunt prevzute cu o fereastr de cuar prin care radiaia UV poate ptrunde pn la structura de siliciu; OTPROM (One Time Programmable ROM) sunt o variant de EPROM care nu au fereastr i permit doar o singur programare la utilizator; EEPROM (Electrical Erasable PROM) sunt memorii reprogramabile care pot fi terse electric (n cteva ms); ele pot fi programate i n timpul funcionrii; FLASH sunt o variant de EEPROM la care tergerea se face mult mai repede (n cteva s.). n momentul actual se utilizeaz preponderent ultimele 4 categorii de memorii realizate n tehnologie CMOS.

5.2.1. SCHEMA BLOC A UNEI MEMORII ROM


Schem bloc a unei memorii ROM conine un decodificator (DCD) urmat de un codificator (CD). Semnificaia liniilor este:
A0 - An-1 - liniile de adres prin intermediul crora se specific locaia de memorie (cuvntul) ce va fi citit; W0, ..., Wm-1 - sunt m = 2n linii de cuvnt (linii de adres decodificate), ele selecteaz intern locaia de memorie; D0, ..., Dk-1 sunt k linii de date, k fiind numrul de bii memorai ntr-o locaie de memorie.

Aplicnd o adres la liniile A0 An-1, la ieirea DCD se activeaz o singur linie Wi selectndu-se o singur locaie de memorie. La ieirea CD se obine informaia nmagazinat n locaia selectat.

5.2.2. MEMORII ROM I PROM


Carte pag.190-191.

5.2.3. MEMORII EPROM


Schema simplificat a unei memorii EPROM cu m locaii de memorie, a 4 bii fiecare (fr partea de programare) este prezentat n figura urmtoare. 109

Circuite Integrate Digitale

2007/2008

matrice de memorare

Vp1

VDD Vp2

D0

D1

D2

D3

Descriere: cele 4 tranzistoare MOS din partea superioar sunt sarcinile active ale tranzistoarelor care formeaz matricea de memorie; nu se utilizeaz rezistene de sarcin deoarece un tranzistor MOS ocup o arie de Si mai mic i consum mai puin putere; tranzistoarele matricei de memorare sunt tranzistoare MOS cu gril flotant; Acestea au o gril suplimentar numit gril flotant Gf care prin ncrcare cu sarcini negative deplaseaz spre dreapta caracteristica iD - Ugs a tranzistorului MOS. Ele vor intra n conducie numai dac tensiunea aplicat n gril este mai mare dect Vp2 (care este mai mare dect tensiunea de alimentare VDD). Dac aceste tranzistoare ar fi tranzistoare MOS obinuite, la activarea liniei de cuvnt Wi, toate ieirile D0 - D3 ar fi puse la masa (0000) i nu se poate memora starea 1. Prin utilizarea tranzistoarelor cu gril flotant se poate memora: starea 0 dac grila flotant nu este polarizat; starea 1 dac grila flotant este polarizat. Prin tergere, se ncarc grila flotant a tuturor tranzistoarelor matricii de memorare (i toate ieirile vor fi pe 1) iar prin programare se descarc grilele flotante ale tranzistoarelor care trebuie s memoreze valoarea 0. Programarea se face cu ajutorul unui impuls de programare (avnd amplitudinea tipic de VPP = 12V, uneori 12,5V) aplicat, dup selectarea liniei de cuvnt Wi = U0H, ntre drena i sursa tranzistorului, cu durata de cteva zeci de ms. tergerea informaiilor se face iradiind matricea de memorare cu radiaii UV. Prin tergere toii biii locaiilor de memorie vor fi pe 1. Dac lungimea de und a radiaiei ultraviolete este mai mic de 4000, distana dintre lampa UV i cip mai mic de 2,5cm, iar puterea radiaiei 12000mW/cm2; tergerea se face n 15-20 minute. De asemenea expunerea continu a unei memorii EPROM la lumina fluorescent din camera poate determina tergerea informaiei n circa 3 ani iar expunerea la lumina solar direct n circa 1 saptamn. Numrul garantat de programri i de tergeri este mai mare de 100, dar defecte sunt frecvente chiar dup cteva cicluri tergere programare. Durata de meninere a informaiei memorate este minim zece ani.

110

Circuite Integrate Digitale

2007/2008

Pentru circuitele EPROM moderne, de capacitate mare, (peste 64 kbii), o programare octet cu octet (dureaz zeci de ms pentru un octet) este inacceptabil de lung (la un EPROM 27512 de 512 kbii, programarea ar dura 20ms x 65536 octei = 22 minute). Pentru reducerea timpului de programare a ntregii memorii au fost realizai algoritmi de programare rapid, caracterizai prin: tensiunea de alimentare mrit la VDD = 6V; tensiunea de programare de VPP = 12,5V. n acest mod, durata impulsului de programare se poate reduce la 1ms sau n unele cazuri chiar de 100s! Prin aceasta chiar la memoriile EPROM de capacitai relative ridicate (peste 1 Mbit), programarea dureaz maxim cteva minute.

Memoria 27C64A
Este o memorie EPROM (codul 27) realizat n tehnologie CMOS de 64kbii organizat ca 8k cuvinte de 8 bii (8k locaii de memorie, n fiecare locaie se memoreaz 8 bii). Ea are urmtorii pinii: 27C64A - 13 linii de adres A0 A12 (8k = 213) magistrala de adrese; - 8 ieiri de date D0 D7 magistrala de date; 13 A0 - /CE - Chip Enable (nevalidat trece circuitul n mod ateptare: toate ieirile A 8
. .

sunt HiZ iar consumul de curent este redus substanial); - /OE Output Enable (pune bufferele de ieire n Z); - /PGM - Programare (se aplic impulsul de programare);

12

D0
.

- VPP - pin la care se aplic tensiunea de progamare de 12,5V. Funcionarea memoriei EPROM este reflectat de tabelul 5.2.

CE . OE D7 PGM Vpp

Tabelul 5.2 Operaiile efectuate de memoriile EPROM.

Operaie
Ateptare (Standby), nevalidare Inhibare ieiri (Output Disable) Citire (Read) Programare (Program) Inhibarea programrii (Program Inhibit) Verificare (Verify)

CE
1 0 0 0 1 0

OE
X 1 0 1 X 0

PGM
X 1 1 impuls X 1

V pp
VDD VDD VDD VPP VPP VPP

A0 A12
X X X X X X

D0 D7
HiZ HiZ Data Out Data In HiZ Data Out

n starea de ateptare (standby) memoria nu este validat CS = 1; pentru operaia de citire a memoriei (read) se efectueaz, n ordine, urmtoarele:
Adres stabil

D0 D7

Date ieire valide

se furnizeaz adresa locaiei de memorie n care se gsete informaia care se va citi;


111

Circuite Integrate Digitale

2007/2008

dup stabilizarea adresei se valideaz memoria ( CE se pune pe 0); apoi se valideaz ieirile ( OE se pune pe 0); dup trecerea timpului de acces (tACC), la ieirile D0 D7 se obine informaia dorit (vezi diagramele temporale alturate!!).
Pentru funcionarea corect este necesar respectarea anumitor condiii temporale, principalii parametrii de timp fiind:

t RC - durata ciclului de citire (intervalul de timp ntre dou schimbri de adres); t ACC - timpul de acces la memorie (timpul scurs din momentul stabilizrii adreselor pn la obinerea datelor la ieiri); tCE - intervalul de timp ntre validarea memoriei i obinerea datelor la ieiri; tOE - intervalul de timp ntre validarea ieirilor i obinerea datelor la ieiri; tOH - timpul de meninere a datelor la ieiri dup ce adresele au fost modificate.

programarea memoriei, conform algoritmului de programare rapid, presupune: furnizarea adresei locaiei de memorie n care se va memora informaia prezent la pinii D0 D7 ; prezena datelor care se vor memora pe magistrala de date (Data In); creterea tensiunii de alimentare la 6V i a tensiunii de programare la 12,5V; aplicarea impulsului de programare la pinul PGM de durat tPW (pe durata acestuia datele de intrare nu se vor modifica!);
Program Program Verify Read Verify Adrese valide tACC Data In Data Out Data Out ViH ViL HiZ 12,5V

A0 A12
tAS
D0 D7

Adrese stabile

tDS VPP

tDH
ViH 6V 5V ViL ViH ViL ViH ViL

VCC

CE PGM tPW OE tOES tOE tAH tOE

n acest mod se programeaz fiecare locaie de memorie. Dup programare se poate face o verificare a operaiei de programare (Program Verify) i/sau o citire. Formele de und aferente acestor operaii sunt prezentate mai sus. Capsula memoriei EPROM 27C64A (prezentat n figur) are 28 de pini i o fereastr circular din cuar prin intermediul creia radiaiile UV ajung la matricea de memorare. Principalii parametrii ai memoriei 27C64A sunt: timp de acces: 150 ns; 112

Circuite Integrate Digitale


2007/2008

curent de alimentare n repaus: 100A; curent de alimentare n funcionare normal: 30mA; tensiune de programare VPP = 12,5V; algoritm de programare rapid (durata total de programare este sub 1 minut);

Vezi i Anexa 3 Memorii EPROM din Circuite Integrate Numerice. Aplicaii i Proiectare.

5.2.4. Memoria OTP One Time Programmable


Este tot o memorie EPROM programat electric o singur dat (la productor sau la utilizator). Nu are fereastr de cuar pentru tergere, este disponibil n capsule de plastic ieftine avnd un pre cu 40% mai mic dect EPROM-ul. Utilizatorul nu o mai poate reprograma ulterior. Este rentabil n producia de mas.

5.2.5. Memoria EEPROM


Elimin dificultile de extragere din soclu i expunere la lumina ultraviolet. Att programarea ct i tergerea se fac electric. Prin perfecionarea tehnologiei i micorarea grosimii stratului izolator al grilei flotante exist posibilitatea programrii i tergerii electrice cu tensiuni mici aplicate ntre dren i poart. Polaritatea cderii de tensiune dren-poart este inversat la tergere fa de programare. Sunt mai scumpe dect memoriile Flash; se pot rescrie n timpul funcionarii, numrul de tergeri i reprogramari fiind cu un cel puin un ordin de mrime mai mare dect la memoriile Flash. Memoria 2864B 2864B este o memorie EEPROM CMOS de 64kbii organizat ca 8k cuvinte de 8bii. Modurile de operare sunt reprezentate n tabelul 5.3.
Tabelul 5.3
Operaiile efectuate de memoriile EEPROM.

Operaie
Citire (Read) Scriere (Write) Inhibare scriere (Write Inhibit) Ateptare (Standby)

CE
0 0 X X 1

OE
0 1 X 0 X

WE
1 0 1 X X

A0 A12
X X X X X

D0 D7
Data Out Data In HiZ

Caracteristici principale pentru memoria EEPROM 2864B: timp de acces 150 ns; curent de alimentare n repaus: 1A; curent de alimentare n funcionare normal: 30mA; numr minim de tergeri i de reprogramri: 105; durata de meninere a informaiei memorate: minim 10 ani;

5.2.6. Memoria FLASH


Memoriile Flash permit att citirea ct i nscrierea informaiei n timpul functionrii normale. Sunt memorii de densitate mare, nevolatile, folosite n cele mai diverse aplicaii de la aparatele de fotografiat digitale la nlocuirea de hard-diskuri. Restul CARTE pag.197-199 113

Circuite Integrate Digitale

2007/2008

5.3. MEMORII RAM


Sunt circuite integrate cu integrare pe scar foarte larg (VLSI) care permit, n timpul functionrii, att citirea ct i scrierea informaiei n locaia de memorie adresat. Clasificarea memoriilor RAM: RAM statice (SRAM Static Random Access Memory), realizate cu bistabile n tehnologie bipolar sau unipolar; RAM dinamice (DRAM Dynamic Random Access Memory), numai n tehnologie unipolar NMOS sau CMOS. Memoria SRAM pstreaz datele pentru o perioad de timp nelimitat, pn n momentul n care ea este rescris. n schimb, memoria DRAM necesit rescrierea permanent, la cteva fraciuni de secund, altfel informaiile fiind pierdute. Avantajele memoriei SRAM: utilitatea crescut datorit modului de funcionare i viteza foarte mare (raportul de timp de acces SRAM/DRAM = 8-16). Dezavantajele memoriei SRAM: densitatea de integrare mai redus i preul mult mai mare dect al memoriei DRAM (de obicei raportul de capacitate DRAM/SRAM = 4-8 iar raportul de cost SRAM/DRAM = 8-16). Aplicaiile de baz ale memoriilor RAM se regsesc la PC-urile. Memoria SRAM este folosit cel mai adesea ca memorie intermediar/cache, pe cnd DRAM-ul este utilizat ca memorie principal a oricrui sistem. Metode de selecie: CARTE pag: 200-201

5.3.1. MEMORII SRAM


Memoriile SRAM, disponibile, n momentul actual, sunt caracterizate de: capacitate de memorare de pn la: 4Mbii, organizat pe 4, 8 sau 16 bii (512x8, 256Kx16, 128Kx16, 256Kx4, 128Kx8, 64Kx16); 18Mbii, organizat pe 9, 18 sau 36 bii (512x36, 512Kx18, 256Kx36, 512Kx9, 256Kx18, 128Kx36,), al 9-lea bit memorat fiind de control; tensiuni de alimentare de 5V, 3,3V i 2,5V; timp de acces de pn la 8ns. Celula elementar de memorie pentru 1 bit este realizat cu un D latch.

Pentru efectuarea unei operaii de citire/scriere este necesar ca celula de memorie s fie selectat cu ajutorul liniei de cuvnt Wi (linia de adres decodificat) activ pe 1. Linia de cuvnt furnizeaz semnalul SEL ce acioneaz asupra porii I (P) i a bufferului B. O dat 114

Circuite Integrate Digitale

2007/2008

selectat, bufferul se afl n stare normal de funcionare. Cealalt intrare a porii P se folosete pentru stabilirea operaiei ce se va efectua: citire (/WE = 1) sau scriere (/WE = 0). Descrierea funcionrii: citirea: se selecteaz celula (SEL = 1) i se pune semnalul /WE = 1. n acest caz intrarea C a latch-ului este 0 i oricare ar fi datele pe linia de intrare ele nu pot modifica informaia existent n D-latch, n schimb bufferul B, fiind n stare normal de funcionare, asigur transmiterea la ieirea DOUT a informaiei memorate n latch. scrierea: se selecteaz celula (SEL = 1) i semnalul /WE = 0. n acest caz deoarece C = 1, informaia existent la intrarea de date DIN se nscrie n D-latch. Obs.: Dac celula nu este selectat (SEL = 0) atunci C = 0 i bufferul B se afla n starea de impedan ridicat. n acest caz, locaia de memorie este n stare de ateptare (standby). O memorie SRAM de 1024 cuvinte a 4 bii fiecare are schema bloc:

Descriere i funcionare: memoria are o magistral de adrese de 10 linii ( A0 A9 ), o magistral de date de intrare de 4 linii ( DIN 0 DIN 3 ), o magistral de date de ieire de 4 linii

( DOUT 0 DOUT 3 ) i semnalele de comand CE , OE i WE ; selecia se face liniar cu decodificatorul DCD cu 10/1024; fiecare din cele 1024 de ieiri ale DCD reprezint o linie de cuvnt; prin activarea sa, se selecteaz o anumit locaie de memorie n care se memoreaz 4 bii de informaie; linia de cuvnt se conecteaz la intrrile de selecie SEL ale latchurilor care formeaz locaia de memorie; toate intrrile de date de acelai rang (1024 de intrri) se conecteaz mpreun; astfel se formeaz magistrala de date de intrare DIN 0 DIN 3 ; toate ieirile de date de acelai rang (1024 de ieiri) se conecteaz mpreun i formeaz magistrala de date de ieire DOUT 0 DOUT 3 ;

intrrile WE i CE formeaz semnalul de selecie a operaiei i se conecteaz la toate intrrile WE ale latch-urilor; intrrile OE i CE formeaz semnalul de validare a bufferelor de ieire

115

Circuite Integrate Digitale

2007/2008

Pentru reducerea numrului de pini, se realizeaz memorii cu pini unici pentru datele de intrare i ieire I/O. Structura I/O este prezentat n figur. Dac WE = 1, se valideaz doar bufferul 2 i pinul I/O este definit ca o ieire; la aceast ieire se pot citi datele memorate n locaia de memorie selectat. Dac WE = 0, se valideaz doar bufferul 1 i pinul I/O este definit ca o intrare; la aceast intrare se aduc datele care se vor memora n locaia de memorie selectat. Funcionarea memoriei SRAM se bazeaz tabel 5.4.
Tabelul 5.4
Operaiile efectuate de memoriile SRAM.

Operaie
Citire (Read) Scriere (Write) Memorare (Hold)

CE
0 0 1

OE
0 1 X

WE
1 0 X

Memoria SRAM 6264 de 8Kx8 bii Memoria SRAM 6264 are 8K locaii de memorie a cte 8 bii. Pinii si sunt: 6264 A0 A12 - magistrala de adrese de 13 bii;
I / O0 I / O7 - magistrala de date de 8 bii;
CE i CE - intrri de validare (Chip Enable); OE - intrare de validare ieiri; WE - intrare de selecie a operaiei efectuate.
13 A0
.

A12

I/O0 8 WE . I/O7 OE CE CE

Analiza funcionrii se face considernd n permanen intrarea CE = 1. citirea: dup stabilizarea adresei, se valideaz intrrile /CS i /OE; se ateapt trecerea timpului tACC dup care datele memorate la adresa specificat devin disponibile la ieiri; tACC = 10 - 150ns, tRCmin = 100ns. scrierea: dup stabilizarea adresei, se valideaz memoria CE = 0 ( OE se menine inactiv) i se pune WE pe 0; n momentul n care semnalul WE revine pe 1, datele prezente la intrare sunt memorate n locaia de memorie selectat (vezi diagramele temporale).

Data Out

Data In

Vezi i Anexa 4 Memoria SRAM din Circuite Integrate Numerice. Aplicaii i Proiectare.

116

Circuite Integrate Digitale

2007/2008

5.3.2. MEMORII DRAM


Memoriile DRAM au capacitate de memorare mai mare i sunt mai ieftine dect memoriile SRAM. n schimb, timpul de acces mai mare, necesitatea remprosptrii informaiei memorate (refresh) de cteva zeci de ori pe durata unei secunde i modul mai complicat de operare reprezint dezavantajele lor. n momentul actual, sunt disponibile memorii DRAM caracterizate de: capacitate de memorare de peste 256Mbii organizat pe 1 bit, (sunt disponibile i bancuri de memorie organizate pe 4, 8, 16, 32 bii); tensiuni de alimentare de 5V, 3,3V i 2,5V; timp de acces de pn la 50ns. Memoriile DRAM sunt memorii volatile de mare capacitate, realizate cu tranzistoare MOS. Memorarea se realizeaz prin ncrcarea sau descrcarea unei capaciti (integrate sau parazite) Cm : dac Cm este ncrcat nseamn c se memoreaz 1; dac Cm este descrcat nseamn c se memoreaz 0. Celula de memorare conine un singur tranzistor MOS (T) cu canal n. Ea funcioneaz n regim de impulsuri. Schema celulei:

Descriere i funcionare: Bufferul B deservete toate celulele conectate la linia de bit LB (s-a desenat o singur celul). Cu ajutorul lui B se alimenteaz, n impuls, linia de bit. Fiecare linie de bit este prevzut cu un comparator K i un D-latch utilizate la citirea informaiei. Linia de cuvnt LC este activ pe 1 fiind acionat tot n impulsuri. Celula de memorare propriu-zis se compune din tranzistorul de comutaie T i capacitatea integrat de memorare de valoare foarte mic Cm.

/WE

nscrierea informaiei n celula de memorare: a) nscrierea unui 1 n celul se face punnd un 1 pe linia Di. Prin validarea bufferului B, linia de bit LB trece pe 1 (UH). n acest timp se aplic un impuls liniei de cuvnt LC (Wi = 1) care determin intrarea n conducie a tranzistorului T i ncrcarea capacitii Cm la UH.
Di UL Wi t Wi UH Di UL UH t

Ucm UL UH

Ucm UH t UL

b) nscrierea unui 0 n celul se face n mod asemntor. Se pune Di pe 0, se activeaz bufferul B i linia LB rmne pe 0. Tranzistorul, adus n conducie printr-un impuls aplicat liniei de cuvnt LC, determin descrcarea Cm . 117

Circuite Integrate Digitale

2007/2008

Citirea informaiei memorate ntr-o celul de memorie U La intrarea Di se aduce o tensiune H dup care bufferul se aduce n stare de 2 U funcionare normal. Condensatorul C p se ncarc la H dup care bufferul trece n stare 2 de nalt impedan izolnd linia de bit LB. Se aplic un impuls UH pe LC care aduce n conducie tranzistorul T. 1. Dac a fost memorat un 1 ( Cm U H ), atunci Cm se descarc parial peste C p

UH + u . Ieirea comparatorului K trece pe 1. Latchul D 2 este transparent (/WE = 1 ceea ce determin C = 1) i astfel 1 de la ieirea lui K este transmis la Dout. 2. Dac a fost memorat un 0 ( Cm U L ), atunci C p se descarc parial peste Cm
iar tensiunea pe LB va fi U cp =

iar tensiunea pe LB va fi U cp =

UH u . Ieirea comparatorului K trece pe 0. Latchul D 2 este transparent (/WE = 1 ceea ce determin C = 1) i astfel 0 de la ieirea lui K este transmis la Dout.

Dup fiecare operaie de citire se altereaz nivelul de tensiune de la bornele Cm . Pentru pstrarea n continuare a informaiei nealterate trebuie efectuat o operaie de renscriere a bitului citit folosind ieirea D-latchului (remprosptarea informaiei alterate prin citire). Nu numai prin citire are loc o alterare a informaiei nscrise n Cm ci i datorit descrcrii exponeniale n timp a acesteia datorit curenilor de pierdere. Din acest motiv, chiar dac nu se efectueaz citiri, este necesar remprosptarea informaiei (REFRESH) memorate n Cm la fiecare 2-4ms (funcie de tipul memoriei). Timpul necesar unei mprosptri este n medie de 0,3s. Dac remprosptarea s-ar face bit cu bit, n intervalul de 4ms s-ar putea doar remprospta
4 10 3 3 10 7 = 13.333 de celule

fr a mai fi timp i pentru alte operaii utile. Pentru o memorie de 64kbii (65.536bii) satisfacerea timpului disponibil de remprosptare impune adaptarea unei structuri ptrate a matricii de celule de memorie, de 256/256 bii (adic o structur organizat pe 256 de linii i 256 de coloane) i remprosptarea simultan a tuturor celulelor de pe o linie. Astfel timpul necesar pentru remprosptarea 76,8 ntregii memorii va fi de 256 0,3 10 6 = 76,8s , care constituie: 100 = 1,92% din 4000 timpul disponibil pentru remprosptare. Deci 98% din intervalul de timp dintre dou remprosptri succesive poate fi folosit pentru efectuarea unor operaii utile de citire i nscriere a informaiei. Memoria DRAM de 64kbii organizat pe cuvinte de 1bit. Selecia se face prin coinciden. Schema bloc este prezentat mai jos. Descriere: Adresele sunt n numr de 16 (A0A15). Pentru reducerea numrului de pini adresele sunt multiplexate folosindu-se doar 8 linii de adres. nti se aplic prima jumtate a adresei A0A7 (adresa de linie) iar apoi a doua jumtate A8A15 (adresa de coloan).
118

Circuite Integrate Digitale

2007/2008

Circuitul de comand conine dou registre D-latch de memorare a celor dou jumti ale adresei. Prin intermediul liniilor A0A7 se comand DCD care selecteaz linia. ncrcarea A0A7 n registrul din circuitul de comand se face prin activarea liniei /RAS (Row Adrese linii Address Strobe). Cu liniile A8A15 se comand Adrese coloane blocul MUX/DMUX prin care se selecteaz o singur coloan din cele 256 ale unei linii (la Control citire prin MUX, iar la nscriere prin DMUX). ncrcarea adreselor A8A15 n registrul corespunztor din circuitul de comand se face prin activare liniei /CAS (Column Address Strobe). Linia de control stabilete care dintre cele dou circuite (MUX sau DMUX) este activ (la citire MUX-ul iar la scriere DMUX-ul). Schema bloc mai conine un registru de linii format din 256 de D-latchuri n care se memoreaz linia selectat. Schimbul de informaii ntre matrice i registru este bidirecional. La citire este activat i linia ce valideaz bufferul de pe linia datelor de ieire Dout.
Funcionare: Citirea informaiei memorate La liniile de adres se aduce adresa de linie A0A7. Dup ce aceasta s-a stabilizat se activeaz linia /RAS pentru ncrcarea adresei de linie n registrul din circuitul de comand. n continuare adresa se decodific, se selecteaz linia i coninutul tuturor celulelor de memorare aferente liniei se scrie n registrul de linii.

Apoi se aduce la intrare adresa de coloane A8A15. Dup ce aceasta s-a stabilizat se activeaz semnalul /CAS. Pe frontul descresctor al /CAS se investigheaz linia /WE. Aceasta trebuie s fie pe 1 deoarece se execut o operaie de citire. Tot pe frontul descresctor al semnalului /CAS se memoreaz adresa coloanei A8A15 n registrul corespunztor din circuitul de comand. Cu ajutorul lor i al MUX-ului, se selecteaz una dintre cele 256 de coloane ale liniei memorate n registrul de linii, i coninutul celulei selectate se transmite, prin buffer (aflat n stare normal), spre ieire Dout. n continuare se dezactiveaz /RAS-ul (coninutul registrului de linii se renscrie n matricea de memorare) apoi se dezactiveaz i /CAS-ul i linia Dout trece pe Z. Scrierea informaiei n memorie Furnizarea adresei locaiei de memorare n care urmeaz s se scrie informaia se face la fel ca la operaia de citire. 119

Circuite Integrate Digitale

2007/2008

Deosebirile apar pe frontul descresctor al /CAS cnd n urma investigrii se gsete linia /WE pe 0. Acest fapt nseamn c urmeaz o operaie de scriere i, tot n acel moment datele care urmeaz a fi scrise trebuie s fie prezente pe linia Din. n continuare se memoreaz adresa coloanei A8A15 n registrul corespunztor din circuitul de comand. Cu ajutorul lor i al DMUX-ului, se selecteaz una dintre cele 256 de coloane ale liniei memorate n registrul de linii, i informaia de pe Din se memoreaz n aceast celul. n continuare se dezactiveaz /RAS-ul (coninutul registrului de linii se renscrie n matricea de memorare) apoi se dezactiveaz i /CAS-ul. Remprosptarea informaiei memorate Se folosete un numrtor pe 8 bii, cu funcionare continu (nefigurat n schema bloc) care genereaz adresele celor 256 de linii. Pe frontal descresctor al semnalului /RAS se selecteaz o linia ce corespunde adresei. Coninutul fiecrei celule ale acestei linii se nscrie n registru de linii. Pe frontul cresctor al semnalului /RAS se renscrie informaia din registru de linii, regenerat n celulele corespunztoare. n continuare se trece la urmtoarea adres i se remprospteaz informaiile din celulele liniei urmtoare.
Vezi i Anexa 5 Memoria DRAM din Circuite Integrate Numerice. Aplicaii i Proiectare.

Tem: 8.1, 8.2, 8.3, 8.5, 8.6, 8.10, 8.11

120

S-ar putea să vă placă și