Sunteți pe pagina 1din 36

ELECTRONICA APLICATA

Electronica digitala
Continut
• Reprezentarea numerica a datelor
• Consideratii privind aritmetica binara
• Coduri numerice
• Functii logice
• Reprezentarea fizica a semnalelor digitale
• Tipuri si familii de circuite digitale
• Porti logice
• Decodoare
• Multiplexoare si demultiplexoare
• Bistabile
• Numaratoare
• Registre de deplasare
• Memorii
Reprezentarea numerică a datelor
• Zecimal Zecimal Binar Hexazecimal Octal

• Binal 0 0000 0 0
1 0001 1 1
• Hexazecimal 2 0010 2 2
• Octal 3 0011 3 3
4 0100 4 4
5 0101 5 5
xyz10  x  10 2  y  101  z  10 0
6 0110 6 6

xyz 2  x  2 2  y  21  z  2 0 7 0111 7 7
8 1000 8 10
xyz8  x  8 2  y  81  z  80 9 1001 9 11
10 1010 A 12
xyz16  x  16  y  16  z  16
2 1 0
11 1011 B 13
n 1 12 1100 C 14
N b  d n1 ...d1d 0   d i  b i 13 1101 D 15
i 0
14 1110 E 16
15 1111 F 17
Transformare zecimal-binar si binar-zecimal
Câtul Restul
789 1
394 0
197 1
98 0
49 1
24 0
12 0
6 0
3 1
1 1

3F 9h  3 16 2  15 161  9 160  3  256  15 16  9  1017

100101b  1  25  0  2 4  0  23  1  2 2  0  21  1 1  32  4  1  548
Consideraţii privind aritmetica binară

Operanzi Adunare Scădere Înmulţire


0 şi 0 0 + 0 =0 0–0=0 0·0=0
0 şi 1 0 + 1 =1 0 – 1 = 1 (-1), cu împrumut 0·1=0
1 şi 0 1 + 0 =1 1–0=1 1·0=0
1 şi 1 1 + 1 =10 1–1=0 1·1=1
;

2 0010  0 0000  6 0110  3 0011 


3 0011 1 0001 3 0011 7 0111
5 0101 1 1111 3 0011 4 1100
Coduri pentru reprezentarea datelor în binar
Valoare Cod unipolar Mărime şi semn Complement faţă de 1 Complement faţă de 2

8 - - - -
7 111 0111 0111 0111
6 110 0110 0110 0110
5 101 0101 0101 0101
4 100 0100 0100 0100
3 011 0011 0011 0011
2 010 0010 0010 0010
1 001 0001 0001 0001
0 000 0000 0000 0000
-0 - 1000 1111 -
-1 - 1001 1110 1111
-2 - 1010 1101 1110
-3 - 1011 1100 1101
-4 - 1100 1011 1100
-5 - 1101 1010 1011
-6 - 1110 1001 1010
-7 - 1111 1000 1001
-8 - - 1000
Funcţii logice
• Stari logice:
– 1 logic, H (high) sau Adevărat
– 0 logic, L (low) sau Fals.

• Functii logice:
– Funcţia inversare NU sau negare
– Funcţia SAU (sumă logică sau reuniune) f=a+b
– Funcţia SI (produs sau intersecţie logică) f=a·b
• Alte functii logice
– SI-NU (NAND),
– SAU-NU (NOR),
– SAU-EXCLUSIV ,
– SAU-EXCLUSIV NEGAT (Coincidenţă)
Proprietăţile funcţiilor logice
• a). Idempotenţă:
xx  x x x  x
• b). Comutativitate: x y  yx x y  y x

• c). Asociativitate: ( x  y)  z  x  ( y  z) ( x  y)  z  x  ( y  z )

x  ( x  y)  x x  x y  x
• d). Absorţie:
x  y  z  ( x  y)  ( x  z )
• e). Distributivitate: x  ( y  z)  x  y  x  z
• f). Operaţiile între o variabilă şi inversa ei: x  x  1 x  x  0
• g). Operaţii cu 0 şi 1: x0 x x0  0
x 1  1 x 1  x
• h). Dubla negaţie: xx
• i). Teoremele lui De Morgan: x  y  z  x  y  z
x yz  x y  z
Reprezentarea fizică a semnalelor digitale

TTL - Vcc=5V CMOS - Vcc=5V


VOH=4,9V

VIH=3,5V
LV - Vcc=3..3,6V

VOH=2,4V VOH=2,4V VTH=2,5V

VIH=2,0V VIH=2,0V

VTH=1,5V VTH=1,5V
VIL=1,5V
VIL=0,8V VIL=0,8V

VOL=0,4V VOL=0,4V
0,0V VOL=0,1V

Nivelele logice pentru principalele familii de CI


Tipuri şi familii de circuite digitale
• TTL (Transistor-Transistor Logic),
• ECL,
• I2L ,
• TTL-L,
• TTL-H,
• TTL-LS (Low-Power Schottky Logic),
• TTL-S (Schottky Logic),
• TTL-ALS (Advance Low-Power Schottky Logic),
• NMOS,
• PMOS,
• CMOS,
• HC/HCT (High-Speed CMOS Logic),
• AHC/AHCT (Advanced High-Speed CMOS Logic) etc.,
• LV (Low-Voltage CMOS Tehnology Logic),
• ALVC (Advanced Low-Voltage BiCMOS Logic) etc.
Evoluţia familiilor logice de circuite integrate
(dupa TI an 1995)

HC
ALS
BCT
ACL F
Bipolar
FCH CMOS
LS
AS BiCMOS
ABT
CD4000

S
LVT
TTL
LVC
LV
ALVT
AVC AHC

Întroducere Creştere Maturitate Declin Învechit


Caracteristicile electrice statice

• Nivelele logice de intrare: UIL (0V0,8V) si UIH (2V5V)


• Nivelele logice de ieşire: VOL (0V0,4V) si UOH (2,4V5V)
• Curenţii de intrare: IIL (-1,6mA A) si IIH (+40 A)
• Curenţii de ieşire : IIL (+16mA A) si IIH (-400 A)
• Curentul de alimentare ICC
• Capacitatea de intrare
• Tensiunea de alimentare: 5V (5%), 3,3V
• Temperatura de funcţionare:
– seria normală (0ºC ÷70º),
– seria industrială (+25ºC ÷85º)
– seria militară (-40ºC ÷85º)
Caracteristicile electrice dinamice
• Timpul de tranziţie reprezintă timpul în care ieşirea unui circuit
digital îşi schimbă starea : tTLH si tTHL.
• Timpul de propagare reprezintă intervalul de timp dintre momentul
aplicării semnalului de intrare şi momentul apariţiei răspunsului la
ieşire. Şi în cazul timpului de propagare avem definite două valori şi
anume: una pentru trecerea ieşirii din L în H (tPLH) şi din H în L
(tPHL).
• Viteza de creştere a semnalului de ieşire (SLEW RATE – SR),
este definită ca raportul dintre diferenţa valorilor statice de ieşire (H
şi L) şi timpul în care semnalul de ieşire se modifică între 10% şi
90% din aceste valori.
• Timpul de pregătire (setup time) reprezintă intervalul de timp cu
care semnalul de pe o intrare trebuie să preceadă semnalul de pe
altă intrare. Această intrare va fi luată ca referinţă pentru ca circuitul
să lucreze corect.
• Timpul de menţinere (hold time) reprezintă intervalul de timp în
care trebuie menţinut semnalul de intrare, în raport cu o altă intrare
luată ca referinţă de timp.
Porţi logice
Tip CI Funcţie realizată Număr porţi/ Nr. pini
CI
7400, 74LS00, 74S00, 74HC00, 74F00 SI-NU cu 2 intrări 4 14

74LS02, 74HCT02 SAU-NU 2 intrări 4 14


7404, 74LS04 NU 6 14
7405, 74LS05 NU 6 14
7406, 7416 NU – colector în gol 6 14
7407, 7417 Operator cu colector în gol 6 14
7408, 74S08, 74LS08 74HC08, 74HCT08 SI cu 2 intrări 4 14
7410, 74LS10 SI-NU cu 3 intrări 3 14
74LS11, 74HC11 SI cu 3 intrări 3 14
7414, 74LS14 NU cu intrare TS 6 14
7420, 74LS20 SI-NU cu 4 intrări 2 14
7430, 74LS30 SI-NU cu 8 intrări 1 14
7432, 74HCT32 SAU cu 2 intrări 4 14
7486, 74LS86 SAU-Exclusiv negat 4 14
74132, 74HCT132 SAU-NU intrări TS 4 14
CD4001 SAU-NU - 2 intrări 4 14
CD4002 SAU-NU - 4 intrări 4 14
CD4011 SI-NU cu 2 intrări 4 14
CD4012 SI-NU cu 4 intrări 4 14
CD4049, MC14049 NU 6 16
CD4050, MC14050 Operator 6 16
HCF4068 SI-NU cu 8 intrări 1 14
CD4093 SI-NU - 2 intrări TS 4 14
CD40107 SI-NU – drenă în gol 2 8
Decodoare
• Un decodor recunoaşte un cod de intrare
si activeaza iesire corespunzatoare.
• Numărul de ieşiri este legat de numărul de
biţi pentru codul de intrare.

Exemple:
E C B A Y1 Y2 Y3 Y3 Y4 Y5 Y6 Y7 • 74LS138 (decodor 8 din 3),
• 74LS139 (decodor dual 2 la 4),
1 X X X 1 1 1 1 1 1 1 1
• 74LS154 (decodor 4 la 16),
0 0 0 0 0 1 1 1 1 1 1 1 • 74LS42 (decodor BCD-zecimal
cu 4 intrări şi zece ieşiri active în
0 0 0 1 1 0 1 1 1 1 1 1 zero),
0 0 1 0 1 1 0 1 1 1 1 1 • 74LS47 (decodor BCD-7
segmente pentru afişor cu anod
0 0 1 1 1 1 1 0 1 1 1 1 comun),
0 1 0 0 1 1 1 1 0 1 1 1 • CD4028 (decodor BCD-zecimal
cu ieşiri active în unu),
0 1 0 1 1 1 1 1 1 0 1 1 • CD4511 (decodor BCD-7
segmente pentru afişoare cu
0 1 1 0 1 1 1 1 1 1 0 1
catod comun),
0 1 1 1 1 1 1 1 1 1 1 0 • CD4543 (decodor BCD-7
segmente pt. afişaje LCD) etc
Demultiplexoare. Multiplexoare
• Demultiplexoarele sunt circuite care transmit datele de la o
intrare la una din cele opt ieşiri (selecţie pe 3 biţi).
• Multiplexorul este un circuit care permite ca, dintr-un set de
date de intrare, una să fie selectată şi conectată la o singură
ieşire. Unele multiplexoare furnizează în ieşire (şi) valoarea
negată a semnalului de intrare.
• Avem multipexoare digitale si multiplexoare analogice.
• Unele multiplexoare au iesirea three-state.
• Exemple:
– 74LS151 (multiplexor 8 la 1),
– 74LS153 (multiplexor dual 4 la 1),
– 74HC157 (multiplexor cvadruplu 2 la 1),
– 74LS251 (multiplexor 8 la 1),
– 74LS253 (multiplexor dual 4 la 1),
– 74LS257 (multiplexor cvadruplu 2 la 1).
Ultimele trei circuite au ieşirile three-state (ieşirile pot fi cuplate în paralel).
Circuite bistabile
• Circuitele bistabile sunt circuite de memorare de ordinul.
• Cele mai cunoscute bistabile sunt bistabilele RS, bistabile de la care practic
s-au dezvoltat circuitele logice secvenţiale.

• Implementate bistabile RS cu porţi logice SI-NU şi cele cu porţi SAU-NU.

Bistabil cu porţi SI-NU


R S Q Q Comentariu
0 0 1 1 Stare interzisă
0 1 0 1 Reset (Q=0)
1 0 1 0 Set (Q=1)
1 1 Q Q Starea anterioară

Bistabil cu porţi SAU-NU


R S Q Q Comentariu
0 0 Q Q Stare anterioară

1 0 0 1 Reset (Q=0)
0 1 1 0 Set (Q=1)
1 1 1 1 Starea interzisă
• Semnalele de intrare ale bistabilelor RS pot fi validate de un semnal
suplimentar de ceas ceea ce le transformă din circuite asincrone în
circuite de memorare de tip latch cu ceas.
• Dacă semnalele aplicate la intrare sunt complementare se obţine
schema pentru un latch de tip D

Lacth tip D cu porţi logice SI-NU

Bistabil D master-slave cu porţi logice SAU-NU


• La bistabilul de tip D (denumirea D vine de la cuvântul delay)
modificarea ieşirii se face pe tranziţia pozitivă sau negativă (frontul
crescător sau descrescător) al semnalului de ceas, faţă de bistabilele
tip latch la care ieşirea se modifică pe durata palierului pozitiv al
semnalului de ceas (strobe).
• Menţionăm că mai sunt structuri de bistabile tip master-slave cum
sunt bistabilele tip JK şi T.
• Bistabilul JK are două intrări şi anume J şi K iar bistabilul T are o
intrare T. Un bistabil T (toggle) se obţine din bistabilul JK dacă cele
două intrări J şi K sunt legate împreună.
• Şi la aceste bistabile transferul datelor se poate face atât pe frontul
pozitiv cât şi pe cel negativ, în funcţie de implementarea realizată.

Bistabil D Bistabil JK
D Clk Q Q Comentariu J K Clk Q Comentariu
X 0 Q 1 Stare anterioară 0 0 0→1 Q Stare anterioară
X 1 Q 1 Stare anterioară 1 0 0→1 1 Q=0
0 0→1 1 0 Q=0 0 1 0→1 0 Q=1

1 0→1 Q Q Q=1 1 1 0→1 1 Schimbă starea


Tipuri de bistabile integrate
Tip CI Funcţie Număr bistabile/ Număr
realizată CI pini/CI
7473, 74LS73, 74C74 Bistabil JK 2 14
7474, 74LS74, 74C74 Bistabil D 2 14
7475, 74LS75, 74C75 Latch D 4 14
74174, 74LS174, 74C174 Bistabil D 6 16
74175, 74LS175, 74C175 Bistabil D 4 15
74LS273, 74HCT373 Bistabil D 8 20
CD4013 Bistabil D 2 14
Numărătoare
• Numărătoarele sunt circuite secvenţiale care generează o secvenţă
recurentă specifică.
• La fiecare front crescător sau descrescător al impulsului de ceas
numărătorul trece dintr-o stare în alta, parcurgând ciclic secvenţa de
numărare specifică.
• Implementarea numărătoarelor se poate face cu bistabile D, JK sau
T.
• Pentru fiecare bit de numărare se foloseşte un bistabil.
• Pentru a realiza un numărător de 4 biţi sunt necesare patru bistabile.
• Numărătoarele sunt de două tipuri:
– numărătoare asincrone,
– numărătoare sincrone,
• O altă clasificare a numărătoarelor este legată de secvenţa de
numărare.
– binare,
– BCD (numărătoare zecimale),
– Jhonson
– numărătoare în sus
– numărătoare reversibile, etc.
Numărător asincron pe trei biţi cu bistabile D
Numărător sincron pe trei biţi cu bistabile JK
Tipuri de numărătoare integrate

Tip CI Numărător Număr pini


7490, 74LS90 BCD asincron 14
7493, 74LS93 Binar asincron 14
74LS161, 74HC160 BCD sincron 16
74LS161, 74HC161 Binar sincron 16
74LS163, 74HC162 BCD sincron 16
74LS161, 74HC163 Binar sincron 16
74LS192, 74C192 BCD sincron reversibil 16
74LS193, CD40193 Binar sincron reversibil 16
74LS393 Binar dual 14
CD4017 BCD cu decodificator 16
CD4040 Binar 12 biţi 16
CD4060 Binar 14 biţi +oscilator 16
CD40110 BCD reversibil+decodor 16
CD4518, CD4520 BCD, Binar Asincron 16
Registre (de deplasare)
• Registrele de deplasare sunt registre la care ieşirea fiecărui bistabil
este conectată la intrarea bistabilului adiacent.
• În funcţie de conexiunea realizată avem deplasare la dreapta sau la
stânga a informaţiei.
• Unele registre de deplasare pot incarca paralel o informatie, pe care
apoi o pot deplasa la stanga sau/si la dreapta.
• Deplasarea la dreapta este echivalenta cu impartirea cu 2
• Deplasarea la stanga este echivalenta cu inmultirea cu 2

Registru de deplasare la dreapta


Registru de deplasare cu încărcare paralelă

Tip CI Registru de deplasare Nr. pini

7495, 74LS95 4 biţi, deplasare la stânga 14


74164, 74HCT164 8biţi, intrare serie, ieşire paralelă 16
74LS165,74HC165 8 biţi, intrare paralelă, ieşire serie 16

74LS194, 4HC194 4 biţi, intrare paralelă 16


CD4015 Registru dual de 4 biţi 16
Memorii
• Memoriile sunt circuite de memorare care pot stoca o
cantitate mult mai mare de informaţie decât bistabilele
sau registrele
• În funcţie de numărul de înscrieri avem memorii:
– RAM (număr nelimitat de înscrieri si citiri),
– PROM (o singură scriere si numar nelimitat de citiri),
– EPROM (zeci de înscrieri şi ştergere cu radiaţie în domeniu
ultraviolet),
– EEPROM (mii, până la un milion de înscrieri şi ştergere
electrică),
– FLASH (mii, până la sute de mii de scrieri şi ştergere globală)
etc.
• Memoriile FLASH şi EEPROM pot fi de tip serial sau
paralel.
• Memoriile de tip serial pot avea interfaţă SPI sau I2C.
Memorie EPROM (4k), EEPROM (8K), RAM static (64k) şi EEPROM serial (8k, 32k şi 1K)
Capsule circuite digitale

74LS04 74LS05
74LS00 74LS02

74LS06 74LS07 74LS08 74LS10

74LS11 74LS20 74LS30 74LS14

74LS32 Bistabil D
74LS132
Probleme
• De ce ieşirile circuitelor integrate TTL pot fi conectate la masă
pentru scurt timp şi este interzisă conectarea la tensiunea de
alimentare?

• Pentru schema din figura de mai jos desenaţi formele de undă


pentru semnalele X, Y şi Z funcţie de semnalele de intrare A, B şi
C.
• Să se deseneze formele de undă ale semnalului de ieşire funcţie
de semnalul de intrare pentru circuitul din figura de mai fos.

• Să se proiecteze, cu porţi logice, o schemă de selecţie pe busul


ISA a unui calculator PC, pentru patru circuite de tip I8255, în
spaţiul de adrese I/O 300h-30Fh.

• Să se proiecteze un circuit de comandă pentru 7 electro-valve ale


unui echipament pneumatic, astfel încât: numai o comandă să fie
activă la un moment dat iar numărul semnalelor de control de la
echipamentul de comandă să fie minim.
• În schema din figura de mai jos circuitul CD4518 este un
numărător BCD asincron. Ştiind că frecvenţa semnalului de
comandă este de 1 Hz să se calculeze cât timp este în 1 semnalul
de ieşire Y pe durata a 10 secunde.

• Ce reprezintă circuitul din figura de mai jos

• Să se proiecteze 2 scheme cu microcontroler AVR ce permite


stocarea unor date într-o memorie RAM statică de tip paralel
(64K) si in EEPROM de tip serial (32K).

S-ar putea să vă placă și