Documente Academic
Documente Profesional
Documente Cultură
Raport
LUCRARE INDIVIDUALĂ NR. 1
la Proiectarea cu dispozitive programabile
Chișinău 2019
Scopul lucrării: Proiectarea, testarea şi simularea circuitelor logice secvențiale în
mediul de dezvoltare software Altera Quartus II. Descrierea circuitelori va fi efectuată în
limbajul VHDL, folosind codificarea comportamentală.
library ieee;
use ieee.std_logic_1164.all;
entity reg6_depl is
end reg6_depl;
begin
process (clk,reset)
begin
for i in 0 to 4 loop
end loop;
end if;
end if;
end process;
end reg6_depl_arch;
Diagrama de timp:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.ALL;
entity counter is
rst: in std_logic;
end counter;
begin
begin
if falling_edge(clk) then
end if;
end if;
end if;
end num4_arch;
Diagrama de timp:
Concluzii:
În urma lucrării individuale efectuate, ne-am creat deprinderi practice de lucru cu Quartus, și anume limbajul
VHDL. Am creat un numărător pe 6 biți activ pe frontul crescător, deplasare dreapta , încărcare serială și un
numărător direct modulo 9 cu secvența de numărare 3-11, activ pe frontul descrescător, cu încărcare paralelă. Într-
un final am verificat diagramele de timp pentru a ne convinge că îndeplinim toate condițiile din tabel, și anume:
dacă acționează după front, dacă se resetează în momentul când rst = 1, dacă se încarcă valoare când load = 1, și
pentru numărător dacă se încadrează în secvența de numărare 3-11.