Sunteți pe pagina 1din 4

UNIVERSITATEA TEHNICĂ A MOLDOVEI

FACULTATEA CALCULATOARE, INFORMATICĂ ȘI


MICROELECTRONICĂ
DEPARTAMENTUL INFORMATICĂ ȘI INGINERIA SISTEMELOR

Raport
LUCRARE INDIVIDUALĂ NR. 1
la Proiectarea cu dispozitive programabile

A realizat: st. gr. C-161 Crucean Cristian

A verificat: conf.univ. Sudacevschi Viorica

Chișinău 2019
Scopul lucrării: Proiectarea, testarea şi simularea circuitelor logice secvențiale în
mediul de dezvoltare software Altera Quartus II. Descrierea circuitelori va fi efectuată în
limbajul VHDL, folosind codificarea comportamentală.

1. Se va proiecta un registru conform variantei din tabelul 1.


Nr. Num. CLK Resetare Setare Direcție Încărcare Iesire
de biți deplasare

5. 6 Front crescător sincronă nu dreapta serială serială

library ieee;

use ieee.std_logic_1164.all;

entity reg6_depl is

port (clk, ce, si, reset: in std_logic;

so: out std_logic);

end reg6_depl;

architecture reg6_depl_arch of reg6_depl is

signal tmp: std_logic_vector (5 downto 0);

begin

process (clk,reset)

begin

if (clk'event and clk = '1') then

if (reset = '1') then

tmp <= (others => '0');

elsif (ce = '1') then

for i in 0 to 4 loop

tmp(i+1) <= tmp(i);

end loop;

tmp(0) <= si; -- încărcare serială

end if;

end if;

end process;

so <= tmp(5); -- ieșire serială

end reg6_depl_arch;
Diagrama de timp:

2. Se va proiecta un numărător conform variantei din tabelul 2.

Nr. mod CLK Resetare Tip Secvența de Încărcare


numărător numărare

5. 9 Front sincronă Direct 3-11 paralelă


descrescător

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.numeric_std.ALL;

entity counter is

port (clk: in std_logic;

rst: in std_logic;

en, load: in std_logic;

data: in std_logic_vector (3 downto 0);

num: out std_logic_vector (3 downto 0));

end counter;

architecture num4_arch of counter is

signal tmp: std_logic_vector (3 downto 0):= "0011";

begin

cnt: process (rst,clk)

begin
if falling_edge(clk) then

if (rst = '1') then

tmp <= (others => '0');

elsif (load = '1') then

tmp <= data;

elsif (en = '1') then

tmp <= tmp + 1;

if ((to_integer(unsigned(tmp)) >= 11) or (to_integer(unsigned(tmp)) < 3 )) then

tmp <= "0011";

end if;

end if;

end if;

end process cnt;

num <= tmp;

end num4_arch;

Diagrama de timp:

Concluzii:

În urma lucrării individuale efectuate, ne-am creat deprinderi practice de lucru cu Quartus, și anume limbajul
VHDL. Am creat un numărător pe 6 biți activ pe frontul crescător, deplasare dreapta , încărcare serială și un
numărător direct modulo 9 cu secvența de numărare 3-11, activ pe frontul descrescător, cu încărcare paralelă. Într-
un final am verificat diagramele de timp pentru a ne convinge că îndeplinim toate condițiile din tabel, și anume:
dacă acționează după front, dacă se resetează în momentul când rst = 1, dacă se încarcă valoare când load = 1, și
pentru numărător dacă se încadrează în secvența de numărare 3-11.

S-ar putea să vă placă și

  • Preguza Cristian CR-201 LAB2
    Preguza Cristian CR-201 LAB2
    Document7 pagini
    Preguza Cristian CR-201 LAB2
    Aur Itar
    Încă nu există evaluări
  • Lab 1 ASO
    Lab 1 ASO
    Document6 pagini
    Lab 1 ASO
    Cristian
    100% (1)
  • CR-191 MIPI Axenti Alina Lab4
    CR-191 MIPI Axenti Alina Lab4
    Document6 pagini
    CR-191 MIPI Axenti Alina Lab4
    Alina Axenti
    Încă nu există evaluări
  • LAB3PSR
    LAB3PSR
    Document11 pagini
    LAB3PSR
    Cristian
    Încă nu există evaluări
  • Proiect de An RC
    Proiect de An RC
    Document15 pagini
    Proiect de An RC
    Клара Кожухари
    Încă nu există evaluări
  • Lab 3
    Lab 3
    Document4 pagini
    Lab 3
    Клара Кожухари
    Încă nu există evaluări
  • Laborator 5
    Laborator 5
    Document7 pagini
    Laborator 5
    Ion Caimacan
    Încă nu există evaluări
  • LAB1PSR
    LAB1PSR
    Document9 pagini
    LAB1PSR
    Cristian
    Încă nu există evaluări
  • Laborator 1 ASO
    Laborator 1 ASO
    Document4 pagini
    Laborator 1 ASO
    Жан Ганган
    Încă nu există evaluări
  • Egajklrjalkgjlekrg
    Egajklrjalkgjlekrg
    Document8 pagini
    Egajklrjalkgjlekrg
    CS:GO cFG
    Încă nu există evaluări
  • Atestare
    Atestare
    Document3 pagini
    Atestare
    Cristian Conea
    Încă nu există evaluări
  • Lab 1
    Lab 1
    Document20 pagini
    Lab 1
    Fil Gorea
    Încă nu există evaluări
  • Lab 5 PSR
    Lab 5 PSR
    Document7 pagini
    Lab 5 PSR
    Iulian Decuseara
    Încă nu există evaluări
  • Dutca Alexandru CR-182 Lab3 PCD
    Dutca Alexandru CR-182 Lab3 PCD
    Document5 pagini
    Dutca Alexandru CR-182 Lab3 PCD
    Dutca Alexandru
    Încă nu există evaluări
  • AI-191 Medinschi Ion SO4
    AI-191 Medinschi Ion SO4
    Document5 pagini
    AI-191 Medinschi Ion SO4
    Carolin
    Încă nu există evaluări
  • Raport Final
    Raport Final
    Document26 pagini
    Raport Final
    Cristi Adașan
    Încă nu există evaluări
  • Lab1 GC
    Lab1 GC
    Document8 pagini
    Lab1 GC
    Andrei Barbalat
    Încă nu există evaluări
  • Lab 4
    Lab 4
    Document12 pagini
    Lab 4
    Fil Gorea
    Încă nu există evaluări
  • PSR Lab5
    PSR Lab5
    Document7 pagini
    PSR Lab5
    Iulian Decuseara
    Încă nu există evaluări
  • PCAD
    PCAD
    Document4 pagini
    PCAD
    Fiodor Ciumac
    Încă nu există evaluări
  • Lab 1
    Lab 1
    Document9 pagini
    Lab 1
    Cristian Conea
    Încă nu există evaluări
  • Hjjgkyjhgjbn
    Hjjgkyjhgjbn
    Document19 pagini
    Hjjgkyjhgjbn
    CS:GO cFG
    Încă nu există evaluări
  • Lab 1
    Lab 1
    Document8 pagini
    Lab 1
    dtatn
    Încă nu există evaluări
  • Lab 3
    Lab 3
    Document7 pagini
    Lab 3
    Fil Gorea
    Încă nu există evaluări
  • Lab 7 Somipp
    Lab 7 Somipp
    Document5 pagini
    Lab 7 Somipp
    Augusta Bucataru
    Încă nu există evaluări
  • Lab5 RC
    Lab5 RC
    Document3 pagini
    Lab5 RC
    Жан Ганган
    Încă nu există evaluări
  • Lucrare de Laborator Nr.4
    Lucrare de Laborator Nr.4
    Document10 pagini
    Lucrare de Laborator Nr.4
    SpecialOps
    Încă nu există evaluări
  • Somipp Linux 3 UTM
    Somipp Linux 3 UTM
    Document7 pagini
    Somipp Linux 3 UTM
    Cristi Poselețchi
    Încă nu există evaluări
  • Laboratorul1 ASR
    Laboratorul1 ASR
    Document7 pagini
    Laboratorul1 ASR
    Жан Ганган
    100% (1)
  • Probleme Inf
    Probleme Inf
    Document13 pagini
    Probleme Inf
    Mihaela Lupeş
    Încă nu există evaluări
  • SOMIPP Lab5
    SOMIPP Lab5
    Document4 pagini
    SOMIPP Lab5
    X3 KTO
    Încă nu există evaluări
  • Lab. Nr.1 - S.A.V - Ciubotaru Mihai
    Lab. Nr.1 - S.A.V - Ciubotaru Mihai
    Document10 pagini
    Lab. Nr.1 - S.A.V - Ciubotaru Mihai
    Mihai Ciubotaru
    Încă nu există evaluări
  • PCD Lab 1 Nepiiovda Dmitrii
    PCD Lab 1 Nepiiovda Dmitrii
    Document10 pagini
    PCD Lab 1 Nepiiovda Dmitrii
    Catalina Bucur
    Încă nu există evaluări
  • Test 02
    Test 02
    Document7 pagini
    Test 02
    Dutca Alexandru
    Încă nu există evaluări
  • Iepuras Daniel LAB 3 TS
    Iepuras Daniel LAB 3 TS
    Document8 pagini
    Iepuras Daniel LAB 3 TS
    DanuIepuras
    Încă nu există evaluări
  • Lucrare de Laborator Nr.4
    Lucrare de Laborator Nr.4
    Document10 pagini
    Lucrare de Laborator Nr.4
    Dutca Alexandru
    Încă nu există evaluări
  • PSR Lab4
    PSR Lab4
    Document11 pagini
    PSR Lab4
    Iulian Decuseara
    Încă nu există evaluări
  • PDP - Lucrarea de Laborator 2
    PDP - Lucrarea de Laborator 2
    Document9 pagini
    PDP - Lucrarea de Laborator 2
    Ion Caimacan
    Încă nu există evaluări
  • TAP Lab4
    TAP Lab4
    Document10 pagini
    TAP Lab4
    Cristian Batir
    Încă nu există evaluări
  • TAP Lab5 Popov Biatrice CR 191
    TAP Lab5 Popov Biatrice CR 191
    Document6 pagini
    TAP Lab5 Popov Biatrice CR 191
    Alina Axenti
    Încă nu există evaluări
  • Lab 1 SDA Var 10
    Lab 1 SDA Var 10
    Document6 pagini
    Lab 1 SDA Var 10
    Vladimir Zgardan
    Încă nu există evaluări
  • SOMIPP Lab4
    SOMIPP Lab4
    Document7 pagini
    SOMIPP Lab4
    Dan
    Încă nu există evaluări
  • Lab 3
    Lab 3
    Document4 pagini
    Lab 3
    Rosca Doinita
    Încă nu există evaluări
  • ASDN2
    ASDN2
    Document6 pagini
    ASDN2
    Viktor Dobrovolschi
    Încă nu există evaluări
  • Lab 3 Ppe
    Lab 3 Ppe
    Document8 pagini
    Lab 3 Ppe
    Anastasia Gherman
    Încă nu există evaluări
  • Lab Sotr 2
    Lab Sotr 2
    Document11 pagini
    Lab Sotr 2
    JK
    Încă nu există evaluări
  • Somipp Linux 1 UTM
    Somipp Linux 1 UTM
    Document10 pagini
    Somipp Linux 1 UTM
    Cristi Poselețchi
    Încă nu există evaluări
  • Lab2 Somipp
    Lab2 Somipp
    Document6 pagini
    Lab2 Somipp
    Iov Albu
    Încă nu există evaluări
  • Universitatea Tehnică A Moldovei: Azele Limbajului
    Universitatea Tehnică A Moldovei: Azele Limbajului
    Document136 pagini
    Universitatea Tehnică A Moldovei: Azele Limbajului
    bronec10
    Încă nu există evaluări
  • TAP Lab2
    TAP Lab2
    Document4 pagini
    TAP Lab2
    Adrian Bodorin
    Încă nu există evaluări
  • SOTR Laborator 4
    SOTR Laborator 4
    Document15 pagini
    SOTR Laborator 4
    grupac131
    Încă nu există evaluări
  • Raport C++ Lab 6
    Raport C++ Lab 6
    Document4 pagini
    Raport C++ Lab 6
    Nickolae Enachi
    Încă nu există evaluări
  • SOMIPP Lab6
    SOMIPP Lab6
    Document4 pagini
    SOMIPP Lab6
    Dan
    Încă nu există evaluări
  • Gjgguyghjjgjh
    Gjgguyghjjgjh
    Document4 pagini
    Gjgguyghjjgjh
    CS:GO cFG
    Încă nu există evaluări
  • Lab 5
    Lab 5
    Document7 pagini
    Lab 5
    Dorin Nicoara
    Încă nu există evaluări
  • Lab 2 BTD
    Lab 2 BTD
    Document14 pagini
    Lab 2 BTD
    megadanyx
    Încă nu există evaluări
  • Examenul Final BTD - 2021
    Examenul Final BTD - 2021
    Document7 pagini
    Examenul Final BTD - 2021
    Клара Кожухари
    Încă nu există evaluări
  • Lab.6 FC
    Lab.6 FC
    Document3 pagini
    Lab.6 FC
    Cristina Florea
    Încă nu există evaluări
  • PDP - Lucrarea de Laborator 3
    PDP - Lucrarea de Laborator 3
    Document11 pagini
    PDP - Lucrarea de Laborator 3
    Cristian Conea
    Încă nu există evaluări
  • Cinci Unu de Tiparit
    Cinci Unu de Tiparit
    Document16 pagini
    Cinci Unu de Tiparit
    Bogdan
    Încă nu există evaluări
  • Lab 02 - Semnatura Electronica
    Lab 02 - Semnatura Electronica
    Document8 pagini
    Lab 02 - Semnatura Electronica
    Cristian
    Încă nu există evaluări
  • LAB1PSR
    LAB1PSR
    Document9 pagini
    LAB1PSR
    Cristian
    Încă nu există evaluări
  • C++ Primul Laborator11
    C++ Primul Laborator11
    Document4 pagini
    C++ Primul Laborator11
    Cristian
    Încă nu există evaluări
  • Raport de Practica
    Raport de Practica
    Document87 pagini
    Raport de Practica
    Cristian
    Încă nu există evaluări