Sunteți pe pagina 1din 3

EX1

Scrieți codul VHDL, utilizînd instrucțiunea when-else pentru funcția


logică F=∑(4,5,6,7,9,11,12,13,14). Compilati proiectul si elaborati
diagrama de timp.
EX2
Scrieți codul VHDL utilizînd
instrucțiunea if  în
construcția process pentru codificatorul
prioritar cu următorul tabel de adevăr:

S-ar putea să vă placă și

  • TAP Lab4
    TAP Lab4
    Document10 pagini
    TAP Lab4
    Cristian Batir
    Încă nu există evaluări
  • Lab 1 ASO
    Lab 1 ASO
    Document6 pagini
    Lab 1 ASO
    Cristian
    100% (1)
  • Lucrare de Laborator Nr.4
    Lucrare de Laborator Nr.4
    Document10 pagini
    Lucrare de Laborator Nr.4
    SpecialOps
    Încă nu există evaluări
  • Lab4 SAV
    Lab4 SAV
    Document3 pagini
    Lab4 SAV
    Zeul Hriscei
    Încă nu există evaluări
  • PCAD
    PCAD
    Document4 pagini
    PCAD
    Fiodor Ciumac
    Încă nu există evaluări
  • Lab. Nr.1 - S.A.V - Ciubotaru Mihai
    Lab. Nr.1 - S.A.V - Ciubotaru Mihai
    Document10 pagini
    Lab. Nr.1 - S.A.V - Ciubotaru Mihai
    Mihai Ciubotaru
    Încă nu există evaluări
  • Lab 4
    Lab 4
    Document12 pagini
    Lab 4
    Fil Gorea
    Încă nu există evaluări
  • LAB3PDP
    LAB3PDP
    Document4 pagini
    LAB3PDP
    Cristian
    Încă nu există evaluări
  • ASDN2
    ASDN2
    Document6 pagini
    ASDN2
    Viktor Dobrovolschi
    Încă nu există evaluări
  • Lab 1
    Lab 1
    Document8 pagini
    Lab 1
    dtatn
    Încă nu există evaluări
  • Ppe 1
    Ppe 1
    Document5 pagini
    Ppe 1
    Victor Lungu
    Încă nu există evaluări
  • CR-191 MIPI Axenti Alina Lab4
    CR-191 MIPI Axenti Alina Lab4
    Document6 pagini
    CR-191 MIPI Axenti Alina Lab4
    Alina Axenti
    Încă nu există evaluări
  • Lab5 RC
    Lab5 RC
    Document3 pagini
    Lab5 RC
    Жан Ганган
    Încă nu există evaluări
  • Lab1 GC
    Lab1 GC
    Document8 pagini
    Lab1 GC
    Andrei Barbalat
    Încă nu există evaluări
  • Lab 3
    Lab 3
    Document4 pagini
    Lab 3
    Rosca Doinita
    Încă nu există evaluări
  • Laboratorul1 ASR
    Laboratorul1 ASR
    Document7 pagini
    Laboratorul1 ASR
    Жан Ганган
    100% (1)
  • Dutca Alexandru CR-182 Lab3 PCD
    Dutca Alexandru CR-182 Lab3 PCD
    Document5 pagini
    Dutca Alexandru CR-182 Lab3 PCD
    Dutca Alexandru
    Încă nu există evaluări
  • AI-191 Medinschi Ion SO4
    AI-191 Medinschi Ion SO4
    Document5 pagini
    AI-191 Medinschi Ion SO4
    Carolin
    Încă nu există evaluări
  • SOMIPP Lab6
    SOMIPP Lab6
    Document4 pagini
    SOMIPP Lab6
    Dan
    Încă nu există evaluări
  • TAP Lab2
    TAP Lab2
    Document4 pagini
    TAP Lab2
    Adrian Bodorin
    Încă nu există evaluări
  • Iepuras Daniel LAB 3 TS
    Iepuras Daniel LAB 3 TS
    Document8 pagini
    Iepuras Daniel LAB 3 TS
    DanuIepuras
    Încă nu există evaluări
  • LL3 (Amoo)
    LL3 (Amoo)
    Document6 pagini
    LL3 (Amoo)
    Eric Semeniuc
    Încă nu există evaluări
  • Lab 3
    Lab 3
    Document7 pagini
    Lab 3
    Fil Gorea
    Încă nu există evaluări
  • TAP Lab5 Popov Biatrice CR 191
    TAP Lab5 Popov Biatrice CR 191
    Document6 pagini
    TAP Lab5 Popov Biatrice CR 191
    Alina Axenti
    Încă nu există evaluări
  • Subiecte Examen ASDN
    Subiecte Examen ASDN
    Document3 pagini
    Subiecte Examen ASDN
    Camy Tripon
    Încă nu există evaluări
  • Proiect de An RC
    Proiect de An RC
    Document15 pagini
    Proiect de An RC
    Клара Кожухари
    Încă nu există evaluări
  • SOMIPP Lab5
    SOMIPP Lab5
    Document4 pagini
    SOMIPP Lab5
    X3 KTO
    Încă nu există evaluări
  • Examen PW
    Examen PW
    Document71 pagini
    Examen PW
    DorinRotaru
    Încă nu există evaluări
  • MMC Lab3 Rap
    MMC Lab3 Rap
    Document4 pagini
    MMC Lab3 Rap
    I LOVE ME
    Încă nu există evaluări
  • Lab NR 4 MMC2 Duca Dumitru TI 194
    Lab NR 4 MMC2 Duca Dumitru TI 194
    Document11 pagini
    Lab NR 4 MMC2 Duca Dumitru TI 194
    Santa Claus
    Încă nu există evaluări
  • Lab2 La Programare in Windows
    Lab2 La Programare in Windows
    Document12 pagini
    Lab2 La Programare in Windows
    Andrei Barbalat
    Încă nu există evaluări
  • Laborator Nr. 1 - SAV
    Laborator Nr. 1 - SAV
    Document4 pagini
    Laborator Nr. 1 - SAV
    Katalina
    Încă nu există evaluări
  • Test 02
    Test 02
    Document7 pagini
    Test 02
    Dutca Alexandru
    Încă nu există evaluări
  • SOMIPP Lab4
    SOMIPP Lab4
    Document7 pagini
    SOMIPP Lab4
    Dan
    Încă nu există evaluări
  • Laboratorul Nr1 La Grafica Pe Calculator Gc.
    Laboratorul Nr1 La Grafica Pe Calculator Gc.
    Document4 pagini
    Laboratorul Nr1 La Grafica Pe Calculator Gc.
    Ion Damaschin
    Încă nu există evaluări
  • Lab 1
    Lab 1
    Document9 pagini
    Lab 1
    Cristian Conea
    Încă nu există evaluări
  • PPE Lab7
    PPE Lab7
    Document14 pagini
    PPE Lab7
    danielploaia
    Încă nu există evaluări
  • PCD Lab 1 Nepiiovda Dmitrii
    PCD Lab 1 Nepiiovda Dmitrii
    Document10 pagini
    PCD Lab 1 Nepiiovda Dmitrii
    Catalina Bucur
    Încă nu există evaluări
  • Lab 5
    Lab 5
    Document7 pagini
    Lab 5
    Dorin Nicoara
    Încă nu există evaluări
  • Lab 3 Ppe
    Lab 3 Ppe
    Document8 pagini
    Lab 3 Ppe
    Anastasia Gherman
    Încă nu există evaluări
  • TAP Lab6 Popov Biatrice - CR 191
    TAP Lab6 Popov Biatrice - CR 191
    Document6 pagini
    TAP Lab6 Popov Biatrice - CR 191
    Alina Axenti
    Încă nu există evaluări
  • Lucrare de Laborator Nr.4
    Lucrare de Laborator Nr.4
    Document10 pagini
    Lucrare de Laborator Nr.4
    Dutca Alexandru
    Încă nu există evaluări
  • Laborator 1 ASO
    Laborator 1 ASO
    Document4 pagini
    Laborator 1 ASO
    Жан Ганган
    Încă nu există evaluări
  • TW Lab2
    TW Lab2
    Document4 pagini
    TW Lab2
    Dan
    Încă nu există evaluări
  • Bonta Alexandr SAV Lab2
    Bonta Alexandr SAV Lab2
    Document5 pagini
    Bonta Alexandr SAV Lab2
    X3 KTO
    Încă nu există evaluări
  • Pam 4
    Pam 4
    Document4 pagini
    Pam 4
    violina
    Încă nu există evaluări
  • Lab 7 Somipp
    Lab 7 Somipp
    Document5 pagini
    Lab 7 Somipp
    Augusta Bucataru
    Încă nu există evaluări
  • TW Lab 5
    TW Lab 5
    Document4 pagini
    TW Lab 5
    danielploaia
    Încă nu există evaluări
  • LAB3PSR
    LAB3PSR
    Document11 pagini
    LAB3PSR
    Cristian
    Încă nu există evaluări
  • Raport Final
    Raport Final
    Document26 pagini
    Raport Final
    Cristi Adașan
    Încă nu există evaluări
  • Lab3 SAV
    Lab3 SAV
    Document4 pagini
    Lab3 SAV
    Zeul Hriscei
    Încă nu există evaluări
  • Lab1 SAV
    Lab1 SAV
    Document5 pagini
    Lab1 SAV
    Zeul Hriscei
    Încă nu există evaluări
  • Somipp Linux 3 UTM
    Somipp Linux 3 UTM
    Document7 pagini
    Somipp Linux 3 UTM
    Cristi Poselețchi
    Încă nu există evaluări
  • Lab 3 Rom
    Lab 3 Rom
    Document6 pagini
    Lab 3 Rom
    Jorca Ţuţuianu
    Încă nu există evaluări
  • Hjjgkyjhgjbn
    Hjjgkyjhgjbn
    Document19 pagini
    Hjjgkyjhgjbn
    CS:GO cFG
    Încă nu există evaluări
  • Raport4 Lab4 AC
    Raport4 Lab4 AC
    Document3 pagini
    Raport4 Lab4 AC
    crismaruion
    Încă nu există evaluări
  • IoT LL4
    IoT LL4
    Document4 pagini
    IoT LL4
    Anya Mr
    Încă nu există evaluări
  • TW Lab4
    TW Lab4
    Document8 pagini
    TW Lab4
    Dan
    Încă nu există evaluări
  • Test de Evaluare Continuă X-Structura Liniară
    Test de Evaluare Continuă X-Structura Liniară
    Document2 pagini
    Test de Evaluare Continuă X-Structura Liniară
    Bontos Mihaela
    Încă nu există evaluări
  • Subiect Admitere 2013 Informatica
    Subiect Admitere 2013 Informatica
    Document2 pagini
    Subiect Admitere 2013 Informatica
    Hh Tyt
    Încă nu există evaluări
  • Lab7C 1 1
    Lab7C 1 1
    Document7 pagini
    Lab7C 1 1
    Cristian Conea
    Încă nu există evaluări
  • At1 PSR
    At1 PSR
    Document1 pagină
    At1 PSR
    Cristian Conea
    Încă nu există evaluări
  • Lab 3 Cde
    Lab 3 Cde
    Document6 pagini
    Lab 3 Cde
    Cristian Conea
    Încă nu există evaluări
  • PDP - Lucrarea de Laborator 3
    PDP - Lucrarea de Laborator 3
    Document11 pagini
    PDP - Lucrarea de Laborator 3
    Cristian Conea
    Încă nu există evaluări
  • Test PDP
    Test PDP
    Document4 pagini
    Test PDP
    Cristian Conea
    Încă nu există evaluări
  • Lab 1
    Lab 1
    Document9 pagini
    Lab 1
    Cristian Conea
    Încă nu există evaluări
  • Atestare
    Atestare
    Document3 pagini
    Atestare
    Cristian Conea
    Încă nu există evaluări
  • Lucr - de Lab - nr2
    Lucr - de Lab - nr2
    Document13 pagini
    Lucr - de Lab - nr2
    Cristian Conea
    Încă nu există evaluări
  • Laboratorul-6 RC
    Laboratorul-6 RC
    Document17 pagini
    Laboratorul-6 RC
    Cristian Conea
    Încă nu există evaluări
  • LAB1 Poo
    LAB1 Poo
    Document6 pagini
    LAB1 Poo
    Cristian Conea
    Încă nu există evaluări
  • Industria Alimentară Și A Băuturilor
    Industria Alimentară Și A Băuturilor
    Document7 pagini
    Industria Alimentară Și A Băuturilor
    Cristian Conea
    Încă nu există evaluări
  • Lab 4
    Lab 4
    Document21 pagini
    Lab 4
    Cristian Conea
    Încă nu există evaluări
  • Chestionar Pentru Examen La Inginerie Mecanică 2 2015
    Chestionar Pentru Examen La Inginerie Mecanică 2 2015
    Document2 pagini
    Chestionar Pentru Examen La Inginerie Mecanică 2 2015
    Cristian Conea
    Încă nu există evaluări
  • Asemanarile Si Deosebirile Intre Tehnologia Swing Si Java FX
    Asemanarile Si Deosebirile Intre Tehnologia Swing Si Java FX
    Document2 pagini
    Asemanarile Si Deosebirile Intre Tehnologia Swing Si Java FX
    Cristian Conea
    Încă nu există evaluări
  • Matlab-Nr 5
    Matlab-Nr 5
    Document5 pagini
    Matlab-Nr 5
    Cristian Conea
    Încă nu există evaluări