Sunteți pe pagina 1din 11

Lucrarea de laborator 3

Sinteza si implementarea circuitelor logice secvențiale

Scopul lucrării: Proiectarea, testarea şi simularea circuitelor logice


secvențiale în mediul de dezvoltare software Altera Quartus II. Descrierea
circuitelori va fi efectuată în limbajul VHDL, folosind codificarea
comportamentală.

1. INTRODUCERE TEORETICĂ

Circuitele secvențiale conțin atât logică combinațională cât şi elemente de


memorare. Efectul de memorare se datorează unor legături inverse (bucle de reacţie)
prezente în schemele logice ale acestor circuite. În figura 1 se prezintă diagrama
unui sistem secvențial. În acest sistem secvențial elementul de memorare este
circuitul bistabil.

Figura 1 . Sistem secvențial

În cazul circuitelor secvenţiale sincrone, modificarea stării se poate realiza la


momente bine definite de timp sub controlul unui semnal de ceas. În cazul
circuitelor secvenţiale asincrone, modificarea stării poate fi cauzată de schimbarea
aleatoare în timp a valorii unui semnal de intrare.
Comportamentul unui circuit asincron este mai puţin sigur, evoluţia stării
fiind influenţată şi de timpii de întârziere ai componentelor circuitului. Trecerea
între două stări stabile se poate realiza printr-o succesiune de stări instabile,
aleatoare.
Circuitele secvenţiale sincrone sunt mai fiabile şi au un comportament
predictiv. Toate elementele de memorare ale unui circuit sincron îşi modifică
simultan starea, ceea ce elimină apariţia unor stări intermediare instabile. Prin
testarea semnalelor de intrare la momente bine definite de timp se reduce influenţa
întârzierilor şi a eventualelor zgomote.

Comportamentul circuitelor secvențiale poate fi descris folosind construcția


proces.
Regulile de proiectare a CLS:
1. Utilizarea proceselor care nu includ toate porturile de intrare în lista de
sensibilitate (în caz contrar se va însera un circuit combinațional).
2. Utilizarea instrucțiunilor if-then-elsif incomplet specificate pentru a sugera
că unele semnale trebuie să-și păstreze valorile în anumite condiții.

Pentru modelarea funcționării CLS în conformitate cu semnalul de ceas se


folosesc următoarele construcții:
1. Construcţia if (clk`event) întoarce valoarea true pe oricare front al semnalului
de tact.
2. Construcţia if (clk=‘1’) întoarce valoarea true pe nivelul ‚1’ al semnalului de
tact.
3. Construcţia if (clk`event and clk=‘1’) întoarce valoarea true doar pe frontul
crescător al semnalului de tact.
4. Construcţia if (clk`event and clk=‘0’) întoarce valoarea true doar pe frontul
descrescător al semnalului de tact.

Construcții alternative:
if rising_edge(Clk)
if falling_edge(Clk)
wait until clk=‘1’ and clk’event
wait until clk=‘0’ and clk’event

În continuare se vor analiza câteva modele VHDL ale circuitelor secvențiale de


bază:
- Bistabile;
- Registre;
- Numărătoare.

Registrele pot avea următoarele funcții:


 Setare/resetare asincronă
 Setare/resetare sincronă
 Validarea semnalului de ceas (Clock Enable)
 Deplasare la stânga/dreapta, bidirecțional

Numaratoare pot avea următoarele funcții:


 Setare/resetare asincronă
 Setare/resetare sincronă
 Încărcare sincronă/asincronă
 Validarea semnalului de ceas (Clock Enable)
 Modul de lucru (Direct, invers, reversibil)
Bistabile

1. Bistabilul D acționat pe nivelul semnalului de ceas.

library ieee;
use ieee.std_logic_1164.all;
entity dff is
port (d: in std_logic;
clk: in std_logic;
q: out std_logic);
end dff;
architecture example of d_latch is
begin
process (clk, d)
begin
if (clk = '1') then
q <= d;
end if;
end process;
end example;

În acest exemplu lista de sensibilitate include toate porturile de intrare.


Instrucțiunea if nu conține condiția else, ceea ce va duce la înserarea
automată a unui bistabil de tip latch. Bistabilul creat va păstra valoarea q între
apelările procesului.
Cu alte cuvinte fragmentul :

if (clk = '1') then


q <= d;
end if;

este identic pentru simulare cu fragmentul:

if (clk = '1') then


q <= d;
else
q<=q;
end if;

În general, majoritatea utilitarelor de proiectare nu admit utilizarea condiției


else după construcția if (clk = '1') then sau if (clk`event and clk=‘1’), din cauza
posibilității de implementare ambiguie.
2. Bistabilul D acționat pe frontul crescător al semnalului de ceas (flip-flop).

library ieee;
use ieee.std_logic_1164.all;
entity dff is
port (d: in std_logic;
clk: in std_logic;
q: out std_logic);
end dff;
architecture example of dff is
begin
process (clk)
begin
if (clk'event and clk = '1') then
q <= d;
end if;
end process;
end example;

Lista de sensibilitate conține doar semnalul CLK, orice schimbare ale


intrărilor de date d nu determină activarea procesului.

3. Bistabilul Dff cu resetare asincronă

architecture example_r of dff


is begin
process (clk, reset)
begin
if (reset = '1') then
q <= '0';
elsif rising_edge (clk)
then q <= d;
end if;
end process;
end example_r;

În acest model intrarea asincronă de ştergere CLR este dominantă faţă de


orice comportare determinată de semnalul de tact CLK, deci este prima evaluată în
clauza „if”. Când reset este negat, se evaluează clauza „elsif”. Funcția rising_edge
este definită în pachetul std_logic_1164 și poate fi utilizată în locul expresiei
(clk'event and clk = '1') în cazul când semnalul de ceas este de tipul std_logic.
Unii proiectanâi preferă această funcție deoarece, în procesul simulării, funcția
rising_edge va reacționa doar la tranziția din ‘0’ în ‘1’ și nu va reacționa la oricare
altă tranziție, de exemlu din ‘U’ în ‘1’.
Pentru a descrie un bistabil cu setare asincronă, fragmentul:
begin if (reset = '1') then
q <= '0';
va fi înlocuit cu următorul fragment:
if (set = '1') then
q <= '1';
4. Bistabilul dff cu resetare sincronă

architecture example_r_sync of dff is


begin
process (clk)
begin
if rising_edge (clk) then
if (reset = '1') then
q <= '0';
else
q <= d;
end if;
end if;
end process;
end example_r_sync;
În acest exemplu resetarea bistabilului are loc atunci când semnalul reset este
activ în același timp cu frontul crescător al semnalului de ceas. Deoarece majoritatea
bistabilelor în circuitele PLD nu dispun de setare/resetare sincronă, implementarea
acestei logici necesită porți suplimentare.

Registre

5. Registru pe 8 biți, activ pe frontul crescător al semnalului de ceas.


library ieee;
use ieee.std_logic_1164.all;
entity reg8 is
port (d: in std_logic_vector (7 downto 0);
clk: in std_logic;
q: out std_logic_vector (7 downto 0));
end reg8;
architecture ex_reg of reg8 is
begin
process (clk)
begin
if (clk'event and clk = '1') then
q <= d;
end if;
end process;
end ex_reg;
6. Registru pe 8 biți cu încărcare paralelă şi resetare asincronă

library ieee;
use ieee.std_logic_1164.all;
entity reg8bit is
port ( clk, reset, ld: in std_logic;
din: in std_logic_vector(7 downto 0);
dout: out std_(7 downto 0));
end reg8bit;
architecture behavior of reg8bit is
signal n_state: std_logic_vector(7 downto 0);
signal p_state : std_logic_vector(7 downto 0);
begin
process(clk, reset)
begin
if (reset = ’0’) then p_state <= (others => ’0’); --reset
asincron elsif (clk’event and clk = ’1’) then
if (ld=’1’) then n_state <= din; --încărcare
paralelă else null;
end if;
p_state <= n_state;
end if;
end process;
dout <= p_state;
end behavior;
Expresia p_state <= (others => ’0’) este folosită pentru atribuirea valorii 0
tuturor biților din semnalul p_state.

Registre de deplasare
Un registru de deplasare este un circuit secvenţial care deplasează la stânga
sau la dreapta conţinutul registrului cu o poziţie în fiecare ciclu de ceas. De obicei,
intrările unui registru de deplasare sunt reprezentate de semnalul de ceas, o intrare
serială de date, un semnal de setare/resetare sincronă sau asincronă şi un semnal de
validare a ceasului. În plus, un registru de deplasare poate avea semnale de control
şi de date pentru încărcarea paralelă sincronă sau asincronă. Datele de ieşire ale unui
registru de deplasare pot fi accesate fie serial, atunci când este accesibil numai
conţinutul ultimului bistabil pentru restul circuitului, fie în paralel, atunci când este
accesibil conţinutul mai multor bistabile.
Există mai multe posibilităţi pentru descrierea registrelor de deplasare în
limbajul VHDL:
- Utilizarea operatorului de concatenare: reg <= reg (6 downto 0) & SI;
- Utilizarea construcţiilor for loop;
- Utilizarea operatorilor de deplasare predefiniţi (sll, srl, sla, sra).
7. Descrierea unui registru de deplasare la stânga de 8 biţi cu semnale de
validare a ceasului, intrare serială şi ieşire serială. Pentru descrierea
registrului de deplasare se utilizează o construcţie for loop.

library ieee;
use ieee.std_logic_1164.all;
entity reg8_depl is
port (clk, ce, si: in std_logic;
so: out std_logic);
end reg8_depl;
architecture reg_depl of reg8_depl is
signal tmp: std_logic_vector (7 downto 0);
begin
process (clk)
begin
if (clk'event and clk = '1') then
if (ce = '1') then
for i in 0 to 6 loop
tmp(i+1) <= tmp(i);
end loop;
tmp(0) <= si; -- încărcare serială
end if;
end if;
end process;
so <= tmp(7); -- ieșire serială
end reg_depl;

8. Registru de deplasare bidirecțional

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity reg_bidirect is
port (clk, si, left_right: in std_logic;
Po: out std_logic_vector (7 downto 0));
end reg_bidirect;
architecture beh of reg_bidirect is
signal tmp: std_logic_vector (7 downto 0);
begin
process (clk)
begin
if (clk’event and clk=’1’) then
if (left_right=’0’) then
tmp<=tmp(6 downto 0) & si;
else
tmp<=si & tmp(7 downto 1);
end if;
end if;
end process;
po<=tmp; --ieșire paralelă
end beh;

Exemplu de încărcare paralelă


process (CLK, LOAD, D)
begin
if (LOAD='1') then
tmp <= D; --încărcare paralelă
elsif (CLK'event and CLK='1') then
tmp <= tmp(6 downto 0) & SI;

Numărătoare

9. Numărător direct de 8 biţi cu semnale asincrone de resetare şi setare.

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity num8 is
port (clk: in std_logic;
rst, set: in std_logic;
en, load: in std_logic;
data: in std_logic_vector (7 downto 0);
num: out std_logic_vector (7 downto 0));
end num8;
architecture arh_num8 of num8 is
signal tmp: std_logic_vector (7 downto 0);
begin
cnt: process (rst, set, clk)
begin
if (rst = '1') then
tmp <= (others => '0');
elsif (set = '1') then
tmp <= (others => '1');
elsif (clk'event and clk = '1') then
if (load = '1') then
tmp <= data;
elsif (en = '1') then
tmp <= tmp + 1;
end if;
end if;
end process cnt;
num <= tmp;
end arh_num8;

10. Numărător pe 4 biți reversibil cu încărcare sincronă

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity counter is
port (clk, clr, load, up, down: in std_logic;
data: in std_logic_vector(3 downto 0);
count: out std_logic_vector(3 downto 0));
end counter;
architecture count4 of counter is
signal cnt: std_logic_vector(3 downto 0);
begin
process (clr, clk)
begin
if clr=‘1’ then cnt<=‘0000’;
elsif (clk’event and clk=‘1’) then
if load=‘1’ then cnt<=data
elsif up=‘1’ then
if cnt=‘1111’ then cnt <=‘0000’;
else cnt<=cnt+1;
end if;
elsif down=‘1’ then
if cnt=‘0000’ then cnt<=‘1111’;
else cnt<=cnt-1;
end if;
else
cnt<=cnt;
end if;
end if;
count<=cnt;
end process;
end count4;

11. Numărător pentru codul 8421+3

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
entity V74x163 is
port ( CLK, CLR_L, LD_L, ENP, ENT: in STD_LOGIC;
D: in UNSIGNED (3 downto 0);
Q: out UNSIGNED (3 downto 0);
RCO: out STD_LOGIC );
end V74x163;
architecture V74xs3_arch of V74x163 is
signal IQ: UNSIGNED (3 downto 0);
begin
process (CLK, ENT, IQ)
begin
if CLK'event and CLK='1' then
if CLR_L='0' then IQ <= (others => '0');
elsif LD_L='0' then IQ <= D;
elsif (ENT and ENP)='1' and (IQ=12) then IQ <=
('0','0','1','1'); elsif (ENT and ENP)='1' then IQ <= IQ + 1;
end if;
end if;
if (IQ=12) and (ENT='1') then RCO <= '1';
else RCO <= '0';
end if;
Q <= IQ;
end process;
end V74xs3;

Desfăşurarea lucrării
1. Se vor modela circuitele pentru exemplele Nr. 3, 5, 7 și 9. Pentru fiecare
circuit se va crea un proiect nou.
2. Se va proiecta un registru conform variantei din tabelul 1.
3. Se va proiecta un numărător conform variantei din tabelul 2.
Tabelul 1.
Nr. Num. CLK Resetare Setare Direcție Încărcare Iesire
de deplasare
biți
1. 6 Front crescător sincronă nu stânga serială serială
2. 8 Front asincronă nu stânga paralelă paralelă
descrescător
3. 4 Front crescător nu sincronă bidirecțional serială serială
4. 8 Front asincronă nu dreapta paralelă paralelă
descrescător
5. 4 Front crescător sincronă nu dreapta serială serială
6. 8 Front nu asincronă bidirecțional paralelă paralelă
descrescător
7. 5 Front crescător sincronă nu dreapta serială serială
8. 7 Front nu asincronă stânga paralelă paralelă
descrescător
9. 4 Front crescător sincronă nu bidirecțional serială serială
10. 5 Front asincronă nu dreapta paralelă paralelă
descrescător
11. 8 Front crescător nu asincronă stânga serială serială
12. 7 Front asincronă nu bidirecțional paralelă paralelă
descrescător

Tabelul 2.
Nr. mod CLK Resetare Tip Secvența Încărcare
numărător de
numărare
1. 9 Front sincronă Direct 3-11 paralelă
descrescător
2. 9 Front crescător asincronă Invers 14-6 paralelă
3. 11 Front nu Direct 2-12 paralelă
descrescător
4. 10 Front crescător asincronă Invers 13-4 paralelă
5. 12 Front sincronă Direct 1-12 paralelă
descrescător
6. 13 Front crescător nu Invers 14-2 paralelă
7. 10 Front sincronă Direct 3-12 paralelă
descrescător
8. 12 Front crescător nu Invers 12-1 paralelă
9. 13 Front sincronă Direct 2-14 paralelă
descrescător
10. 14 Front crescător asincronă Invers 14-1 paralelă
11. 14 Front nu Direct 0-13 paralelă
descrescător
12. 11 Front crescător asincronă Invers 13-3 paralelă

S-ar putea să vă placă și

  • Cinci Unu de Tiparit
    Cinci Unu de Tiparit
    Document16 pagini
    Cinci Unu de Tiparit
    Bogdan
    Încă nu există evaluări
  • LAB3PDP
    LAB3PDP
    Document4 pagini
    LAB3PDP
    Cristian
    Încă nu există evaluări
  • Laborator 7 - Verilog (Partea A II-A)
    Laborator 7 - Verilog (Partea A II-A)
    Document6 pagini
    Laborator 7 - Verilog (Partea A II-A)
    Alina Bujeniță
    Încă nu există evaluări
  • Shift Register Proiect Ascn
    Shift Register Proiect Ascn
    Document13 pagini
    Shift Register Proiect Ascn
    nitulescucristian
    Încă nu există evaluări
  • Tema13 LDH
    Tema13 LDH
    Document3 pagini
    Tema13 LDH
    alexandraandreea
    Încă nu există evaluări
  • Tema15 LDH
    Tema15 LDH
    Document3 pagini
    Tema15 LDH
    alexandraandreea
    Încă nu există evaluări
  • Partea II PacsAD
    Partea II PacsAD
    Document5 pagini
    Partea II PacsAD
    Ovidiu Maftei
    Încă nu există evaluări
  • VHDL Curs 1
    VHDL Curs 1
    Document23 pagini
    VHDL Curs 1
    cernatand
    Încă nu există evaluări
  • Tema10 PDF
    Tema10 PDF
    Document3 pagini
    Tema10 PDF
    Xela
    Încă nu există evaluări
  • Veriwell - Latch, Bistabil
    Veriwell - Latch, Bistabil
    Document6 pagini
    Veriwell - Latch, Bistabil
    Maria Stănculea
    Încă nu există evaluări
  • Tema19 LDH
    Tema19 LDH
    Document3 pagini
    Tema19 LDH
    alexandraandreea
    Încă nu există evaluări
  • Tema08 LDH
    Tema08 LDH
    Document3 pagini
    Tema08 LDH
    alexandraandreea
    Încă nu există evaluări
  • LDH - Lab12 - Modelarea Si Simularea Circuitelor Descrise in Verilog
    LDH - Lab12 - Modelarea Si Simularea Circuitelor Descrise in Verilog
    Document5 pagini
    LDH - Lab12 - Modelarea Si Simularea Circuitelor Descrise in Verilog
    Vitalii Cazacu
    Încă nu există evaluări
  • Tema05 LDH
    Tema05 LDH
    Document3 pagini
    Tema05 LDH
    Victor Adrian Codreanu
    Încă nu există evaluări
  • Curs 6 - Numararea Evenimentelor Si Masurarea Intervalelor de Timp
    Curs 6 - Numararea Evenimentelor Si Masurarea Intervalelor de Timp
    Document27 pagini
    Curs 6 - Numararea Evenimentelor Si Masurarea Intervalelor de Timp
    DHM1305
    Încă nu există evaluări
  • Curs 3 - Programarea PLC-urilor
    Curs 3 - Programarea PLC-urilor
    Document36 pagini
    Curs 3 - Programarea PLC-urilor
    alexcodreanu868
    Încă nu există evaluări
  • 5309 Prepeliag Laura-Florina Partea1 PDF
    5309 Prepeliag Laura-Florina Partea1 PDF
    Document27 pagini
    5309 Prepeliag Laura-Florina Partea1 PDF
    Tony Bujoreanu
    Încă nu există evaluări
  • Curs10 CID 2014
    Curs10 CID 2014
    Document29 pagini
    Curs10 CID 2014
    Alexandru
    Încă nu există evaluări
  • Armene Georgiana-Elena 5313 P2
    Armene Georgiana-Elena 5313 P2
    Document4 pagini
    Armene Georgiana-Elena 5313 P2
    tihardworker12
    Încă nu există evaluări
  • Laborator 3
    Laborator 3
    Document20 pagini
    Laborator 3
    Gabriel Iana
    Încă nu există evaluări
  • Proiect
    Proiect
    Document13 pagini
    Proiect
    leileilei65
    Încă nu există evaluări
  • Algoritmul Floyd-Warshall - Varianta Paralalela Implementata in C Folosind MPI
     Algoritmul Floyd-Warshall - Varianta Paralalela Implementata in C Folosind MPI
    Document20 pagini
    Algoritmul Floyd-Warshall - Varianta Paralalela Implementata in C Folosind MPI
    Loredana Elena Albulescu
    0% (1)
  • Cap 8 Circuite Logice Secventiale - Practica Proiectarii
    Cap 8 Circuite Logice Secventiale - Practica Proiectarii
    Document32 pagini
    Cap 8 Circuite Logice Secventiale - Practica Proiectarii
    Turcanu Alexandru
    Încă nu există evaluări
  • Proiectare Logica
    Proiectare Logica
    Document6 pagini
    Proiectare Logica
    gocull
    Încă nu există evaluări
  • Proiectare Logica 11
    Proiectare Logica 11
    Document7 pagini
    Proiectare Logica 11
    Horvat Norbert
    Încă nu există evaluări
  • Laborator 3 CID
    Laborator 3 CID
    Document6 pagini
    Laborator 3 CID
    Mihai Bihalache
    Încă nu există evaluări
  • Automate de Stare in Limbajul VHDL
    Automate de Stare in Limbajul VHDL
    Document20 pagini
    Automate de Stare in Limbajul VHDL
    Fertu Dragos-Daniel
    Încă nu există evaluări
  • Proiectarea Circuitelor Digitale Dedicate
    Proiectarea Circuitelor Digitale Dedicate
    Document8 pagini
    Proiectarea Circuitelor Digitale Dedicate
    Alexandra Dan
    Încă nu există evaluări
  • 01 VHDL
    01 VHDL
    Document38 pagini
    01 VHDL
    Ionut Emanuel Tiulimeanu
    Încă nu există evaluări
  • Intel 8080
    Intel 8080
    Document15 pagini
    Intel 8080
    Maxim Mirzenco
    Încă nu există evaluări
  • Proiect 2 Andrei Adrian
    Proiect 2 Andrei Adrian
    Document8 pagini
    Proiect 2 Andrei Adrian
    Bogdan Iulian Capra
    Încă nu există evaluări
  • LDH Lab04 VHDL 2
    LDH Lab04 VHDL 2
    Document8 pagini
    LDH Lab04 VHDL 2
    alexandraandreea
    Încă nu există evaluări
  • Damean Alexandra IOT4
    Damean Alexandra IOT4
    Document9 pagini
    Damean Alexandra IOT4
    Damean Alexandra
    Încă nu există evaluări
  • Electronica Si Telecomunicatii
    Electronica Si Telecomunicatii
    Document34 pagini
    Electronica Si Telecomunicatii
    Hassion
    Încă nu există evaluări
  • Lab 2
    Lab 2
    Document10 pagini
    Lab 2
    Ina Cornos
    Încă nu există evaluări
  • RLC Matlab
    RLC Matlab
    Document16 pagini
    RLC Matlab
    Diana Gold
    Încă nu există evaluări
  • Tema18 LDH
    Tema18 LDH
    Document3 pagini
    Tema18 LDH
    Andreea Racoviţă
    Încă nu există evaluări
  • Indicatorii Conditionali 21.03
    Indicatorii Conditionali 21.03
    Document6 pagini
    Indicatorii Conditionali 21.03
    Dan Florin-Alexandru
    Încă nu există evaluări
  • Cruise Control
    Cruise Control
    Document19 pagini
    Cruise Control
    Andrei Lucian Țecu
    Încă nu există evaluări
  • Latch Si Bistabil D
    Latch Si Bistabil D
    Document97 pagini
    Latch Si Bistabil D
    Florin Constantin
    Încă nu există evaluări
  • Cheatsheet CN2
    Cheatsheet CN2
    Document2 pagini
    Cheatsheet CN2
    Vlad Popescu
    Încă nu există evaluări
  • Curs 2 - Microprocesorul 8085A - Structura Interna Si Functionare
    Curs 2 - Microprocesorul 8085A - Structura Interna Si Functionare
    Document19 pagini
    Curs 2 - Microprocesorul 8085A - Structura Interna Si Functionare
    Manolache Narcis
    Încă nu există evaluări
  • PLC Curs Automatizari - Ro
    PLC Curs Automatizari - Ro
    Document35 pagini
    PLC Curs Automatizari - Ro
    Raul Brooks
    100% (4)
  • A&mP 08
    A&mP 08
    Document5 pagini
    A&mP 08
    Ella
    Încă nu există evaluări
  • Ciclul in V
     Ciclul in V
    Document50 pagini
    Ciclul in V
    Anonymous UZ5xA8
    Încă nu există evaluări
  • Laborator 1 - Prezentarea Aparatelor Si A Mediului Verilog
    Laborator 1 - Prezentarea Aparatelor Si A Mediului Verilog
    Document4 pagini
    Laborator 1 - Prezentarea Aparatelor Si A Mediului Verilog
    Alina Pricopie
    Încă nu există evaluări
  • Paraodro
    Paraodro
    Document9 pagini
    Paraodro
    Nicu Badiceanu
    Încă nu există evaluări
  • Verilog HDL Tutorial
    Verilog HDL Tutorial
    Document29 pagini
    Verilog HDL Tutorial
    stefan
    Încă nu există evaluări
  • VHDL
    VHDL
    Document6 pagini
    VHDL
    Xela
    Încă nu există evaluări
  • Laborator 3
    Laborator 3
    Document4 pagini
    Laborator 3
    AlucardAtem
    Încă nu există evaluări
  • FSD Mosoi Stefan
    FSD Mosoi Stefan
    Document12 pagini
    FSD Mosoi Stefan
    Ionesi Cristian
    Încă nu există evaluări
  • TRCA Lab L1 L16 Final PDF
    TRCA Lab L1 L16 Final PDF
    Document305 pagini
    TRCA Lab L1 L16 Final PDF
    Anonymous tJu9IFF
    Încă nu există evaluări
  • A&mP 05
    A&mP 05
    Document5 pagini
    A&mP 05
    Ella
    Încă nu există evaluări
  • 3 Semafoare
    3 Semafoare
    Document8 pagini
    3 Semafoare
    Dorw123
    Încă nu există evaluări
  • Proiect Verilog
    Proiect Verilog
    Document10 pagini
    Proiect Verilog
    Alina Ioana Gavrila
    Încă nu există evaluări
  • LAB4 Scilab
    LAB4 Scilab
    Document3 pagini
    LAB4 Scilab
    Simona Alexandra Liță
    Încă nu există evaluări
  • Cruise Control
    Cruise Control
    Document23 pagini
    Cruise Control
    Florin Petre
    Încă nu există evaluări
  • Test MC PLC
    Test MC PLC
    Document1 pagină
    Test MC PLC
    Marian Grecu
    Încă nu există evaluări
  • 09 Lab Asdn
    09 Lab Asdn
    Document6 pagini
    09 Lab Asdn
    Elena Nita
    Încă nu există evaluări
  • Laboratorul 6
    Laboratorul 6
    Document14 pagini
    Laboratorul 6
    Ion Bn
    Încă nu există evaluări
  • At1 PSR
    At1 PSR
    Document1 pagină
    At1 PSR
    Cristian Conea
    Încă nu există evaluări
  • LAB1 Poo
    LAB1 Poo
    Document6 pagini
    LAB1 Poo
    Cristian Conea
    Încă nu există evaluări
  • Lab 4
    Lab 4
    Document21 pagini
    Lab 4
    Cristian Conea
    Încă nu există evaluări
  • Segdrgrtyr
    Segdrgrtyr
    Document44 pagini
    Segdrgrtyr
    CS:GO cFG
    Încă nu există evaluări
  • Laboratorul 3
    Laboratorul 3
    Document10 pagini
    Laboratorul 3
    Ion Bn
    Încă nu există evaluări
  • Lab 5 Cde
    Lab 5 Cde
    Document7 pagini
    Lab 5 Cde
    Ion Mardari
    Încă nu există evaluări
  • Lab 1 Cde
    Lab 1 Cde
    Document8 pagini
    Lab 1 Cde
    Ion Mardari
    Încă nu există evaluări
  • Lab7C 1 1
    Lab7C 1 1
    Document7 pagini
    Lab7C 1 1
    Cristian Conea
    Încă nu există evaluări
  • L6CDE
    L6CDE
    Document5 pagini
    L6CDE
    Cristian Conea
    Încă nu există evaluări
  • Lab 4
    Lab 4
    Document4 pagini
    Lab 4
    Cristian Conea
    Încă nu există evaluări
  • Lab 7 C
    Lab 7 C
    Document6 pagini
    Lab 7 C
    Ion Pascari
    Încă nu există evaluări
  • Lab 3 Cde
    Lab 3 Cde
    Document6 pagini
    Lab 3 Cde
    Cristian Conea
    Încă nu există evaluări
  • Lab 2 Cde
    Lab 2 Cde
    Document6 pagini
    Lab 2 Cde
    Ion Mardari
    Încă nu există evaluări
  • Atestare
    Atestare
    Document3 pagini
    Atestare
    Cristian Conea
    Încă nu există evaluări
  • LLab. 2 EP
    LLab. 2 EP
    Document19 pagini
    LLab. 2 EP
    Cristian Conea
    Încă nu există evaluări
  • Raport 6
    Raport 6
    Document8 pagini
    Raport 6
    Cristian Conea
    Încă nu există evaluări
  • Testat
    Testat
    Document6 pagini
    Testat
    Cristian Conea
    Încă nu există evaluări
  • Lab 1 Epdfhgfgf
    Lab 1 Epdfhgfgf
    Document10 pagini
    Lab 1 Epdfhgfgf
    Bonari Victoria
    Încă nu există evaluări
  • LLab. 2 EP
    LLab. 2 EP
    Document19 pagini
    LLab. 2 EP
    Cristian Conea
    Încă nu există evaluări
  • Atestare
    Atestare
    Document3 pagini
    Atestare
    Cristian Conea
    Încă nu există evaluări
  • Laboratorul-6 RC
    Laboratorul-6 RC
    Document17 pagini
    Laboratorul-6 RC
    Cristian Conea
    Încă nu există evaluări
  • Test PDP
    Test PDP
    Document4 pagini
    Test PDP
    Cristian Conea
    Încă nu există evaluări
  • Lab 1
    Lab 1
    Document9 pagini
    Lab 1
    Cristian Conea
    Încă nu există evaluări
  • Segdrgrtyr
    Segdrgrtyr
    Document44 pagini
    Segdrgrtyr
    CS:GO cFG
    Încă nu există evaluări
  • Lab 4
    Lab 4
    Document21 pagini
    Lab 4
    Cristian Conea
    Încă nu există evaluări
  • LAB1 Poo
    LAB1 Poo
    Document6 pagini
    LAB1 Poo
    Cristian Conea
    Încă nu există evaluări
  • Lucr - de Lab - nr2
    Lucr - de Lab - nr2
    Document13 pagini
    Lucr - de Lab - nr2
    Cristian Conea
    Încă nu există evaluări
  • Lab4-Conea Cristian
    Lab4-Conea Cristian
    Document10 pagini
    Lab4-Conea Cristian
    Cristian Conea
    Încă nu există evaluări