Sunteți pe pagina 1din 14

1. Caracterizati sumar etapele evolutive ale circuitelor integrate.

2. Descrieti succint principalele categorii de structuri logice


programabile: PLA/PAL, CPLD, ASIC FPGA.

Dispozitivele PAL au matrici de celule transistor aranjate in plan “fixed-OR,


programabile-AND”, folosite in implementarea ecuatiilor logice binare,”suma
de produse” pentru fiecare dintre rezultatele in ceea ce priveste intrarile si
feedback-ul, fie sincron sau asincron de la iesiri. Ratiunea utilizarii PAL este
ca, in general, o functie logica mare utilizeaza un numar limitat de termini
produs si astfel nu este necesar sa se utilizeze toti termenii produs pentru
toate iesirile.

Un FPGA este un circuit integrat digital configurabil, de catre utilizator, dupa


ce a fost fabricat.Configurarea FPGA se face , in general, cu ajutorul unui
limbaj de descriere hardware HDL.FPGA-urile sunt alcatuite din blocuri logice
configurabile legate intre ele de o serie de conexiuni configurabile la randul
lor.

Un ASIC este un circuit integrat special produs pentru o anumita aplicatie,


civila sau militara, cu alte cuvinte “ specializat”. In general, acest tip de
circuite grupeaza un numar mare de functiuni unice, create pe masura
conform cerintelor concrete.

3. Descrieti asemanarile si deosebirile dintre FPGA si ASIC.

FPGA

*Un FPGA (Field Programmable Gate Array) este un circuit integrat digital
configurabil,

de catre utilizator, dupa ce a fost fabricat (spre deosebire de dispozivele a


caror func?ie este implementata

in procesul de fabrica?ie). Configurarea FPGA se face, in general, cu ajutorul


unui limbaj de descriere hardware HDL,

similar cu cel folosit pentru dispozivele ASIC, dezvoltându-se recent ?i


compilatoare care traduc intructiuni

din limbajul C în limbaje HDL. Un astfel de compilator este Impulse C.

FPGA-urile sunt alcatuite din blocuri logice configurabile (programabile)

legate între ele de o serie de conexiuni configurabile la rândul lor.

ASIC

*Un ASIC (prescurtare din engleza : Application Specific Integrated Circuit)

este un circuit integrat special produs pentru o anumita


aplica?ie,

civila sau militara, cu alte cuvinte "specializat".

În general, acest tip de circuite grupeaza un numar mare de func?iuni unice,

create pe masura conform cerin?elor concrete.

Un exemplu tipic din domeniul militar sunt acele cipuri dintr-o racheta

sau un satelit care prelucreaza imagini în "timp real".

4. Marcati conexiunile care trebuie realizate intr-o structura PLA in


scopul implementarii functiei de 3 variabile f(a, b, c) =

5. Caracterizati circuitele FPGA (structura interna, blocuri


functionale).

Contin celule logice programabile intern ca si functie logica si conexiuni


programabile pe orizontala si vertical.

Programabile sau reprogramabile in campul de lucru.

Elemente functionale tipice FPGA:

- Elemente logice

- Conexiuni

- Blocuri de intrare - iesire

- Alte facilitate

- Clocking

- Memory

- Memory interfaces

- Multipliers

6. Caracterizati circuitele ASIC (structura interna, blocuri


functionale).

ADIC este un circuit integrat complex dedicate implementarii unei aplicatii


particulare, mai degraba decat unei utilizari generale. Un circuit ASIC este
proiectat de o anumita companie si este realizat pentru un singur beneficiar.
Un circuit ASIC poate include un microprocessor de 32 biti impreuna cu
memorie si periferice complexe. Sistemul numeric dintr`un ASIC care este si
cel mai important sub system poate fi implementat prin mai multe
tehnologii, functie si de scara de productie necesara. Principial pentru
realizarea acestuia se utilizeaza ca principale tehnologii:

- Circuite total dedicate

- Circuite bazate pe celule standard

- Circuite FPGA
7. Explicati principiul LUT de implementare a functiilor logice in FPGA.

Un bloc logic contine un mic circuit combinational configurabil si un bistabil


D. Circuitul combinational configurabil se implementeaza ca un tablou de
valori – look-up table ( LUT). Un LUT cu n intrari poate fi considerat ca o
memorie cu 2n locatii pe un bit. Scriind corespunzator valorile din memorie,
un LUT poate fi utilizat pentru a implementa orice functie logica. Iesirea de la
LUT poate fi utilizata direct sau poate fi stocata in bistabil pentru a
implementa circuite secventiale.

8. Definiti continutul registrului LUT pentru implementarea functiei F


(x1, x2, x3) =

9. Explicati functionarea blocului logic programabil din structura


FPGA:

FPGA

*Un FPGA (Field Programmable Gate Array) este un circuit integrat digital
configurabil,

de catre utilizator, dupa ce a fost fabricat (spre deosebire de dispozivele a


caror func?ie este implementata

in procesul de fabrica?ie). Configurarea FPGA se face, in general, cu ajutorul


unui limbaj de descriere hardware HDL,

similar cu cel folosit pentru dispozivele ASIC, dezvoltându-se recent ?i


compilatoare care traduc intructiuni

din limbajul C în limbaje HDL. Un astfel de compilator este Impulse C.

FPGA-urile sunt alcatuite din blocuri logice configurabile (programabile)

legate între ele de o serie de conexiuni configurabile la rândul lor.

10. Descrieti programabilitatea conexiunilor realizate cu anti-fuzibile.

O tehnologie programabil cip care creeaza cai permanente, conductoare intre


tranzistori. In contrast cu

“blowing fuses” in metoda de fuzionare a legaturii, care deschide un circuit


divizand calea de conductivitate, metoda antifuza inchide circuitul crescand
conductivitatea.

11. Descrieti programabilitatea conexiunilor realizate cu tranzistoare


cu poarta flotanta (EEPROM).

EEPROM este un tip de memorie nevolatila folosita in calculatoare si alte


echipamente electronice pentru a stoca date ce trebuie sa persiste si dupa
intreruperea alimentarii cu current. Cea mai importanta caracteristica a
acestei memorii este ca nu e volatile. Memoria EEPROM este formata dintr`o
matrice de celule de memorie care, la randul lor, sunt formate din perechi de
tranzistori ce au intre ei un strat subtire de oxid isolator. Un transistor este
numit poarta flotanta, iar celalalt poarta de control.

12. Descrieti programabilitatea conexiunilor folosind celule SRAM.

Avantaje:

- Reconfigurare dinamica
-

12. Descrieti programabilitatea conexiunilor folosind celule SRAM.

Avantaje:

- Reconfigurare dinamica
- Densitate crescuta

- Evolutie rapida odata cu tehnologiile de stocare SRAM generale

- Flexibilitate

Dezavantaje:

- Volatile

- Consum de energie ridicat

Aproape toate familiile FPGA folosesc SRAM. Celulele SRAM sunt utilizate in 3
moduri:

- Implementare de functii logice LUT

- Ca blocuri de memorie inglobate ( buffer stocate)

- Ca elemente de control comutatoarelor de configurare si a rutelor

13. Explicati functionarea memoriei NOR Flash.

Celulele flash NOR sunt conectate in parallel si pot fi operate individual.

14. Explicati functionarea memoriei NAND Flash.

Celulele flash NAND sunt conectate in serie, nu pot programate individual iar
citirea se face in serie.

15. Explicati functionarea si utilitatea circuitului de mai jos pornind de


la tabelul valorilor de adevar.

16. Explicati solutia de interconectare a magistralelor folosind


matrici de comutatoare (PSM),

Exista trei tipuri de trasee: de lungime simpla, dubla si linii lungi. Liniile
orizontale si vertical de lungime simpla si dubla se intersecteaza in asa
numitele matrici de interconectare. Fiecare PSM este alcatuita din
tranzistoare de trecere utilizate pentru interconectarea liniilor intre ele .

Liniile de lungime simpla. Exista 8 astfel de linii vertical si orizontale associate


cu fiecare CLB si ii ofera acestuia conectivitate cu CLB-urile vecine. Aceste linii
trec prin fiecare matrice de interconectare. Datorita intarzierilor care apar la
trecerea prin PSM sunt utilizate pentru conectarea CLB-urilor dintr-o arie
restransa.

Liniile de lungime dubla. Sunt de doua ori mai lungi decat cele simple si trec
tot prin a doua matrice de interconexiuni. Exista patru astfel de linii vertical si
orizontale associate fiecarui CLB.

Liniile lungi. Aceste linii parcurg intreaga matrice de CLB-uri , atat pe


orizontala cat si pe vertical. Sunt utilizate in cazul interconectarii CLB-urilor
dintr-o arie mai larga, dispunand de un fanout ridicat. Fiecare astfel de linie
are la mijloc un comutator care permite impartirea acesteia in doua
segmente separate.
17. Care sunt etapele proiectarii unui sistem digital VLSI si in ce
constau acestea?

Descrierea unui circuit folosind mijloacele adecvate (HDLs)

- Simulare logica
- Sinteza functionala pe baza instrumentelor specific

- Simulare functionala

- Implementare fizica

- Testare

18. Tehnologia de implementare a circuitelor CMOS. Desenati


structura substratului de Si pentru tranzistorul NMOS si PMOS.
(in celalat pdf)

Sunt realizate cu difernte mici privind schema dar fabricate intro tehnologie
mai noua care a permis reducerea

dimensiunilor componentelor și a Cp , Lp , conducînd la obținerea unor


performanțe superioare; Circuitele SSI şi MSI se produc atât în tehnologie TTL
cât şi CMOS. Circuitele LSI, VLSI şi ULSI se produc numai în

tehnologie MOS datorită densităţii (mult) mai mari de integrare necesare.

19. Desenati mastile utilizate pentru realizarea unui inversor CMOS.

20. Definiti parametrul Lambda – λ utilizat in exprimarea nivelului de


integrare VLSI.

21. Identificati funtia logica generata de schema cu tranzistoare CMOS


de mai jos.

22. Descrieti cateva avantaje si dezavantaje ale circuitelor BiCMOS.

23. Aspecte de baza ale integrarii VLSI: tensiunea de prag, consumul


de putere, frecventa maxima de lucru, puterea disipata.

Consumul de putere

Consumul de putere este influentat de frecventa de operare, numarul de


iesiri care comuta capacitatea de sarcina, numarul

de intrarii (de nivel TTL) comandate, temperatura jonctiunii, temperatura


ambianta, rezistenta termica. •Frecventa maxima de lucru depinde direct de
caracteristicile termice ale capsulei.

•“Absolute maximum ratings” sunt calculate uzual la o temperatura a


jonctiunii de 150°C si o densitate a traseelor

conductoare de 750 mils (1mils = 0.0254mm), fara ventilatie.

•Pistele, liniile de alimentare, conectorii, punctele de insertie sau


ventilatoarele au un rol important in imbunatatirea

disiparii caldurii.

•Nu toate dispozitivele integrate sunt testate identic: cele standardizate sunt
evaluate la frecventa de clock in timp cele

nestandardizate sunt testate la frecventa semnalului de intrare.

Puterea dispipata de capsula


•Probleme termice au devenit o ingrijorare majora odata cu miniaturizarea
componentelor catre surface-mount technology

(SMT), inlocuind capsulele through-hole (DIP) in proiectarea PCB.

•Circuitele care opereaza la aceleasi tensiuni de alimentare incapsulate in


incinte mai mici determina cresterea densitatii de
putere.

•Cresterea vitezei de operare determina deasemenea cresterea puterii


disipate. •Tehnologiile de incapsulare miniaturizata sunt orientate pe
rezolvarea problemelor termice.

•Temperatura jonctiunilor este influentata de reducerea consumului de


putere a chipului, de pistele conductoare lungi, de

radiatoarele termice, de curentii de aer, de compozitia materialului capsulei,


de suprafata ocupata si de marimea ariei de Si

(die size).

•Unele aspecte sunt de natura mecanica si tin de realizarea capsulei in timp


ce altele pot fi controlate in etapa de proiectare

a aplicatiei.

•Definirea completa a variabilelor care influenteaza comportamentul termic


se bazeaza pe evaluari si masuratori complexe.

•Puterea disipabila de o capsula se calculeaza la o temperatura a jonctiunii


(TJ ) de 150°C si o temperatura ambientala (TA

) de 55°C.

24. Consumul de putere creste cu: frecventa, dimensiunea (lamda),


tipul incapsularii (bifati raspunsurile corecte).

25. Evaluarea eficientei integrarii folosind costul per chip. Explicati


marimile care intervin in calculul costului.

26. Descrieti fenomenul de elctro-migrare in circuitele VLSI

*Pentru un curent I constant, J creste odata cu reducerea


sectiunii

W. Deoarece sectiunea nu este niciodata constant, inseamna ca


densitatea de curent este variabila de-a lungul traseului
conductor

In zonele de reducere a sectiunii fenomenul de electromigrare


determina intreruperea conductiei

27. Realizarea rezistoarelor in circuitele VLSI (celalalt pdf)

28. Realizarea capacitoarelor in circuitele VLSI

*Capacitoare (condensatoare). Pentru a nu se consuma o arie excesiva


din cipul de siliciu, valorile economice de capacitoare nu depasesc
câtiva zeci de pF. Se pot folosi doua tipuri de capacitoare si anume:
capacitoare obtinute din jonctiuni polarizate invers si capacitoare
MOS.

- Capacitoarele obtinute din jonctiuni polarizate invers utilizeaza


capacitatea de

bariera a jonctiunii si prezinta dezavantajele:

- mentinerea permanenta a tensiunii de polarizare si


- dependenta valorii capacitatii de tensiunea aplicata.

Aceste dezavantaje reduc aria de utilizare a acestor capacitoare. -


Capacitorul de tip MOS are structura prezentata în fig.1.13,b. O

armatura este o pelicula metalica depusa pe stratul de oxid de grosime


controlata (de obicei de grosime mai mica decât cea a oxidului de pe
restul suprafetei CI), dielectricul este stratul de bioxid de siliciu iar
cealalta armatura este formata dintr-un strat semiconductor. Intre
armatura din material semiconductor si metalizarea aplicata
substratului, se formeaza un capacitor parazit, Cparazit(fig.1.13,c), cu
valoarea de aproximativ o suta de ori mai mica decât cea a
condensatorului util. Acest condensator parazit înrautateste
comportarea circuitului la frecvente înalte.

29. Fenomene specifice interconectarii portilor logice in circuitele VLSI


si modele RC utilizate. Explicati.

Circuitele înglobeaz? un anumit num?r de Blocuri Func?ionale


(Functional Block) ?i de Blocuri de Intrare/Ie?ire (I/O Block)
interconectate prin intermediul unei matrici de interconectare numit?
FastConnect II. Fiecare Bloc Func?ional are maxim 54 de intr?ri ?i
maxim 18 ie?iri. Fiecare Bloc Func?ional este compus din 18 macro
celule (macrocell). La nivelul Blocului Func?ional implementarea este
tip sum? de produse, fiind disponibili pân? la 90 de termeni produs
(Product Terms). Fiecare macro celul? con?ine un bistabil - register
(num?rul de bistabile disponibile este egal cu num?rul de macro celule
al circuitului) care poate fi configurat ca fiind de tip D sau T sau absent
când este neutilizat, în

cazul în care func?ia implementat? este pur combina?ional?

30. Explicati modul de compensare a sarcinii capacitive cu


dimensiunea tranzistorului de comanda

31. Liniile lungi si comanda liniilor lungi in circuitele VLSI. (celalalt


pdf)

O solutie eficienta de comanda a liniilor lungi se bazeaza pe


segmentarea liniilor de transport in elemente de lungimi mai mici. Daca
intarzierea unei linii l este rXcX12, atunci, in cazul segmentarii in k
elemente cu buffere intercalate avem o intarziere globala .Optimul are
loc cand intarzierea unui segment este egala cu cea a buferului.

Cunoscand r, c si timpul de intarzaiere al unui bufer, din conditia


anterioara, se poate calcula lungimea l a unui segmment

32. Utilizarea portilor de transmisie pentru generarea „0”, „1”, „HZ”,


„Enable”

Multiplexoarele utilizate pentru conectarea selectiva a elementelor


logice nu sunt realizate in forma clasica din
cauza costurilor mari

• Solutia folosita in circuitele VLSI se bazeaza pe elemente logice cu


iesire three-state (porti de transmisie)

Utilizarea iesirilor cu 3 stari se bazeaza pe blocarea simultana a


ambelor tranzistoare din etajul de iesire (U, D) fiind necesare 6
tranzistoare

• Utilizarea unui singur comutator permite implementarea cu doar

2 tranzistoare

Utilizarea unui singur tranzistor ridica probleme legate de sensul de


trecere a curentului

• Cand tranzistorul este blocat, pct. B este flotant; C este capacitatea


de intrare intr-un alt etaj

• Un tranzistor cu canal n transmite corect nivelul 0: Va = 0 si Vb ?0,

VGS are o valoare pozitiva constanta, B = drena, A = sursa; curentul


circula de la B catre A

• Un tranzistor cu canal n NU transmite corect nivelul 1, A = drena, B


= sursa; curentul trebuie sa circule de la A catre B flotant, dar VGS
scade pe masura ce capacitatea C se incarca

• O situatia complementara are loc pentru un tranzistor cu canal p

Pentru a transmite corect si 0 si 1 se utilizeaza 2 tranzistoare


complementare

• Tranzistoarele necesita comanda in contra-timp, deci este nevoie de


o logica de comanda corespunzatoare

• In cazuri particulare se poate utiliza o poarta cu un singur

tranzistor,

dar nu pentru a comanda o alta grila (care trebuie incarcata si


descarcata de sarcina electrica) !

• Trecerea variabilei logice se face fara restaurarea nivelului (non


restoring); zgomutul este deasemenea transferat

33. Implementarea MUX folosind porti de transmisie. Exemplifcati


pentru MUX 2:1 si MUX INVERSOR 2:1

34. Explicati realizarea CBB utilizand porti de transmisie (celalalt pdf)

35. Scrieti tabelul valorilor de adevar pentru schema si ecuatia logica


de functionare pentru schema de mai jos. Identificati functia logica:

36. Prezentati modul de realizarea a CLC cu MUX (LUT).


Exemplificati pentru MUX 4:1

Utilizarea unei arii de selectoare (MUX) necesita n x 2n porti de


transmisie , un NAND cu 4 intrari necesita 128 tranzistoare, un NAND
classic ar putea fi implementat cu 8 tranzistoare. Solutia bazata
pe MUX are avantajul ca permite reprogramarea functiei realizate
si este mult mai rapida decat structura clasica.

37. Proiectarea cu PLA (arii logice programabile). Exemplificati


pentru f(A,B,C) = … (celalalt pdf)

38. Construiti tabelul de adevar si identificati functia logica


obtinuta pentru structura CMOS dinamica: (celalalt pdf)

39. Explicati functionarea circuitului CMOS dinamic de mai jos si


explicati posibilele probleme de sincronizare(celalalt pdf)

40. Explicati principiul implemenatrii CMOS dinamice tip „domino”


(celalalt pdf)

41. Generati tabelul valorilor de adevar considerand variabilele logice


din circuitul CMOS dinamic tip

„domino” de mai jos (celalalt pdf)

42. Explicati functionarea circuitului: (celalalt pdf)

43. Definiti arhitectura pipe-line si explicati modul de implementare a


acesteia bazat pe tehnologia CMOS dinamica

Logica CMOS dinamica

-Utilizeaza un tranzistor pMOS pull-up comandat de un semnal de clock


-Se bazeaza pe existenta unei sarcini capacitive la iesirea Y
-Functioneaza in 2 faze: preincarcare (precharge) si procesare
(evaluate/compute)Cele 2 armaturi ale capacitatii, substratul
semiconductor si stratul conductor de poly-siliciu, sunt separate de
oxidul de Si ca izolator

• O astfel de capaciate are o valoare mica si pierde rapid sarcina stocata


(10-100ms in functie de dimensiunea armaturilor)

• Este necesara reincarcarea periodica a capacitatii (refresh),


independent de sistemul logic in care functioneaza

• Cea mai simpla structura de memorie RAM dinamica are nevoie de o


capacitate si un tranzistor de control a incarcarii, ca in figura

• O memorie de tip bistabil (RAM static) necesita 4-6 tranzistoare (spre


deosebire de solutia capacitiva)

44. Identificati componentele (tranzistoare CMOS) pe amprenta de mai


jos (celalalt pdf)

45. Reprezentarea cu segmente (stick diagram) a elementelor VLSI

46. Identificati functia logica pentru reprezentarile cu segmente (stick


diagrams) de mai jos

47. Descrieti generic, la nivel de schema bloc, arhitectura dispozitivelor


CPLD (Xilinx) (celalalt pdf)
48. Descrieti generic, la nivel de schema bloc, arhitectura dispozitivelor
programabile FPGA (Xilinx)
Familia Virtex-4 reprezinta penultima generatie de circuite FPGA a firmei
Xilinx realizata in tehnologie de 90 nm. Arhitectura circuitului are la baza un
nou bloc inovativ, numit ASML, unic in industria circuitelor logice
programabile. Familia contine trei platform: LX, SX, FX organizate pe criteria
de performanta: numar de celule logice, numar de unitati MAC si frecventa
de lucru. Circuitele din familia Virtex 5 sunt ultimele circuite FPGA produse
de firma Xilinx, iar conform afirmatiilor producatorului sunt cele mai
puternice pe piata circuitelor logice programabile.

49. Explicati functionarea unui CLB (Configurable Logic Block) din


arhitectura FPGA Xilinx

50. Explicati functionarea unui element LUT

? Un LUT cu n intrari poate fi considerat ca o memorie cu 2n locatii pe un


bit. Scriind corespunzator valorile din memorie, un LUT poate fi utilizat
pentru a implementa orice functie logica.

? Iesirea de la LUT poate fi utilizata direct sau poate fi stocata în bistabil


pentru a implementa circuite secventiale.

51. Explicati functionarea unui bloc de intrare iesire (IOB Xilinx)

52. Prezentati modul de implementare a matricii de comutatoare


programabile PSM (programmable switch matrix)

*Exista trei tipuri de trasee: de lungime simpla, dubla si linii lungi. Liniile
orizontale si verticale de lungime simpla si dubla se intersecteaza în
asanumitele matrici de interconectare (PSM –

Programmable Switch Matrix). Fiecare PSM este alcatuita din tranzistoare de


trecere utilizate pentru interconectarea liniilor între ele,

Liniile de lungime simpla

Exisa 8 astfel de linii verticale si opt orizontale asociate cu fiecare CLB si îi


ofera acestuia conectivitate cu CLB-urile vecine. Aceste linii trec prin fiecare
matrice de interconectare. Datorita întârzierilor care apar la trecerea prin
PSM sunt utilizate pentru conectarea CLB-urilor dintr-o arie restrânsa.

Liniile de lungime dubla

Sunt de doua ori mai lungi decât cele simple si trec tot prin a

doua matrice de interconexiuni. Exista patru astfel de linii verticale si


orizontale asociate fiecarui CLB.

Liniile lungi

Aceste linii parcurg întreaga matrice de CLB-uri, atât pe orizontala cât si pe


verticala. Sunt utilizate în cazul interconectarii
CLB-urilor dintr-o arie mai larga, dispunând de un fanout ridicat. Fiecare
astfel de linie are la mijloc un comutator care permite împartirea acesteia în
doua segmente separate.

53. Caracterizati elementul CLB (Configurable Logic Block) din arhitectura


FPGA Xilinx

54. Explicati modul de interconectare interna pentru FPGA Xilinx:

55. Explicati arhitectura dispozitivelor Flex 10K (Altera) pornind de ls


schema de principiu de mai jos.

The Altera® FLEX 10K® embedded programmable logic family delivers the
flexibility of traditional programmable logic together with the efficiency and
density of embedded gate arrays.

With its two unique logic implementation structures—the embedded array


and the logic array—the FLEX 10K family revolutionized programmable
architectures and brought programmable

logic into the mainstream gate-array market.

56. Explicati arhitectura EAB (Embedded Array Block) din structura


arhitectura FPGA Flex 10K (Altera)

57. Explicati arhitectura LAB (Logic Array Block) din structura arhitectura
FPGA Flex 10K (Altera)

58. Descrieti elementul logic (LE) utilizat de familia Flex 10k (Altera)

59. Descrieti resursele de interconectare ale unui LAB (Logic Array Block)
Flex 10k / Cyclone II (Altera)

60. Descrieti functionarea elementului de intrare-iesire IOB Flex 10k /


Cyclone II (Altera)

61. Descrieti generic arhitectura macrocelulelor PAL (Vantis)

Structurile PAL si GAL au o arhitectura comuna, formata dintr`o retea de


porti SI programabile si o retea de porti SAU fixe. Avantajul principal este
simplitatea arhitecturii, dezavantajul este ineficienta ei. Circuitele PAL se
bazeaza pe tehnologia bipolar , iar cele GAL pe tehnologia CMOS.

62. Prezentati cateva configuratii de conectare VLSI nerecomandate si


solutiile posibile. (celalalt pdf)

S-ar putea să vă placă și