Sunteți pe pagina 1din 219

Ioan P.

Mihu







PROCESAREA NUMERIC
A SEMNALELOR

NOIUNI ESENIALE












EDITURA ALMA MATER
Sibiu, 2005












Descrierea CIP a Bibliotecii Naionale
MIHU, IOAN P.
Procesarea numeric a semnalelor. Noiuni Eseniale /
Ioan P. Mihu. Sibiu; Editura Universitii Lucian Blaga din
Sibiu, 2005
p: 218, cm: 24
Bibliografie
ISBN 973-651-290-8
004.932













PREFA





Avem mereu tentaia interioar de a crede c subiectele, pe care le
scriem i le prezentm fiecare dintre noi, sunt cele mai importante.
Dei tiu acest lucru, cred c domeniul n discuie n aceast carte, i
anume acela al prelucrrii numerice a semnalelor, este realmente unul
important, i nu doar unul la mod.

Lumea nu mai poate exista fr calculator. Avnd n vedere explozia
continu care implic calculatorul n tot mai multe domenii ale vieii
noastre dar cu precdere n domeniul embedded systems, cred c o
accentuare a pregtirii tinerilor n acest domeniu este una benefic pe
termen mediu i lung. n acest sens este semnificativ faptul c,
producia de procesoare dedicate prelucrrilor numerice, cunoscute
sub denumirea DSP (Digital Signal Processor), are n ultimii ani un
ritm de cretere superior procesoarelor clasice.

O alt dovad a interesului pentru domeniul procesrii numerice a
semnalelor o constituie numrul mare de cri publicate n ultimii 30
de ani. Acest lucru face extrem de dificil ncercarea oricui de a mai
veni cu o lucrare nou n acest domeniu. Am totui curajul s
ncredinez tiparului aceast lucrare, avnd convingerea c ea este
util celor ce doresc s se iniieze n acest domeniu.

Fiindc lucrurile exist cu adevrat abia atunci cnd au i un nume, am
considerat potrivit s numesc aceast carte Procesarea numeric a
semnalelor. Noiuni eseniale. Am numit-o astfel pentru a mrturisi
obiectivul major pe care l-am avut mereu n fa atunci cnd am
adunat paginile ei, i anume acela de a oferi cea mai simpl i
productiv cale spre nelegerea acestui domeniu, i anume
cunoaterea fundamentelor, a principiilor.


II Prefa


Atunci cnd prezentm ceva pe care noi l cunoatem, este de-a
dreptul firesc s considerm c acel lucru este simplu. ns pentru cel
care citete, lucrurile nu stau tocmai aa. Scriind aceast carte, am
ncercat s m pun mereu n ipostaza studentului care la nceput nu
cunoate nimic sau cunoate foarte puin din cele prezentate. Nu mi-a
fost deloc greu, fiindc atunci cnd am fost student, nici eu nu am
neles multe din lucrurile pe care astzi le pretind studenilor mei i
pe care le prezint n aceast carte. mi place s cred c, dac a fi avut
o lucrare ca cea de fa la vremea respectiv, a fi neles poate mai
uor unele aspecte legate de procesarea numeric a semnalelor.

Alturi de acest mod de abordare am ncercat, de asemenea, s
sistematizez de aa manier cele prezentate, nct cititorului s-i fie
uor s sesizeze elementele de esen ale prelucrrii numerice a
semnalelor. Sper ca n acest fel cititorul s poat discerne care sunt
lucrurile fundamentale i care sunt cele ce decurg din acestea n
domeniul prelucrrii numerice a semnalelor.

Am acordat o importan deosebit relaiei dintre prelucrarea
semnalului numeric i prelucrarea celui analogic din care provine, din
convingerea c acest demers va fi un sprijin real pentru viitorul
inginer pus n situaia de a include sisteme de procesare numeric n
lumea semnalelor analogice reale.

O mare parte dintre termenii utilizai n lucrare, legai de domeniul
prelucrrii numerice, sunt n limba romn. Am pstrat ns denumiri
n limba englez pentru termenii a cror traducere sau coresponden
duce la sensuri mai puin adecvate i care sunt consacrai n literatura
de specialitate.


Copiilor mei, Ina i Cantemir.




Sibiu, 27 noiembrie 2004







CUPRINS



1. Semnale i sisteme
1.1. Introducere............................................................................... 1
1.2. Clasificarea semnalelor.............................................................. 2
1.3. Clasificarea sistemelor............................................................... 9
1.4. Procesare numeric versus procesare digital .......................... 10
1.5. Istoric, perspective.................................................................. 14

2. Procesarea semnalelor analogice
2.1. Introducere............................................................................. 15
2.2. Semnalul sinusoidal n timp continuu....................................... 16
2.3. Semnalul sinusoidal funcie de variabil complex ................... 19
2.4. Propagarea semnalelor sinusoidale n circuite liniare................ 21
2.5. Filtre analogice realizate cu circuite electrice liniare................. 25
2.5.1. Rezolvarea circuitelor n regim sinusoidal....................... 26
2.5.2. Caracteristica de frecven............................................. 28
2.5.3. Caracteristica de frecven exprimat n decibeli............. 31
2.5.4. Aplicaii......................................................................... 35
2.6. Teorema Fourier n timp continuu........................................... 40
2.6.1. Teorema Fourier n domeniul real. ................................. 40
2.6.2. Teorema Fourier n electronic ...................................... 43
2.6.3. Spectrul real al unui semnal periodic real........................ 45
2.6.4. Aplicaii......................................................................... 47
2.6.5. Teorema Fourier n domeniul complex........................... 52
2.6.6. Spectrul complex al semnalului periodic real .................. 55
2.7. Transformata Fourier direct i invers n timp continuu.......... 55
2.7.1. De ce se folosesc transformri? ..................................... 55
2.7.2. Transformata Fourier (TF) ............................................ 57
2.7.3. Legtura dintre TF i teorema Fourier ........................... 58
2.7.4. De ce se folosesc transformri?...................................... 60
2.7.5. Aplicaii ........................................................................ 61
2.8. Bibliografie............................................................................. 62


IV Cuprins



3. Semnalele n calculatorul numeric
3.1. Semnale analogice / semnale numerice ................................... 63
3.2. Eantionarea i cuantizarea .................................................... 64
3.3. Spectrul semnalului eantionat ............................................... 66
3.4. Teorema eantionrii ............................................................. 69
3.5. Alegerea frecvenei de eantionare ......................................... 71
3.6. Alegerea nivelului de cuantizare.............................................. 72
3.7. Reconstrucia semnalelor ....................................................... 75
3.7.1. Interpolarea de ordinul zero cu pstrarea valorii............. 75
3.7.2. Interpolarea liniar......................................................... 75
3.7.3. Interpolarea polinomial ................................................ 76
3.7.4. Interpolarea cu nucleul "sinc" ........................................ 77
3.8. Sisteme de achiziie de date.................................................... 78
3.9. Aplicaii ................................................................................. 80
3.10. Bibliografie........................................................................... 82


4. Semnale i sisteme discrete
4.1. Sinusoida discret................................................................... 83
4.2. Sisteme numerice.................................................................... 84
4.2.1. Sisteme discrete liniare .................................................. 85
4.2.2. Sisteme discrete invariante n timp ................................. 86
4.2.3. Sisteme discrete cauzale / necauzale............................... 86
4.2.4. Sisteme discrete recursive / nerecursive ......................... 86
4.2.5. Sisteme discrete stabile / instabile................................... 86
4.3. Exemple de procesare analogic versus procesare digital ..... 87
4.3.1. Amplificarea unei sinusoide.......................................... 87
4.3.2. Defazarea unei sinusoide................................................ 88
4.4. Transformata Fourier Discret (TFD) ..................................... 90
4.4.1. Definiia TFD................................................................ 90
4.4.2. Legtura dintre TFD i TF............................................. 94
4.5. Transformata Fourier Rapid (TFR) ...................................... 95
4.6. La ce folosesc transformatele Fourier? .................................... 96
4.6.1. Calculul spectrului unui semnal ...................................... 96
4.6.2. Ferestruirea (windowing)............................................... 98
4.6.3. Calculul densitii spectrale de putere............................100
4.6.4. Calculul convoluiei a dou semnale..............................100
4.7. Alte transformri....................................................................100
4.7.1. Transformarea Cosinus .................................................101
Cuprins V

4.8. Transformri i sisteme ..........................................................102
4.8.1. Transformri ale sistemelor...........................................102
4.8.2. Caracteristica de frecven a unui sistem discret ............104
4.9. Aplicaii ................................................................................105
4.10. Bibliografie..........................................................................110


5. Procesri numerice n domeniul timp
5.1. Introducere...........................................................................111
5.2. Medierea ..............................................................................112
5.3. Derivarea..............................................................................112
5.4. Corelaia................................................................................114
5.5. Convoluia.............................................................................115
5.5.1. Implementarea produsului de convoluie .......................116
5.5.2. Proprietile convoluiei................................................118
5.5.3. Aspecte spectrale ale convoluiei...................................119
5.6. Procesri neliniare..................................................................121
5.7. Procesri statistice ................................................................122
5.7.1. Valoarea medie.............................................................122
5.7.2. Deviaia........................................................................122
5.7.3. Histograma...................................................................122
5.8. Aplicaii .................................................................................124
5.9. Bibliografie............................................................................126


6. Procesri n domeniul frecven. Filtre numerice
6.1. Introducere............................................................................127
6.1.1. Algoritm cu rol de filtru Trece Jos ................................128
6.1.2. Algoritm cu rol de filtru Trece Sus................................130
6.2. Clasificarea filtrelor numerice.................................................133
6.2.1. Filtre recursive / nerecursive .........................................133
6.2.2. Filtre cauzale / necauzale ..............................................137
6.2.3. Filtre stabile / instabile ..................................................137
6.2.4. Filtre FIR / IIR ............................................................138
6.2.5. Ordinul filtrului.............................................................139
6.3. Aplicaii .................................................................................140
6.4. Bibliografie............................................................................142


7. Proiectarea filtrelor nerecursive
7.1. Introducere............................................................................143
7.2. Metoda Transformatei Fourier ...............................................144
VI Cuprins

7.2.1. Filtru Trece Jos.............................................................144
7.2.2. Filtru Trece Sus............................................................148
7.2.3. Filtru Trece Band........................................................148
7.2.4. Filtru Oprete Band.....................................................149
7.3. Metoda Transformatei Fourier Discret..................................150
7.4. Sinteza modului de proiectarea a filtrelor nerecursive ........153
7.5. Proiectarea filtrelor nerecursive n MATLAB.........................154
7.6. Aplicaii .................................................................................156
7.7. Bibliografie............................................................................158

8. Proiectarea filtrelor recursive
8.1. Transformarea Laplace?.........................................................159
8.2. Rezolvarea numeric a ecuaiilor difereniale ..........................166
8.2.1. De la analogic la discret ................................................166
8.2.2. Aplicaii........................................................................169
8.3. Transformata Z......................................................................170
8.3.1. Definiie........................................................................170
8.3.2. De la transformata Laplace la transformata Z...............171
8.3.3. Proprietile transformatei Z.........................................176
8.3.4. Trasarea caracteristicii de frecven .............................177
8.3.5. Filtre recursive. Forme de realizare ...............................179
8.3.6. Rezolvarea ecuaiilor cu diferene finite ........................183
8.4. Poli i zerouri..........................................................................184
8.4.1. Ce sunt polii i zerourile?..............................................184
8.4.2. Ce efect au polii i zerourile ?.......................................186
8.5. Proiectare filtrelor cu metoda poli - zerouri.........................189
8.5.1. Proiectarea unui filtru trece band.................................190
8.5.2. Filtru trece band cu caliti mbuntite ......................192
8.5.3. Exemple de filtre simple................................................193
8.5.4. Concluzii la metoda poli - zerouri..............................196
8.6. Proiectarea filtrelor recursive folosind transf. Z......................198
8.6.1. Etapele proiectrii.........................................................199
8.6.2. Stabilirea gabaritului caracteristicii de frecven ........200
8.6.3. Alegerea unei funcii de aproximare ..............................201
8.6.4. Determinarea transformatei Z a funciei ........................203
8.6.5. Sinteza schemei filtrului recursiv...................... 204
8.7. Proiectarea filtrelor recursive folosind transf. Bilinear...........205
8.8. Proiectarea filtrelor recursive n MATLAB.............................206
8.9. Aplicaii .................................................................................206
8.10. Bibliografie.............................................................................208








1. SEMNALE I SISTEME





1.1. Introducere

A privi lumea nconjurtoare ca fiind structurat n sisteme nu mai
este o noutate. Acest concept a adus i aduce mari beneficii n folosul
cercetrii n toate domeniile. Sistemele interacioneaz ntre ele prin
schimb de mas, de energie sau de informaie.

Utilizarea acestui concept n lucrarea de fa nu face dect s confirme
dou lucruri: c lumea calculatorului nu face excepie de la modul de a
privi lucrurile n general i c, n foarte multe sisteme cu care
interacionm i de care depindem, calculatorul este deja parte
component, adesea esenial. Nu a fost dintotdeauna aa. Utilizarea
sistemelor electronice de calcul pentru procesarea semnalelor este de
dat recent, a aprut cu aproximativ 50 de ani n urm. Astzi, acest
lucru intr n categoria lucrurilor fireti. Tot n categoria lucrurilor
fireti trebuie deci s intre i cunoaterea principiilor i tehnicilor de
prelucrare numeric a semnalelor.

Definim semnalul ca fiind suportul fizic al informaiei transferate
ntre sisteme. Orice semnal este din punct de vedere matematic o
funcie de timp, dar n definirea semnalului pot interveni i alte
variabile reprezentate de mrimi fizice precum spaiul, temperatura
etc. Iat cteva exemple de semnale purttoare de informaii pe care le
vom avea n vedere n continuare:
Sunetul i imaginea. Sunt semnale ce poart informaii care pot fi
percepute de fiinele vii.
2 Despre Semnale i Sisteme
semnale transferate ntre sisteme din natur sau din procese
industriale, avnd diverse suporturi fizice (tensiuni electrice, cmp
magnetic, temperaturi, fore, viteze, etc.).



1.2. Clasificarea semnalelor


Exist multe criterii de clasificare a semnalelor, dintre care vom
aminti cteva, fr pretenia de a le epuiza. Astfel, semnalele se pot
clasifica dup urmtoarele criterii:

Dup natura continu sau discontinu a domeniului de definiie
i a celui de valori.
Dup apartenena la acelai proces (monocanal sau multicanal).
Dup caracterul unidimensional sau multidimensional.
Dup caracterul aleatoriu sau predictibil.
Dup unele caracteristici descrise matematic, semnalele pot fi:
msurabile / nemsurabile;
de energie finit / infinit, etc;


1.2.1. Dup natura domeniului de definiie al variabilei
independente (timpul) i al domeniului n care funcia ia valori,
semnalele se pot clasifica conform tabelului:



Semnale continue


Semnale discrete

Semnale n
timp continuu



a



b


Semnale n
timp discret



c



d


Tabelul 1.1. Clasificare dup natura continu sau discontinu
a domeniului de definiie i a domeniului de valori.
Ioan P. MIHU - Procesarea Numeric a Semnalelor 3
Diferenele dintre cele patru tipuri de semnale conform clasificrii din
tabelul 1.1 sunt evideniate n figura urmtoare:



t
t
u (t)
nTe
nTe
u (t)
u (nTe)
u (nTe)
a.
b.
c.
d.


Figura 1.1. Principalele tipuri de semnale.
4 Despre Semnale i Sisteme

a. Semnale continue n timp continuu. Reprezint semnalele care
exist n toate momentele de timp n intervalul analizat i a cror
amplitudine poate lua orice valoare real n domeniul lor de
valori. Reprezentarea lor grafic este ilustrat n figura 1.1.a.
Circuitele (partea hardware) care prelucreaz acest tip de
semnale, se numesc circuite analogice, respectiv sisteme
analogice. n consecin, aceste semnale mai poart denumirea
de semnale analogice.
Deci semnalele analogice exist teoretic ntr-o infinitate de
momente dintr-un interval dat i pot lua o infinitate de valori
ntr-un domeniu finit. Desigur, nu lum n considerare
fenomenele mpinse pn la nivele atomice, cuantice.
De regul, semnalele provenite din lumea real nconjurtoare
sunt continue n timp i amplitudine, ca de exemplu: semnalele
furnizate de un microfon, de o camer video, de un traductor de
temperatur etc. Cu alte cuvinte lumea nconjurtoare a fost una
exclusiv analogic pn n momentul interveniei inteligenei
umane, care a dus la apariia procesrilor numerice. Exemple de
semnale analogice:
Semnale electrice: tensiune, curent, cmp electric, cmp
magnetic;
Semnale mecanice: deplasare, vitez, unghi, vitez
unghiular, for, cuplu, presiune;
Semnale fizico-chimice: temperatur, concentraie pH etc.

Pentru a putea prelucra aceste semnale n sisteme electronice,
ele sunt convertite cu ajutorul unor traductoare n mrimi
electrice: tensiune sau curent.
Semnalele continue n timp continuu se noteaz astfel: S(t)

b. Semnale discrete n timp continuu. Reprezint semnalele care
exist n toate momentele de timp n intervalul de timp analizat,
dar a cror amplitudine nu poate lua dect anumite valori din
domeniul lor de valori. Este uor de imaginat acest tip de
semnal dac presupunem c msurm o tensiune continu n
timp continuu cu ajutorul unui voltmetru numeric de msur, al
crui afiaj are un numr dat de cifre, spre exemplu 3. Este
evident c un astfel de semnal exist n orice moment de timp,
ns el nu ia dect un numr finit de valori (dat de numrul
cifrelor afiajului). Spre exemplu, un voltmetru indic la un
moment dat 12,1 V, iar dup un timp urmtoarea indicaie
Ioan P. MIHU - Procesarea Numeric a Semnalelor 5
posibil este 12,2 V. n realitate, tensiunea msurat poate avea
orice valoare cuprins ntre 12,1 V i 12,2 V, ns din
infinitatea de valori din acest domeniu nu sunt reinute dect
cele ce pot fi codificate de cei trei digii ai afiajului. Operaia
prin care un semnal continuu ajunge s fie reprezentat cu un
numr finit de cifre sau un numr finit de bii, se numete
discretizare. Reprezentarea grafic a unui semnal de acest fel
este cea din figura 1.1.b.

c. Semnale continue n timp discret. Sunt semnalele definite doar
n anumite momente ale domeniului de definiie - timpul, dar a
cror amplitudine poate lua orice valoare real n domeniul lor
de valori. Practic, semnalele discrete provin matematic din
semnalele continue n timp continuu, prin restrngerea
domeniului de definiie doar la anumite valori discrete. Aceast
operaie prin care din semnalul continuu se iau doar anumite
probe (eantioane) corespunztoare unor valori discrete ale
timpului se numete eantionare. De obicei, valorile discrete
ale timpului se aleg echidistante. n acest fel, intervalul de timp
dintre dou eantioane formeaz perioada de eantionare (Te).
Un astfel de semnal este exemplificat n figura 1.1.c.

d. Semnale discrete n timp discret. Sunt semnalele ce se obin din
cele continue n timp continuu prin eantionare n momente de
timp bine definite, i apoi prin discretizarea valorii semnalului,
mai exact prin trunchierea valorii reale la una cu un numr finit
de cifre. Rezultatul va fi acela c semnalul va lua doar un
numr finit de valori i doar n anumite momente de timp.
Circuitele (partea hardware) care prelucreaz acest tip de
semnale se numesc circuite digitale, respectiv sisteme digitale.
De aceea, semnalele din aceast categorie mai poart denumirea
de semnale digitale.
Obinerea semnalelor digitale se face pornind de la cele
analogice, parcurgnd urmtoarele etape:
eantionare;
digitizare;
codare.
Este evident c dac comparm semnalul digital cu cel analogic
din care a provenit vom constata uor c prin digitizare i
eantionare se pierde o parte din informaia purtat de semnalul
analogic iniial. Cu ct numrul de cifre reinute n procesul de
6 Despre Semnale i Sisteme
discretizare este mai mic, i cu ct eantionarea se face mai
rar, reprezentarea semnalului iniial devine una mai
aproximativ, mai srac n detalii, n informaie.
Cu toate acestea, semnalele digitale sunt singurele care pot fi
prelucrate cu calculatorul numeric i de aceea eantionarea
este o etap obligatorie pentru prelucrarea semnalelor din lumea
real, n care este implicat calculatorul numeric. Pentru a se
pierde ct mai puin informaie, ar trebui ca eantionarea
semnalului analogic original s se fac ct mai des, iar
digitizarea semnalului s se fac pe un numr ct mai mare de
cifre. Acest lucru duce ns la obinerea unui volum mare de
date, care ar necesita un spaiu de memorie mare i ar implica
viteze de calcul reduse. Iat deci c din punctul de vedere al
volumului de date i al timpului de calcul, indicat ar fi ca
eantionarea s se fac ct mai rar, iar datele s fie codificate
pe un numr ct mai mic de bii. ntre cele dou opiuni se face
ntotdeauna un compromis: ntre o frecven de eantionare
mare i una mic, ntre un numr de bii mare i unul mic, astfel
nct s se piard ct mai puin informaie din semnal.
Reprezentarea grafic a acestui tip de semnal este cea din figura
1.1.d.
Semnalele discrete n timp discret se noteaz astfel: S[n] sau
S[nTe]

1.2.2. Semnalul scalar sau monocanal reprezint cel mai comun tip
de semnal, iar exemplul cel mai la ndemn l constituie semnalul
vocal provenit de la un singur microfon.
Semnalul multicanal este cel oferit de mai multe traductoare aferente
aceluiai proces, sincronizate n timp, ca de exemplu: semnalul stereo
al unei nregistrri sonore, nregistrarea electrocardiogramei (ECG) cu
mai muli electrozi etc. Practic semnalele multicanal sunt diferite ntre
ele, ns sunt corelate, au legtur unele cu altele, avnd un anumit
grad de asemnare deoarece provin de la acelai proces.
Att semnalele scalare ct i cele multicanal pot fi analogice sau
digitale.

1.2.3. Semnalele unidimensionale, numite prescurtat 1D, sunt cele
care au o singur variabil independent, spre exemplu timpul.
Semnalele multidimensionale au mai multe variabile independente.
Cele cu dou variabile independente se numesc semnale 2D, iar cele
cu trei variabile independente se numesc semnale 3D.
Ioan P. MIHU - Procesarea Numeric a Semnalelor 7
Clasificarea semnal unidimensional / multidimensional nu este
totuna cu semnal monocanal / multicanal. Spre exemplu, un semnal
monocanal provenit de la un microfon, este unidimensional, dac
depinde doar de timp. Dac depinde ns i de temperatura
microfonului, atunci semnalul este bidimensional.
Att semnalele unidimensionale ct i cele multidimensionale pot fi
analogice sau digitale.
Un exemplu de semnal bidimensional este semnalul aferent imaginii
captate de o camer video. Dac imaginea este una alb-negru i n
micare, atunci semnalul intensitate luminoas produs de camera
video devine una 3D, fiindc depinde de trei variabile independente:
coordonatele (x, y) ale pixelului curent i timp. Dac imaginea este
una color, atunci ea poate fi privit ca fiind rezultatul compunerii a
trei imagini (rou, verde i albastru), deci semnalul aferent unei
imagini color va fi unul 3D - multicanal care poate fi scris astfel:

( ) I x, y, t =
I (x, y, t)
I (x, y, t)
I (x, y, t)
R
G
B

(1.1)

Dac semnalul de imagine trebuie redat pe monitorul color al unui
calculator, atunci valorile I
R
, I
G
, I
B
vor fi eantionate i discretizate iar
semnalul se va exprima astfel:

[ ] I x, y, nTe =
I [x, y, nTe]
I [x, y, nTe]
I [x, y, nTe]
R
G
B

(1.2)

Cele trei componente numerice I
R
, I
G
i I
B
, sunt realizate sincronizat n
interiorul unui bloc de conversie analog numeric (CAN), pentru
semnale video color, la intrarea cruia se aduce ca semnal analogic
chiar imaginea ce se dorete reprezentat numeric.

1.2.4. Semnalele deterministe sunt cele care pot fi exprimate printr-o
regul precis ce duce la cunoaterea exact a valorii lor n orice
moment. Ele pot fi exprimate prin relaii analitice (formule) sau prin
tabele. Momentele n care ele pot fi cunoscute se situeaz att n
prezent i trecut, ct i n viitor. Aceste semnale nu sunt purttoare de
informaie, ele nu spun nimic nou, fiind absolut previzibile. Un
8 Despre Semnale i Sisteme
exemplu de astfel de semnal este cel furnizat de un generator de
tensiune sinusoidal.
Semnalele nedeterministe sau aleatoare sunt cele a cror evoluie n
timp nu poate fi anticipat cu certitudine, ca de exemplu: semnalul
vocal, video, seismic etc. Aceste semnale sunt cele cu adevrat
purttoare de informaie. Cu ct ele sunt mai imprevizibile, cu att mai
mare va fi cantitatea de informaie pe care o poart. Spre exemplu,
semnalul recepionat pe durata ct se transmit tiri la un post de radio
este ascultat cu interes datorit caracterului su de noutate. Dac
asculttorul ar ti n fiecare moment ce va spune crainicul n
urmtoarele fraze, atunci semnalul nu ar mai purta informaie nou
pentru asculttor. n cazul semnalelor nedeterministe, pentru ca
informaia s poat fi receptat, trebuie ca cel ce o transmite i cel ce
o recepioneaz s foloseasc acelai limbaj (acelai cod, alfabet etc.).
Semnalul nedeterminist are caracteristici specifice: media, dispersia,
media de ansamblu, dispersia de ansamblu, histograma, densitatea
spectral de putere, etc. Legat de aceti parametri, semnalul poate
avea un anumit grad de predictibilitate a evoluiei sale n timp. n
funcie de anumite caracteristici ale sale, semnalul nedeterminist poate
fi:

staionar media i dispersia nu depind de timp, ci sunt constante;
ergodic media pe poriuni nu difer de media pe ansamblu;
zgomot alb are o densitate spectral de putere constant n toat
banda de frecven.

1.2.5. Exist i alte criterii de clasificare a semnalelor, criterii care se
definesc matematic. Utilitatea acestora se va vedea n capitolele
urmtoare.

Semnalele msurabile. Sunt acele semnale care matematic
satisfac condiia 1.3.

< =
2
1


) (
t
t
M dt t x (1.3)

Semnale de energie finit sunt acelea care satisfac condiia
1.4.

< =
2
1


2
) (
t
t
E dt t x (1.4)

Dac semnalul este n timp discret, integralele din relaiile 1.3 i 1.4
se transform n sum.
Ioan P. MIHU - Procesarea Numeric a Semnalelor 9

1.3. Clasificarea sistemelor

Din mulimea de feluri n care poate fi privit lumea care ne
nconjoar, vom alege acum pe acela n care lumea este format din
sisteme care interacioneaz ntre ele prin transfer de informaie.
Din punctul de vedere al aspectelor discutate n acest capitol, sistemul
reprezint un mediu fizic, prevzut cu posibilitatea de a prelua
informaii din mediul exterior (semnal de intrare) i de a furniza la
rndul lui informaii mediului exterior prin intermediul semnalului de
ieire. Semnalul de ieire depinde evident de semnalul de intrare dar
depinde esenial i de structura sistemului. Majoritatea sistemelor pot
fi modelate matematic i astfel se poate estima rspunsul sistemului
(semnalul de ieire), atunci cnd se cunoate semnalul de intrare i
structura sistemului.
Similar n parte cu criteriile amintite la clasificarea semnalelor, exist
mai multe criterii de clasificare a sistemelor. Iat cteva dintre ele:

1.3.1. Sisteme analogice / sisteme digitale
Un prim criteriu de clasificare l constituie natura semnalelor pe care
sistemul le proceseaz. n acest sens exist:
Sisteme analogice. Sunt sistemele care prelucreaz semnale
analogice (semnale continue n timp continuu). Un exemplu de
astfel de sistem este amplificatorul de semnale audio, construit cu
rezistoare, condensatoare, tranzistoare.
Sisteme digitale. Sunt sistemele care prelucreaz semnale n timp
discret, ca de exemplu cele care redau semnale audio nregistrate
numeric pe CD. Un sistem digital poate fi un PC obinuit, sau
poate fi un sistem de calcul dedicat.

1.3.2. Sisteme liniare / neliniare
Sistemul se numete liniar atunci cnd mrimea de ieire se poate
exprima n funcie de mrimea de intrare dup o lege de forma:

+ + = dt x(t) k
dt
dx(t)
k x(t) k y(t)
I D P
(1.5)

Nu este obligatoriu ca de fiecare dat s existe toate cele trei
componente. Spre exemplu un amplificator este un sistem linear
definit de relaia 1.5 n care doar k
P
este diferit de zero.
10 Despre Semnale i Sisteme
Atributul de sistem liniar / neliniar este valabil i pentru sistemele
digitale, definiia 1.5 rescriindu-se n mod adecvat.

1.3.3. Sisteme variante / invariante n timp.
Sisteme invariante n timp sunt acele sisteme la care rspunsul
sistemului va fi acelai, indiferent de momentul aplicrii semnalului
de intrare. Aplicnd deci acelai semnal x
(t)
la momente diferite de
timp, la ieirea sistemului se va produce acelai semnal.
Dac y
(t )
= S {x
(t)
}, atunci y
(t-to)
= S {x
(t-to)
}, unde prin S {x
(t)
} am
notat transformarea suferit de semnalul x
(t)
la trecerea sa prin sistem.

1.3.4. Sisteme cauzale / necauzale
Sistemele cauzale sunt cele la care mrimea de ieire nu depinde dect
de valori ale mrimii de intrare, anterioare momentului curent. Altfel
spus, ieirea nu depinde dect de trecut, nu i de viitor. Spre deosebire
de acestea, la sistemele necauzale ieirea depinde i de valori viitoare
ale mrimii de intrare.


1.4. Procesare analogic versus procesare numeric

Conform primului criteriu de clasificare a sistemelor, exist dou mari
categorii de sisteme relativ la tipul de semnale pe care le prelucreaz:
sisteme analogice i sisteme digitale (numerice). Marea majoritate a
sistemelor din natur precum i din unele procese tehnologice sunt
de natur continu, analogic. Prelucrarea semnalelor analogice, se
face de ctre echipamente analogice, care din punct de vedere teoretic
pot fi privite ca sisteme analogice. Iat cteva exemple:

Emitoare i receptoare de radio i televiziune;
Amplificatoare cu tranzistoare, ca de exemplu cele de microfon sau
cele existente n receptoarele de radio;
Filtre analogice, ca de exemplu cele existente n componena
staiilor de amplificare cu tranzistoare, i care permit redarea mai
accentuat sau mai discret a sunetelor joase sau a sunetelor nalte;
Sisteme implicate n transferul de energie: transformatoare,
redresoare, surse, oscilatoare etc.
Regulatoare analogice care, incluse n bucla de reglare automat a
unui proces, pot controla valoarea unui parametru al acelui proces
(vitez, turaie, temperatur, presiune etc.).
Ioan P. MIHU - Procesarea Numeric a Semnalelor 11
Toate acestea sunt construite cu rezistoare, condensatoare, diode,
tranzistoare etc. i sunt alimentate cu surse de energie electric. Prin
toate aceste echipamente, semnalul analogic se propag de la intrare la
ieire, suferind anumite condiionri, prelucrri, pe care le putem
denumi generic procesri analogice.

Tehnicile i tehnologiile moderne oblig tot mai adesea la utilizarea
calculatorului n prelucrarea semnalelor. Relaia dintre procesarea
numeric de semnal i semnalul analogic din care provine semnalul de
prelucrat este sintetizat n figura 1.2. Fa de aceast schem bloc
general pot exista i alternative. Astfel dac procesarea se limiteaz
doar la analiza unui semnal, atunci datele numerice nu mai sunt
reconvertite n semnale analogice, ci sunt destinate exclusiv analizei i
stocrii. n schimb dac procesarea numeric servete doar la
generarea sau simularea de semnale analogice, atunci lipsete CAN.


Esantionare
Digitizare
(CAN)
Refacere
semnal
(CNA)
DSP
Semnal
digital
Semnal
digital
Semnal
analogic
Semnal
analogic
Lumea numerica
Lumea reala, analogica !


Figura 1.2. Schema bloc a unei procesri numerice de semnal.



Procesarea Numeric a Semnalelor (Digital Signal Processing)
nu reprezint altceva dect prelucrarea cu ajutorul calculatorului,
prin operaii matematice (adunri, nmuliri, operaii logice etc.), a
semnalelor reprezentate numeric, avnd ca scop atingerea unor
obiective dinainte propuse, specifice fiecrui domeniu de activitate.

12 Despre Semnale i Sisteme
Iat doar cteva din domeniile care au beneficiat esenial de avantajele
procesrii numerice a semnalelor:

Comunicaii: codarea / decodarea digital a sunetului n telefonia
digital cu multiplexarea mai multor convorbiri pe acelai fir, Fax-
ul, Internet-ul etc;
Medicin: analiza semnalelor biomedicale (ECG, EEG, computer-
tomografia etc.), diagnosticarea automat, monitorizarea diverselor
funcii vitale, proteze complexe;
Conducerea automat a proceselor: pilotarea automat a navelor,
avioanelor i rachetelor, servomecanisme, roboi, controlul
proceselor industriale complexe sau periculoase;
Radioul i televiziunea digital;
Aplicaii legate de vorbire: filtrare, recunoaterea vorbirii, sinteza
vorbirii;
Multimedia: captarea, generarea, procesarea, transmiterea i
stocarea sunetului i imaginilor; compact-discul.


Avantajele utilizrii sistemelor de procesare numeric de semnal fa
de sistemele analogice sunt urmtoarele:

Flexibilitatea. Aa cum am mai spus, un sistem de prelucrare
numeric este n esen un algoritm de calcul, algoritm pe care l
efectueaz un sistem de calcul (sistem cu microprocesor, calculator
specializat, sau chiar un PC). Algoritmul poate fi uor schimbat,
prin reprogramare, ceea ce face ca sistemul s poat fi schimbat cu
eforturi materiale nule. Deci, prin schimbarea algoritmului,
sistemul i modific comportarea, fr nici o modificare fizic a
sistemului de calcul.

Eficien economic. Procesarea numeric are avantaje economice
deosebite. S presupunem c unui sistem analogic (un amplificator
cu tranzistoare, spre exemplu), i se impune schimbarea
caracteristicilor, a comportrii. Pentru aceasta el trebuie modificat
fizic, i trebuie schimbate acestuia anumite componente
(rezistoare, condensatoare), ceea ce implic cheltuieli materiale,
experimente i noi teste de omologare. n cazul unui amplificator
numeric, pentru schimbarea comportrii sale, i se va schimba
acestuia prin programare doar o mic parte din algoritmul de
calcul, fr nici o modificare fizic a sistemului.

Ioan P. MIHU - Procesarea Numeric a Semnalelor 13
Fiabilitatea. Un algoritm de calcul nu ruginete. Bine-neles c
problema fiabilitii unui sistem digital rmne de luat n seam,
dar ea depinde esenial de fiabilitatea prii hard a acestuia.
Tehnologiile moderne de realizare a circuitelor numerice au ajuns
la performane att de nalte nct, i din punct de vedere al
fiabilitii, partea hard a sistemelor digitale este comparabil i
adesea superioar sistemelor analogice.

Diagnoza. Legat de fiabilitate, se pune i problema ntreinerii,
depanrii sistemelor. Starea unui sistem trebuie s poat fi uor
controlat att n procesul de punere n funciune, ct mai ales n
situaii de avarie. Tot aa de util este ca testarea s se fac i n
timpul funcionrii normale, n scop profilactic. Din acest punct de
vedere, sistemele digitale se preteaz mai bine dect cele analogice
la folosirea unor algoritmi care s testeze i s furnizeze informaii
uor de interpretat despre starea sistemului, ba chiar s decid
folosirea unor resurse hardware de rezerv.

I ntegrarea. Sistemele digitale pot fi realizate adesea, ntr-o singur
capsul de circuit integrat. Consecin a tehnologiilor moderne,
integrarea are implicaii pozitive asupra fiabilitii i costurilor.

Adaptabilitatea. Odat realizat un algoritm de procesare numeric
destinat unui anume sistem, este simplu ca el s poat fi folosit i
n alte aplicaii, prin simpla adaptare, ajustare a unor parametri.
Mai mult chiar, n cadrul aceluiai proces, algoritmul de calcul
poate fi schimbat dinamic, adaptat la schimbrile intervenite n
proces.

Stocarea i transmisia performant a datelor. Pentru stocarea
datelor analogice suportul clasic l reprezint banda magnetic.
Pentru datele numerice exist soluii net avantajoase de a stoca
date mult mai rapid i cu o densitate mult mai mare pe unitatea
fizic de volum. Marele avantaj al folosirii semnalului numeric
fa de cel digital este ns imunitatea redus la zgomot, att n
procesul de stocare, ct i n cel de transmisie.

Performane superioare. Nu n ultimul rnd, trebuie menionat c
performanele sistemelor numerice sunt cel mai adesea superioare
sistemelor analogice. Mai mult chiar, exist numeroase tipuri de
procesri care nici nu pot fi realizate n sistemele analogice, ca de
exemplu filtre de ordin mare sau filtre avnd impuse anumite
caracteristici de frecven.
14 Despre Semnale i Sisteme

1.5. Istoric, perspective


Istoria procesrilor numerice a semnalelor ncepe, firesc, cu primele
ncercri umane de cuantizare, mai exact cu sistemele de numeraie.
Bazele teoretice ale acestui domeniu se pun n 1930 i 1940 de ctre
Nyquist i Shanon, dar nu trebuie omis imensa contribuie adus n
1807 de J ean Baptiste Fourier, ofier n armata lui Napoleon
Bonaparte. El este cel care a realizat descompunerea unei funcii
periodice, n serie de funcii armonice.
Are loc apoi dezvoltarea aparatului matematic (Transformata Z), dar
"explozia" implementrii procesrii numerice a semnalelor ncepe o
dat cu apariia microprocesorului n anii 1970. Dezvoltarea
sistemelor de procesare numeric a semnalelor continu i n zilele
noastre cu crearea de noi microprocesoare "DSP" specializate.

Dei exist nc multe domenii n care procesarea analogic este
singura alternativ, avantajele procesrilor numerice sunt evidente. Cu
toate aceste avantaje, prelucrarea numeric a semnalelor nu va nlocui
niciodat n totalitate prelucrarea analogic. Ea a devenit i va rmne
o alternativ performant, att din punct de vedere al parametrilor
tehnici ct i ai celor economici.
Procesarea numeric a semnalelor ctig tot mai mult teren, fiind
nglobat n tot mai multe produse, de la cele destinate tehnologiilor
avansate pn la cele de uz casnic. i din acest motiv, procesarea
numeric a semnalelor merit toat atenia.











2. PROCESAREA SEMNALELOR ANALOGICE





2.1. Introducere


Ce caut un astfel de capitol ntr-o lucrare de procesare numeric a
semnalelor? Iat cteva argumente care justific prezena lui aici:
Majoritatea semnalelor numerice provin din lumea real, n urma
unui proces de achiziie de semnale analogice, precum: semnale
audio, video, biomedicale, semnale de proces (temperatur, vitez,
for, tensiuni, cureni etc.).
Majoritatea semnalelor numerice au ca destinaie final tot lumea
real. Spre exemplu, semnalele audio procesate cu calculatorul
ajung n final s fie redate pe sisteme analogice (difuzoare), spre a
fi sesizate de urechea uman, n manier analogic.
Procesarea numeric a semnalelor reprezint o alternativ la
procesarea analogic a semnalelor.
Procesarea numeric a semnalelor a aprut i s-a dezvoltat pe
seama experienei din domeniul procesrii semnalelor analogice, i
de cele mai multe ori rezolv aceleai probleme aprute n lumea
semnalelor analogice, ca de exemplu: memorarea, transmiterea,
redarea.
Pentru cei care nu au o experien suficient n prelucrarea
semnalelor analogice, acest capitol este nu doar util, ci i necesar
pentru abordarea celor ce vor urma. Pentru cei cu experien
bogat n domeniul prelucrrii semnalelor analogice, lectura
acestui capitol se dorete o mic uvertur la capitolele de
procesare numeric a semnalelor.
16 Procesarea Semnalelor Analogice

Acest capitol conine scurte referiri la principalele proprieti i
metode de prelucrare ale semnalelor continue n timp continuu
numite i semnale analogice, referiri ce se vor o trambulin spre
procesarea cu ajutorul calculatorului a semnalelor numerice.

X(t) Y(t)


Figura 2.1. Semnal analogic prelucrat de un sistem liniar.

Multe chestiuni din cele prezentate n continuare au mai fost studiate
la cursurile de Bazele Electrotehnicii, Circuite Electronice sau
Semnale, Circuite i Sisteme. De fiecare dat problemele au fost
privite din unghiul de interes al acelei discipline. De aceast dat, vom
privi spre semnalele analogice i prelucrarea lor n sisteme liniare,
cutnd s accentum doar acele elemente care stau i la baza
prelucrrii semnalelor digitale.



2.2. Semnalul sinusoidal n timp continuu

Forma de variaie sinusoidal are o importan cu totul aparte n
lumea care ne nconjoar. Exist tensiuni sinusoidale, oscilaii
mecanice sinusoidale, oscilaii acustice sinusoidale, etc. Undele care
se propag n diverse medii elastice (gaze, lichide, solide) sunt tot
variaii sinusoidale ale unor mrimi fizice.
Vom folosi denumirea semnal sinusoidal n loc de tensiune
sinusoidal, dei ea nu este cea mai potrivit. Semantic, prin noiunea
de semnal se nelege o entitate purttoare de informaie. Cu ct
semnalul este mai imprevizibil, cu att mai mare este cantitatea de
informaie coninut. Ori din acest punct de vedere, o tensiune
sinusoidal conine extrem de puine informaii: amplitudine, frecven
i, eventual, defazajul fa de o faz de referin.


u
(t)
= U
max
sin (t) (2.1)

Ioan P. MIHU - Procesarea Numeric a Semnalelor 17

t
Tt
u
(t)

u
(t)

2 3
T T/2 3T/2
U
max

-U
max

U
max

-U
max



Figura 2.2. Reprezentarea grafic a sinusoidei n raport cu timpul sau
n raport cu faza.

Precizri asupra mrimilor legate de tensiunea sinusoidal:

U
max
- amplitudinea tensiunii sinusoidale u
(t )
. Reprezint valoarea
maxim a tensiunii u
(t )
.
(t) - faza tensiunii u
(t )
: [t]
SI
= 1 rad. Reprezint argumentul
funciei sinus i are dimensiunea unui unghi. Nu uitai,
funcia sin(), cea de la leciile de trigonometrie, are ca
argument un unghi! Notaia consacrat este: t = .
- pulsaia tensiunii u
(t )
: []
SI
= 1 rad/sec. n domeniul
mecanic, n cazul oscilaiei unui punct material spre
exemplu, aceast mrime poart denumirea de vitez
unghiular.
T - perioada tensiunii sinusoidale: [T]
SI
= 1 sec. Reprezint
intervalul de timp dup care tensiunea u
(t)
trece prin aceleai
valori, n acelai sens.
f - frecvena tensiunii u(t): [f]
SI
= 1 sec
-1
= 1Hz. Arat de cte
ori se repet un ciclu pe durata unei secunde.
Conform definiiei:
T
1
f (2.2)
18 Procesarea Semnalelor Analogice
Relaia dintre , T i f se deduce simplu, punnd condiia ca dup un
ciclu complet, (t=T), faza semnalului (t) s ajung la valoarea 2;

(t)|
t=T
= 2 (2.3)

Deci: T=2 (2.4)

Nu ntotdeauna o sinusoid trece prin valoarea zero atunci cnd
timpul este egal cu zero. O astfel de situaie este ilustrat de tensiunea
u
2(t)
, din figura 2.3. De aceea, forma general a unei tensiuni
sinusoidale se scrie astfel:
u
(t )
= U
max
sin (t+
0
) (2.5)

n acest caz, expresia general a fazei unei tensiuni sinusoidale este:

= (t+
0
) [t+
0
]
SI
= 1 rad. (2.6)

unde
0
reprezint faza iniial a tensiunii u
(t)
, adic valoarea fazei la
momentul t=0.

u
1

(t)
u
2

(t)
2
3

Figura 2.3. Faza iniial / Defazajul.

Tot legat de definiia fazei trebuie precizat c noiunea de defazaj
apare i trebuie folosit doar atunci cnd se compar ntre ele dou
tensiuni sinusoidale. Prin definiie, defazajul este diferena fazelor a
dou tensiuni sinusoidale de aceeai frecven. Deci, defazajul dintre
tensiunile 2.5 i 2.1 este:

= (t +
0
) (t) =
0
(2.7)
Ioan P. MIHU - Procesarea Numeric a Semnalelor 19
Pentru a preciza nc o dat terminologia corect n acest caz,
0

reprezint:
faza iniial a tensiunii 2.5 sau
defazajul dintre tensiunea 2.5 i 2.1.


2.3. Reprezentarea semnalului sinusoidal ca funcie de variabil
complex

n cele prezentate anterior, tensiunea sinusoidal era o funcie avnd
timpul ca variabil real. n multe din aplicaiile care vor urma se vor
utiliza semnale de variabil complex, de aceea este util de reamintit
formula Euler:

2
e e
cos(x)
jx jx
+

;
2j
jx
e
jx
e
sin(x)

(2.8)

unde e reprezint baza logaritmilor naturali. Din cele dou relaii
rezult:
e
j t
= cos(t) + j sin(t) (2.9)

Deci, unei sinusoide reale i corespunde n mod biunivoc exponeniala
complex e
j t
pe care o vom numi de acum nainte sinusoid
complex. Dac sinusoida are o faz iniial diferit de zero, i o
amplitudine diferit de unitate, atunci:

Ae
j(t+o)
= Acos(t+
0
) + j Asin(t+
0
) (2.10)

Dac reprezentm 2.10 n planul complex la momentul t=0, se obine:

Re
Im
A

0
A cos(
0
)
A sin(
0
)


Figura 2.4. Sinusoid de amplitudine A i faz iniial
0

n planul complex.
20 Procesarea Semnalelor Analogice
Iat deci c unei sinusoide reale de amplitudine A i faz iniial
0
i
se ataeaz n planul complex un vector avnd modulul A i unghiul

0
raportat la axa real. Relaia 2.10 este des folosit n studiul
semnalelor sinusoidale, deoarece semnalele sinusoidale reale se pot
exprima ca parte real a unei funcii de variabil complex. La ce
folosete un astfel de demers? Rspunsul este simplu: pentru a opera
mai uor cu semnalele sinusoidale. n acest sens, adunarea a dou
sinusoide este mai uor de fcut n plan complex dect n domeniul
timp. Iat un exemplu: s se determine rezultatul adunrii a dou
sinusoide de amplitudini A
1
, A
2
i faze iniiale
1
,

2
date, folosind
exponeniala complex. Primul impuls este acela de a face apel la
trigonometrie:

A
x
sin(t+
x
) = A
1
sin(t+
1
) + A
2
sin(t+
2
) (2.11)

Relaia 2.11 este laborioas. Mult mai simplu este s reprezentm n
planul complex cele dou sinusoide, ca n figura 2.5.
Re
Im
A
2

x
A
2
cos(
2
)
A
1
sin(
1
)
A
1
A
1
cos(
1
)
A
2
sin(
2
)
A
x


Figura 2.5. Adunarea a dou sinusoide.

Acum adunarea celor dou mrimi sinusoidale devine adunarea a doi
vectori. Elementele sinusoidei rezultate se pot determina cu uurin,
folosind geometria triunghiurilor:

A
x
e
jx
= A
1
e
j1
+A
2
e
j 2
(2.12)

[ ] [ ]
2
2 2 1 1
2
2 2 1 1
2
x
) ( cos A ) cos( A ) sin( A ) sin( A A + + + (2.13)


) ( cos A ) ( cos A
) sin( A ) sin( A
arctg
2 2 1 1
2 2 1 1
x

+
+
(2.14)
Ioan P. MIHU - Procesarea Numeric a Semnalelor 21

2.4. Propagarea semnalelor sinusoidale prin circuite electrice
liniare

Vom ncepe analiza prelucrrii semnalelor analogice cu analiza
propagrii unui semnal sinusoidal printr-un sistem liniar. Motivul este
unul deosebit de important i anume:



Semnalele sinusoidale sunt singurele din natur
care se propag prin sisteme liniare fr a fi deformate!


Adic, dac la intrarea unui sistem liniar se aplic o sinusoid, la
ieirea sa va apare tot o sinusoid, dar care poate avea:
amplitudinea diferit, mai mare sau mai mic, fa de
amplitudinea sinusoidei de la intrare;
o posibil ntrziere, mai mare sau mai mic, fa de sinusoida de
intrare (faz diferit fa de tensiunea de intrare).



Cele spuse sunt valabile oricare ar fi sistemul liniar: mecanic,
hidraulic, pneumatic, electric etc. n continuare ne vom referi doar la
prelucrarea semnalelor electrice, particulariznd termenul "semnal"
care devine tensiune electric.

u (t)
i (t)
R,L,C
Dac u(t) = U
max
sin ( t+
1
) i(t) = I
max
sin ( t+
2
)



Figura 2.6. Regimul armonic n circuite liniare.

n acest sens, este evident motivul pentru care studiul circuitelor
electrice ncepe cu regimul de curent alternativ sinusoidal. Dac un
22 Procesarea Semnalelor Analogice
circuit liniar, format din rezistoare, bobine i condensatoare, este
alimentat de o surs de tensiune sinusoidal, atunci toi curenii din
acel circuit vor fi tot sinusoidali, de aceeai frecven cu a sursei.
tiind, deci, c toi curenii din circuit sunt sinusoidali, rezolvarea
circuitului se rezum doar la aflarea amplitudinii acestora i a
defazajului lor fa de sinusoida ce reprezint tensiunea u
(t )
, aa cum
este artat n figura 2.6.
Vom continua analiza cu un exemplu la ndemna oricui, pentru a
nelege felul n care se petrec lucrurile atunci cnd trecem un semnal
sinusoidal (tensiune electric) printr-un sistem liniar. Pentru aceasta
vom lua ca sistem liniar un amplificator audio (figura 2.7) care are
mai multe butoane, dintre care vom folosi n experimentul nostru
doar trei, i anume:

butonul reglaj frecvene joase;
butonul reglaj frecvene medii;
butonul reglaj frecvene nalte.

La intrarea acestui amplificator nu vom aduce muzic spre a ne
delecta, ci, pentru studiul procesrii semnalelor analogice, vom aduce
nite semnale sinusoidale produse de un generator de tensiune
sinusoidal.


Joase Medii nalte
Volum
u
i
(t) u
0
(t)


Figura 2.7. Procesarea semnalelor continue n timp continuu.
(Amplificatorul)

a. Aplicm la intrarea amplificatorului un semnal sinusoidal pur,
coninnd o singur frecven, spre exemplu 4 kHz, pe care o vom
numi frecven ridicat, n contextul experimentului de fa. Desigur
c nu este plcut s asculi o sinusoid, fiindc din punct de vedere
informatic ea nu spune nimic. V cer totui ngduina de a continua
Ioan P. MIHU - Procesarea Numeric a Semnalelor 23
experimentul, i pentru a nu fi deranjai de un astfel de sunet, putem
folosi osciloscopul pentru a vizualiza semnalul de la ieirea
amplificatorului (figura 2.8). Modificnd poziia butonului reglaj
frecvene nalte, sunetul produs de amplificator i va schimba
puterea: sunetul va fi mai puternic dac frecvenele nalte sunt mai
puternic amplificate, sau mai slab dac ele sunt puin amplificate. Pe
ecranul osciloscopului semnalul sinusoidal va apare n consecin mai
mare sau mai mic, n funcie de poziia butonului reglaj frecvene
nalte. Dac am avea un amplificator de calitate am putea chiar s
atenum cu totul aceste sunete de frecven ridicat. n concluzie,
putem afirma c, aplicnd o sinusoid de frecven ridicat la intrarea
amplificatorului, la ieirea sa se obine tot o sinusoid, de aceeai
frecven, dar de amplitudine i faz diferit, n funcie de felul n care
este poziionat butonul reglaj frecvene nalte.

Figura 2.8. Semnal de frecven ridicat.


b. Aplicm acum la intrarea amplificatorului un semnal sinusoidal de
frecven joas de 300 Hz (figura 2.9). Experimentul este similar cu
cel precedent, cu diferena c poziionnd diferit butonul reglaj
frecvene joase, acestea se vor auzi mai tare sau mai ncet.

Figura 2.9. Semnal de frecven joas.


c. Dac dorim s aplicm simultan la intrare dou sinusoide, una
avnd 300 Hz iar a doua 4 kHz, trebuie s aplicm un semnal format
din suma matematic a celor dou sinusoide. Forma semnalului este
24 Procesarea Semnalelor Analogice
cea din figura 2.10. Dac toate butoanele de reglaj vor fi la maxim, la
ieirea amplificatorului va aprea o tensiune similar cu cea de la
intrare, dar de amplitudine mai mare.



Figura 2.10. Semnal rezultat prin amplificarea simultan
a celor dou sinusoide

d. Dac acum se poziioneaz butonul reglaj frecvene nalte la
minim, atunci la ieirea amplificatorului componenta de 4 kHz va fi
foarte mic, iar componenta de frecven joas nu e afectat. Iat,
deci, c acum amplificatorul are o comportare diferit fa de cele
dou frecvene: las s treac semnalul de frecven joas i nu
las s treac semnalul de frecven nalt. Efectul poate fi uor
sesizat n figura 2.11.



Figura 2.11. Efectul atenurii frecvenei ridicate.

e. Poziionnd butonul reglaj frecvene joase la minim, la ieire
componenta de 300 Hz va fi foarte mic, iar componenta de frecven
ridicat nu e afectat. i acum sesizm comportarea diferit a
amplificatorului fa de cele dou frecvene. De aceea semnalul de
frecven joas trece "mai greu", iar cel de frecven nalt se propag
"mai uor". Semnalul va arta ca cel din figura 2.12.
Ioan P. MIHU - Procesarea Numeric a Semnalelor 25


Figura 2.12. Efectul atenurii frecvenei joase.


n concluzie la experimentul prezentat se poate spune c:

Amplificatorul este un sistem liniar i n cazul aplicrii unor
semnale ce conin mai multe frecvene acestea sunt tratate
separat, adic fiecare frecven se propag prin sistem fr a
fi afectat, distorsionat de prezena alteia.
Sistemul liniar (amplificatorul) are o comportare diferit
(selectiv) pentru semnale de frecvene diferite aplicate la
intrarea sa. Toate sistemele cu astfel de proprieti sunt
denumite generic filtre analogice.

Experimentul fcut mai nainte poate fi uor simulat pe calculator cu
ajutorul unor programe precum Simulink, PSpice, EWBA etc.
Ce anume face ca amplificatorul analizat s aib aceast comportare
de filtru analogic? Cum putem proiecta i realiza sisteme liniare care
s aib o comportare dinainte stabilit fa de semnalele analogice de
frecven diferit, atunci cnd sunt traversate de acestea?
Pentru aflarea rspunsurilor la ntrebrile puse, vom apela la
modelarea matematic a circuitului electric, aa cum se va vedea n
paragraful urmtor.



2.5. Filtre analogice realizate cu circuite electrice liniare

Orice reea care conine elemente liniare de circuit, i anume:
rezistene (R), capaciti (C) sau inductane (L), formeaz un sistem
liniar pentru semnalul care se propag prin acea reea.


26 Procesarea Semnalelor Analogice
2.5.1. Rezolvarea circuitului n regim sinusoidal

Vom analiza pentru nceput circuite mult mai simple dect
amplificatorul folosit n experimentul fcut anterior. Cu ajutorul unui
aparat matematic simplu vom pune n eviden comportarea selectiv
fa de semnale de frecven diferit, deci, comportamentul de filtru
analogic. Vom alege ca exemplu trecerea semnalului sinusoidal printr-
un circuit RC, avnd schema din figura 2.13.

u
i
(t)
R
C
u
0
(t)


Figura 2.13. Filtru RC.

Aa cum am mai precizat, dac semnalul de intrare este unul
sinusoidal, atunci i semnalul de ieire va fi tot sinusoidal. tiind acest
lucru, nu mai rmne dect s determinm amplitudinea i faza
sinusoidei de ieire. Semnalul de la ieirea sistemului difer prin
amplitudine i faz fa de semnalul de la intrare, de aceea putem
spune c circuitul proceseaz semnalul sinusoidal de intrare.
Pentru semnalele electrice exist mai multe metode care permit
determinarea exact a amplitudinii i fazei sinusoidei de ieire atunci
cnd se cunoate semnalul de intrare i structura circuitului. O soluie
simpl este analiza circuitului utiliznd metoda calculului n
complex. Toate relaiile, inclusiv teoremele lui Kirchhoff, se scriu
ca n curent continuu, cu urmtoarele diferene:
toate mrimile electrice (tensiuni electromotoare, cderi de
tensiune, cureni) sunt mrimi complexe, i trebuie notate ca atare
subliniindu-le cu o bar.
impedanele condensatoarelor sunt 1/jC, iar ale bobinelor sunt
jL, unde este pulsaia semnalului sinusoidal de la intrare.

U
i
R
I
U
0
I=0
C


Figura 2.14. Rezolvarea circuitului n regim armonic.
Ioan P. MIHU - Procesarea Numeric a Semnalelor 27
Pentru fiecare din cele dou ochiuri se scrie teorema a II-a a lui
Kirchhoff. Deoarece curentul I nu se divide n nodul din circuit, avem:

'

,
_

+ +
C j
1
I X I U
C j
1
R I X I R I U
c 0
c i
(2.15)
Rezult:

'


RC j 1
1
U U

RC j 1
C j
U I
i 0
i
(2.16)

Deci, am obinut ca rezultat dou numere complexe. Cum revenim la
lumea real? Cum arat n realitate cele dou mrimi electrice?
tiind c acestea sunt sinusoide, problema este uor de rezolvat,
fiindc trebuie determinate doar amplitudinea i faza acestora. Prin
definiie, acestea sunt:


C R 1
U

RC j 1
1
U U U
2 2 2
i
i 0 0max
+

+
(2.17)

RC) ( arctg -
RC j 1
1
Re
RC j 1
1
Im
arctg
0

,
_

,
_

+
(2.18)

Rezult: u
0(t )
= U
0max
sin (t+
0
) (2.19)

Realitatea pe care tocmai am descoperit-o n relaiile 2.17 i 2.18,
poate fi vizualizat cu ajutorul osciloscopului cu dou canale. Pe
primul canal se aduce tensiunea de la intrarea circuitului, iar pe al
doilea canal, tensiunea de la ieirea circuitului. n acest fel putem
verifica imediat rezultatele investigaiilor teoretice ale procesrii
semnalului analogic. Pentru o pulsaie dat, forma celor dou
tensiuni, fie c o desenm folosind rezultatele 2.17 i 2.18, fie c o
privim cu osciloscopul, este cea din figura 2.15.
28 Procesarea Semnalelor Analogice
t
u
i
(t)
u
0
(t)
U
0 max

0
U
i max


Figura 2.15. Forma tensiunii la ieirea circuitului.


2.5.2. Caracteristica de frecven

Se introduce mrimea A
u
numit amplificare. Ea se definete ca raport
a dou numere complexe, asociate tensiunilor de intrare (U
i
) i de
ieire (U
o
).

U
U
A
i
0
u
(2.20)
Efectund calculele pentru exemplul ales, rezult:
) ( A
RC j 1
1
A
u u

+
(2.21)

Definiie: Dependena amplificrii |A
u
| de frecven se numete
caracteristic de frecven.

Fiindc amplificarea este n general un numr complex, vom analiza pe
rnd cele dou informaii oferite de relaia 2.16, i anume modulul
caracteristicii de frecven i faza caracteristicii de frecven.

a. Modulul caracteristicii de frecven |A
u
|. Arat de cte ori este
mai mare amplitudinea semnalului de la ieirea filtrului dect
amplitudinea semnalului de la intrarea sa.

) ( A
C R 1
1
A
u
2 2 2
u

+
(2.22)

Aa cum am accentuat n relaia 2.22, modulul caracteristicii de
frecven este funcie de , adic depinde de frecvena semnalului.
Ioan P. MIHU - Procesarea Numeric a Semnalelor 29
Cel mai uzual mod de prezentare i de analiz al modulului
caracteristicii de frecven l constituie reprezentarea sa grafic, aa
ca n figura 2.16.

|

A
u
|
f


1
1/ 2
()
f
T


Figura 2.16. Modulul caracteristicii de frecven.


Din analiza formei graficului modulului caracteristicii de frecven
al circuitului din figura 2.13, rezult cteva concluzii:

Pentru semnalul de frecven nul (tensiune continu),
amplificarea este unitar, adic mrimea tensiunii de ieire
va fi egal cu cea a tensiunii de intrare. Se folosete
expresia semnalul trece neatenuat

Odat cu creterea frecvenei semnalelor sinusoidale de
intrare amplificarea scade. Altfel spus, aducnd la intrare
sinusoide de frecven mare, amplitudinea lor la ieire va fi
mai mic dect amplitudinea de la intrare. La limit, cnd
frecvena tinde la infinit, amplitudinea la ieire tinde la zero.

Din cele spuse rezult evident comportarea selectiv a
circuitului analizat. Comportarea acestui tip de filtru este
descris sintetic prin denumirea filtru trece jos, adic un
filtru care permite trecerea sinusoidelor de frecven joas
i nu permite trecerea celor de frecven nalt.

Exprimarea de mai sus, de tip totul sau nimic, nu este
conform cu realitatea n sensul c ntre cele dou
alternative, frecvene care trec / frecvene care nu trec,
30 Procesarea Semnalelor Analogice
exist i frecvene care trec avnd diferite grade de
atenuare, aa cum se vede din figura 2.16. De ce folosim
totui o denumire de acest fel cnd realitatea este alta? O
facem datorit unei convenii extrem de utile n practic i
anume: se zice c semnalele pentru care amplificarea este
mai mare dect 2 / 1 trec, iar semnalele pentru care
amplificarea este mai mic dect 2 / 1 nu trec.

Valoarea frecvenei f
T
pentru care amplificarea are valoarea
2 / 1 se numete frecven de tiere.


2
1

C R 1
1
) ( A
2 2 2
T
T u

+
(2.23)

Rezult:
RC 2
1
f ;
RC
1

T T



Intervalul de frecven [0 f
T
] se numete band de
trecere


b. Faza caracteristicii de frecven. Arat care este defazajul dintre
sinusoida de la intrare i cea de la ieire.

RC) - ( arctg
A Re
A Im
arctg
u
u

(2.24)

f
- /4
()
f
T
- /2


Figura 2.17. Faza caracteristicii de frecven.

2.5.3. Caracteristica de frecven exprimat n decibeli
Ioan P. MIHU - Procesarea Numeric a Semnalelor 31

Amplificarea definit la 2.16 este adimensional din punct de vedere al
unitii de msur. De regul, pentru modulul caracteristicii de
frecven este folosit o alt definiie, a crei unitate de msur este
decibelul.

C R f 4 1
1
log 20 A log 20 A
2 2 2 2
u
dB
u
+
(2.25)

Pentru exemplul ales, graficul este cel din figura 2.18, n care
frecvena este reprezentat pe axa absciselor la scar logaritmic.


20lg |

A
u
|
f
[Hz]

0 dB
- 3 dB
scar logaritmic (lg f)
f
T

10
2
10
3
10
4
10
1

20 dB


Figura 2.18. Modulul caracteristicii de frecven n decibeli


Utilizarea unei scri logaritmice pentru frecven are dou avantaje
importante:
permite vizualizarea unui spectru mult mai larg de frecvene dect
reprezentarea la scar proporional;
permite aproximarea modulului caracteristicii de frecven cu
ajutorul unor segmente de dreapt. O astfel de aproximare se
numete caracteristic BODE. Pentru a argumenta aceasta,
este suficient s relum relaia 2.21 pentru frecvene foarte mici i
pentru frecvene foarte mari.
dB 0
1
1
lg 20 A 0 f pt.
dB
u
(2.26)
Astfel, aa cum se vede din relaia 2.22, pentru frecvene foarte mici,
tinznd ctre zero, graficul poate fi aproximat cu o linie orizontal la
nivelul 0 dB.
32 Procesarea Semnalelor Analogice

RC f 2
1
lg 20 A f pt.
dB
u


) f lg( 20 Konst ) f lg( 20 ) 2 lg( 20 A
dB
u
RC (2.27)

Pentru frecvene mari, tinznd teoretic ctre infinit, graficul poate fi
aproximat cu o linie dreapt avnd o nclinaie (pant) negativ de
20dB / decad, aa cum este artat n figura 2.18.

Graficul fazei caracteristicii de frecven este similar cu cel din figura
2.17, cu singura diferen c frecvena se reprezint la scar
logaritmic.

a. De ce se folosete decibelul?

Utilizarea acestei uniti de msur este legat de fiziologia sistemului
auditiv uman. Pentru a arta acest lucru, iat un exemplu simplu
ilustrat n figura 2.19. Iniial se aplic unui difuzor un semnal cu o
putere de 1 W. Difuzorul va crea o anumit presiune acustic iar
aceasta va produce asculttorului un anumit nivel al intensitii
auditive. Dac repetm experiena i aplicm difuzorului o putere de
100 W, n aceleai condiii de ascultare i randament al difuzorului, nu
se va crea o senzaie auditiv de 100 ori mai puternic ci doar de 10
ori (!).

1 W 100 W

Figura 2.19. Referitor la intensitatea senzaiei auditive.


S-a descoperit empiric i o relaie matematic ce leag intensitatea
senzaiei de intensitatea stimulului, relaie cunoscut sub numele legea
Weber-Fechner care arat c "intensitatea unei senzaii subiective (de
exemplu, cea auditiv) crete proporional cu logaritmul intensitii
stimulului (puterea acustic)".
Ioan P. MIHU - Procesarea Numeric a Semnalelor 33
Aadar, dac trebuie s raportm ntre ele cele dou puteri, logaritmul
raportului celor dou puteri este mai apropiat de senzaia auditiv,
motiv pentru care l vom folosi ca unitate de msur.

Intensitatea
senzatiei
Pragul
durerii
Putere
acustic


Figura 2.20. Referitor la legea Weber-Fechner.


Aa cum se observ din figura 2.20, aceleai diferene ale intensitii
senzaiei sonore sunt cauzate de diferene diferite ale stimulului, la
nivele diferite de intensitate. De aceea, este mai adecvat a utiliza ca
unitate de msur decibelul pentru mrimile destinate a fi percepute
auditiv sau vizual. Exist dou modaliti n care el este definit i
folosit: ca unitate de msur relativ sau unitate de msur absolut.

b. Decibelul - unitate de msur relativ

Prin definiie, 1 bel [B] reprezint logaritmul zecimal al raportului
dintre puterea de ieire P
2
i puterea de intrare P
1
a unui sistem.

De regul se folosete un submultiplu al acestuia i anume decibelul
[dB]:
[A ] =10 log
P
P
=10 lg
P
P
P dB 10
2
1
2
1
(2.28)
Prin definiie amplificarea n tensiune, exprimat n decibeli va fi:

[A ] = 20 log
U
U
= 20 lg
U
U
U dB 10
2
1
2
1
(2.29)

Coeficientul 20 este datorat faptului c la un cuadripol, raportul
puterilor este proporionat cu ptratul raportului tensiunilor.
34 Procesarea Semnalelor Analogice
Exemplu numeric: Dac considerm un amplificator a crui tensiune
de intrare este de 10 mV, iar cea de ieire este de 10 V, ctigul n
tensiune al amplificatorului, exprimat n decibeli, va fi :

[A ] = 20 lg
U
U
= 20 lg
10
10
dB
U dB
2
1
- 2
20 3 60

n decibeli se poate exprima i atenuarea introdus de un circuit. Spre
exemplu, pentru un atenuator care are la intrare o tensiune de 1V iar
la ieire o tensiune de 0,01 V, atenuarea va fi:

dB 40 ) 2 ( 20
1
10
lg 20 =
U
U
lg 20 = ] [a
2 -
1
2
dB U


Iat n sintez, un tabel de corespondene, uor de reinut, coninnd
relaia dintre amplificarea n valori naturale i amplificarea exprimat
n decibeli:

amplificare / atenuare
Valori naturale Decibeli
1.000 60
100 40
10 20
1 0
0,1 20
0,01 40
0,001 60


c. Decibelul - unitate de msur absolut

n multe puncte de msur ale unor circuite intereseaz de obicei
valorile absolute ale tensiunilor sau puterilor. Pentru a putea exprima
valoarea absolut a acestor mrimi n decibeli, trebuie aleas o mrime
de referin fa de care se vor raporta mrimile de msurat.
Prin convenie, n domeniul electric se consider de referin
puterea de 1 mW, disipat pe o sarcin de 600 . Justificarea
alegerii acestor valori este una de ordin istoric i ine de
dezvoltarea telefoniei. Ca urmare a conveniei amintite, pot fi uor
deduse valorile de referin pentru curent i tensiune (P=I
2
R).
Ioan P. MIHU - Procesarea Numeric a Semnalelor 35
Astfel, tensiunea de referin este 0,7745 V, iar curentul de
referin este de 1,29 mA.

Referine:

'

'

mA 29 , 1 I
V 775 , 0 U

600 R
mW 1 P

0
0
0
0
(2.30)

Spre exemplu, o tensiune care are valoarea de U
1
= 1V poate fi
exprimat n decibeli, astfel:

dB 25,823 dB 2911 , 1 20
0,7745
1
lg 20
U
U
lg 20 U
0
1
[dB] 1


n domeniul acustic, prin convenie, nivelul de referin este
considerat ca fiind presiunea sonor de 210
-4
bar. Astfel
atunci cnd se afirm c zgomotul produs de o instalaie este de
100 dB, puterea acustic ce l genereaz are valoarea P:

100 dB=10 lg
P
P
=10 lg
P
2 10
0
- 4



P=210
6
bar

Avantajul folosirii decibelului ca unitate de msur este n primul rnd
acela c exprimarea amplificrii (sau atenurii) este mult mai aproape
de percepia uman, precum i faptul c atunci cnd avem lanuri de
transmisie a unui semnal, amplificarea global se obine simplu,
adunnd amplificrile fiecrui etaj exprimate n decibeli.



2.5.4. Aplicaii

Problema 2.1. O surs de tensiune ideal (fr rezisten intern),
furnizeaz la borne o tensiune sinusoidal u
(t)
avnd parametrii
urmtori: amplitudinea 10 V, frecvena 1 kHz i faza iniial nul.
Scriei formula tensiunii u
(t)
.
Care este perioada tensiunii u
(t)
?
Care este pulsaia tensiunii u
(t)
?
Care este faza tensiunii u
(t)
?
Facei graficul tensiunii u
(t )
n raport cu timpul.
Facei graficul tensiunii u
(t )
n raport cu faza.
36 Procesarea Semnalelor Analogice
Problema 2.2. Graficele a dou tensiuni sunt cele din figura
urmtoare. S se scrie expresiile analitice ale acestora.


t [ms]
u
1
(t)
u
2
(t)
20
2,5
u

(t) [V]
70
43

Figura 2.21. Legat de problema 2.2.

Problema 2.3. Urmnd exemplul de la 2.5.2, s se traseze
caracteristicile de frecven (modul i faz), ale urmtoarelor circuite,
tiind c :
R
1
= 1k; R
2
= 2k; R
3
= 3k; C = 1F; L = 1 mH;


R1
R2
u
i
(t) u
0
(t)


C
R
2

u
i
(t) u
0
(t)

a. b.


C R
1

R
2

u
i
(t) u
0
(t)


R
1

R
2


C
u
i
(t) u
0
(t)

c. d.


R
1

u
i
(t) u
0
(t)
R
2
C


R
1

R
2


C
u
i
(t) u
0
(t)
R
3


e. f.

Ioan P. MIHU - Procesarea Numeric a Semnalelor 37

L
R
u
i
(t)
u
0
(t)


R
1

R
2

u
i
(t)
u
0
(t)
L

g. h.

Figura 2.22. Circuite propuse pentru problema 2.3.

Problema 2.4. Pentru circuitele din problema precedent, n funcie de
forma caracteristicii, s se stabileasc caracterul de filtru trece jos,
filtru trece sus, filtru trece band, filtru oprete band.

Problema 2.5. Cum se modific forma caracteristicii de frecven dac
ieirea circuitelor din problema precedent nu mai este n gol, ci este
legat la intrarea unui alt circuit, care are o rezisten de intrare Ri?

Problema 2.6. La intrarea circuitului b. din problema 2.3 se conecteaz un
generator ideal de tensiune sinusoidal (cu rezisten de ieire nul), care
furnizeaz o tensiune pur sinusoidal cu amplitudinea de 1V i frecvena de
1kHz. Desenai la scar, cum se vd oscilografiate simultan, tensiunile u
i(t)
i
u
0(t)
pe un osciloscop cu dou spoturi, folosindu-v numai de indicaiile pe
care vi le ofer caracteristicile de amplitudine i de faz.

Problema 2.7. La intrarea circuitului c. din problema 2.3 se conecteaz un
generator ideal de tensiune sinusoidal (cu rezisten de ieire nul), care
furnizeaz o tensiune pur sinusoidal cu amplitudinea de 1V i frecvena de
1kHz. S se determine tensiunea de ieire folosind metoda fazorial.
Coincide rezultatul cu cel oferit de caracteristica de frecven?

Problema 2.8. La intrarea circuitului din problema 2.3 se aduce o tensiune
de intrare dreptunghiular, furnizat de un generator de semnal. Care
formulare este corect?
Tensiunea de ieire va fi tot dreptunghiular;
Tensiunea de ieire va fi sinusoidal, fiindc circuitul este liniar;
Tensiunea de ieire nu va fi dreptunghiular;
Nu va exista tensiune de ieire, fiindc prin circuitul liniar nu se
propag dect semnalele sinusoidale.

Problema 2.9. De ce se acord o importan aparte semnalelor
sinusoidale?

38 Procesarea Semnalelor Analogice
Problema 2.10. Se d tensiunea u(t) = 10 + 2sin (100t);
Care este componenta continu i care este cea alternativ?
Desenai cum se vede pe osciloscop tensiunea u(t) n regim AC i
DC.

Problema 2.11. Ce tip de circuit (filtru) se poate folosi pentru a transforma
o tensiune dreptunghiular fr component continu avnd factor de
umplere 0,5 ntr-o tensiune sinusoidal, de aceeai perioad?

Problema 2.12. Ce tip de circuit (filtru) se poate folosi pentru a transforma
o tensiune dreptunghiular cu component continu avnd factor de umplere
0,5 ntr-o tensiune sinusoidal, de aceeai perioad?

Problema 2.13. Desenai caracteristicile de amplitudine i faz, n valori
naturale i n decibeli, pentru urmtoarele funcii de transfer:
a).
1
j 1
100
) H(j

+

b).
+


j 1
j
) H(j

Problema 2.14. Se d tensiunea: u(t) = 10 + 2 sin (100t) + 10sin (200t);
desenai la scar tensiunea u(t) n raport cu timpul.
desenai spectrul tensiunii u(t).
se trece tensiunea u(t) printr-un filtru trece jos ideal avnd frecven
de trecere Ft= 150Hz. Scriei expresia tensiunii de la ieirea filtrului.
desenai spectrul semnalului de la ieirea filtrului.

Problema 2.15. Se d semnalul
[ ] t) k cos(100 t) k sin(10 0,1 u(t)
10
1 k

+ [V]
desenai spectrul tensiunii u(t).
Care trebuie s fie banda de trecere a unui amplificator care s
amplifice semnalul fr a-l distorsiona?

Problema 2.16. S se deseneze spectrele de frecven ale semnalelor:
[ ] t) k sin(10 0,1 (t) u
10
1 k
1


[ ] t) k cos(10 0,1 (t) u
10
1 k
2



Ioan P. MIHU - Procesarea Numeric a Semnalelor 39
Problema 2.17. Circuitul urmtor are o comportare selectiv fa de
semnale de intrare de frecven diferit.

R
1k
C
1 F
ui(t) uOUT(t)


Desenai pe acelai sistem de axe tensiunile de intrare i de ieire dac
tensiunea de intrare este sinusoidal avnd amplitudinea de 1 V i
frecvena de 10 Hz.
Desenai pe acelai sistem de axe tensiunile de intrare i de ieire dac
tensiunea de intrare este sinusoidal avnd amplitudinea de 1 V i
frecvena de 10 kHz. Facei o comparaie cu cazul precedent.
Trasai caracteristica de frecven a circuitului. Ce tip de filtru
reprezint?
Trasai caracteristica de faz a circuitului.
Trasai diagrama Bode a circuitului.

Problema 2.18. Pentru circuitul din problema precedent, s se traseze pe
acelai sistem de axe graficele tensiunilor de intrare i de ieire pentru
situaia n care tensiunea de intrare este o tensiune treapt cu amplitudinea
de 1 V, ncepnd de la momentul t = 0.

Problema 2.19. Pentru circuitul din problema precedent, s se traseze pe
acelai sistem de axe graficele tensiunilor de intrare i de ieire pentru
situaia n care tensiunea de intrare este o tensiune dreptunghiular cu factor
de umplere , o perioad de 100 ms i amplitudinea de 1 V.

Problema 2.20. Unui redresor bialternan i se aduce la intrare o tensiune
sinusoidal. La ieirea sa el furnizeaz modulul tensiunii de intrare.
Ce fel de filtru trebuie folosit dup redresor, pentru ca tensiunea de
ieire a redresorului s treac nedeformat mai departe prin filtru?
Cum trebuie setat un osciloscop pentru a putea afia corect tensiunea de
la ieirea redresorului?



40 Procesarea Semnalelor Analogice
2.6. Teorema Fourier n timp continuu

n cele ce urmeaz, vom asocia sintagmei domeniul frecven
mulimea tuturor tensiunilor sinusoidale, avnd frecvena cuprins
ntre zero i infinit.

2.6.1. Teorema Fourier n domeniul real

Teorema Fourier are o importan deosebit pentru studiul
semnalelor de variabil real i al circuitelor pe care acestea le
parcurg. Enunul ei este urmtorul:

Orice funcie u(t), continu i periodic, avnd perioada

0
2
0
1
0


F
T poate fi exprimat ca sum dintre o component
continu plus o infinitate de funcii armonice, i anume:

[ ]

+ +
1 k
0 K 0 K 0
t) cos(k B t) sin(k A U u(t) (2.31)

Enunul teoremei spune, de fapt, c tensiunea periodic u
(t )
este
compus (constituit) din tensiuni sinusoidale, pe care le vom numi
componente sinusoidale (componente armonice). Aceste componente
au urmtoarele proprieti:
U
0
este numit componenta continu. Ea poate fi privit i ca o
component sinusoidal de frecven nul. Se calculeaz ca valoare
medie a funciei u
(t)
:


T
0
0
dt u(t)
T
1
U (2.32)

armonica de ordinul 1 (k=1), numit i "armonica fundamental",
are perioada egal cu T
0
, deci chiar perioada funciei u
(t)
;
armonicile superioare au frecvena multiplu ntreg al frecvenei de
baz a funciei periodice;
mulimea acestor funcii armonice se numete n matematic
"serie Fourier";
mulimea acestor funcii armonice se numete n electrotehnic
spectrul de frecven al tensiunii u
(t)
;
amplitudinile armonicilor descresc spre zero atunci cnd frecvena
tinde la infinit. Relaiile de calcul care permit aflarea valorii
Ioan P. MIHU - Procesarea Numeric a Semnalelor 41
amplitudinilor armonicilor, respectiv a coeficienilor A
k
i B
k
sunt
urmtoarele:

'

dt ) t cos(k u(t) B
dt ) t (k sin u(t) A
T
0
0 k
T
0
0 k
T
2
T
2
(2.33)
Folosind cteva egaliti trigonometrice, relaia 2.31 se poate scrie sub
o form mai condensat. Astfel, dac se foreaz factor comun A
k
i
apoi se face substituia:

) cos(
) ( sin
) tg(
A
B
k
k
k
k
k

,

atunci teorema Fourier se poate scrie sub forma:

[ ]

+ +
1 k
0 k 0 k 0
t) cos(k ) tg( t) sin(k A U u(t)
[ ]

+ +
1 k
0 k 0 k
k
k
0
t) cos(k ) sin( t) sin(k ) cos(
) cos(
A
U u(t)


( )

+ +
1 k
k 0
k
k
0
t k sin
) cos(
A
U u(t)

(2.34)

Factorul A
k
/ cos(
k
) se poate scrie altfel, innd cont c funcia
cosinus se poate exprima n funcie de funcia tangent:


) ( tg 1
1
) cos(
k
2
k

+
,

rezult:
2
k
2
k 2
k
2
k
k k
2
k
k
k
B A
A
B
1 A ) ( tg 1 A
) cos(
A
+ + +



nlocuind n 2.34, teorema Fourier se poate scrie astfel:

( )

+ +
1 k
k 0 k 0
t k sin S U u(t) , (2.35)

unde:

'

+
k
k
k
2
k
2
k k
A
B
arctg
B A S

(2.36)

42 Procesarea Semnalelor Analogice
Relaiile 2.31 i 2.35 sunt echivalente. Le vom numi astfel:
relaia 2.31 formularea de baz a teoremei Fourier;
relaia 2.35 a doua formulare a teoremei Fourier.

t
U0 = 0
u1 (t) = 10 sin (2 f0 t)
u2 (t) = 5 sin (2 2f0 t + /8)
u3 (t) = 2,5 sin (2 3f0 t + 2/8)
u4 (t) = 1,25 sin (2 4f0 t + 3/8)
u5 (t) = 0,6 sin (2 5f0 t + 4/8)
u(t)
T = 1 / f0
u(t) U0 + u1 (t) + u2 (t) + u3 (t) + u4 (t) + u5 (t)

Figura 2.22. Descompunerea unui semnal periodic n sinusoide.

n figura 2.22 este ilustrat modul n care trebuie privit
descompunerea unui semnal periodic n semnale sinusoidale.
n acest exemplu se observ c, nsumnd componenta continu i
primele cinci armonici, se obine semnalul iniial cu o anume
Ioan P. MIHU - Procesarea Numeric a Semnalelor 43
aproximaie. Evident, cu ct se vor nsuma mai multe armonici cu att
mai mult rezultatul se apropie de semnalul iniial u
(t )
.
n ipoteza c funcia u
(t)
, care a fcut obiectul descompunerii cu
ajutorul teoremei Fourier, este o tensiune, atunci este evident din
relaiile 2.35 i 2.36 c unitatea de msur a coeficienilor A
k
, B
k
i
S
k
este 1Volt.


2.6.2. Teorema Fourier n electronic
Ceea ce se ascunde n spatele relaiei 2.35 este o realitate cu extrem de
mari implicaii n electronic, n studiul circuitelor, n studiul
semnalelor n general i n mod special n nelegerea comportrii
circuitelor fa de semnalele care le traverseaz.
Acest fapt este intuitiv ilustrat n figura 2.23. Aici, un circuit electric
liniar (format doar din R, L, C) este alimentat de la o surs de tensiune
periodic nesinusoidal, de o form cunoscut. Se pune problema
rezolvrii circuitului, adic a aflrii formei i mrimii tuturor
curenilor ce strbat laturile reelei electrice. Prima problem este
aceea a determinrii formei de variaie a curenilor i pentru aceasta
trebuie s ne reamintim un lucru extrem de important:
Semnalul sinusoidal este singurul din natur care
se propag nedistorsionat prin sisteme liniare!

innd cont de aceasta, ne explicm de ce se ncepe studiul circuitelor
cu regimul sinusoidal. Este cel mai uor de rezolvat, fiindc se tie c,
dac sursa de tensiune este sinusoidal, atunci, conform acestui mare
adevr, toi curenii din circuit vor fi sinusoidali: mai mari sau mai
mici, defazai mai mult sau mai puin, dar tot sinusoidali.
n schimb, dac sursa de tensiune nu este sinusoidal, ci are o form
periodic nesinusoidal, atunci curenii prin circuit sigur nu vor avea
forma cauzei care i-a determinat. Pentru a afla forma curenilor n
acest caz, vom apela la teorema Fourier.



44 Procesarea Semnalelor Analogice
u (t)
S
1
sin ( t+1
)
S
2
sin (2 t+2
)
S
3
sin (3 t+
3
)
U
0
S
n
sin (n t+n
)
i (t)
i (t)
R,L,C R,L,C


Figura 2.23. Referitor la teorema Fourier.


Avnd o surs de tensiune u
(t)
, periodic, ce alimenteaz un circuit,
situaia este echivalent cu aceea n care circuitul ar fi alimentat cu
mai multe generatoare legate n serie, dintre care:
primul este reprezentat de o surs de tensiune continu avnd
valoarea egal cu componenta continu a semnalului u
(t )
;
celelalte sunt o infinitate de surse cu t.e.m. sinusoidale avnd
amplitudinile egale cu valorile coeficienilor S
k
rezultate din
relaia 2.36 i defazajele
k
rezultate din aceeai relaie.
n continuare, metoda de rezolvare se bazeaz pe principiul
superpoziiei cu ajutorul cruia se vor determina toate valorile
componentelor curentului prin reea: o component de curent continuu
plus cureni armonici datorai tuturor surselor armonice. Conform
reciprocei teoremei Fourier, prin nsumarea tuturor acestor
componente, va rezulta tot o form de variaie n timp cu perioada de
baz egal cu perioada de baz T
0
a tensiunii u
(t)
.
Putem remarca nc o dat importana cu totul special a semnalelor
sinusoidale. Alturi de faptul c ele se propag prin sisteme liniare
fr a-i modifica forma, iat c am artat, cu ajutorul teoremei
Fourier, faptul c semnalele sinusoidale reprezint crmizile din
care sunt construite oricare alte semnale.




Ioan P. MIHU - Procesarea Numeric a Semnalelor 45
2.6.3. Spectrul real al unui semnal periodic real


Se numete spectru de frecven al tensiunii u
(t)
mulimea
armonicilor (mulimea "sinusoidelor") n care tensiunea u
(t)

poate fi descompus, conform relaiei 2.35.

n exemplul urmtor este ilustrat relaia dintre un semnal i spectrul
su de frecven:



u(t) = U
0
+ S
1
sin (2 f
0
+ /4)+
+ S
2
sin (2 2f
0
+ /2)+
+ S
3
sin (2 3f
0
+3 /4)+
+ S
4
sin (2 4f
0
+ )+..
-


k
f
f
0
2f
0
3f
0
4f
0
u(t)
t
T = 1 / f
0
|Sk |
f f
0
2f
0
3f
0
4f
0
S
1
S
2
U
0
nf
0



Figura 2.24. Exemplu de spectru al unei tensiuni periodice.
46 Procesarea Semnalelor Analogice
Deoarece fiecare armonic din spectru (sinusoid sau cosinusoid)
este determinat de doi parametrii, amplitudine i faz, spectrul se va
reprezenta sub forma a dou grafice separate numite:
spectrul de amplitudini grafic ce arat valoarea amplitudinii
tuturor armonicilor n care se descompune semnalul u
(t)
;
spectrul de faz grafic ce arat valoarea fazei iniiale a tuturor
armonicilor.

Observaii

Spectrul semnalului din figura 2.24 este unul generic, valorile
coeficienilor S
k
i ale fazei iniiale nefiind calculate numeric
exact;
Spectrul unui semnal periodic este discontinuu. El nu are valori
dect n puncte bine definite, multiplu ntreg al frecvenei
fundamentale;
Spectrul unui semnal este ntr-o relaie biunivoc cu semnalul de la
care provine. n funcie de valorile amplitudinilor i fazelor
armonicilor specifice fiecrui semnal, spectrul poate fi considerat
"o amprent" a semnalului, util n procesul de recunoatere.
n practic, orice calcul n care sunt implicate serii infinite se
trunchiaz. Astfel, n relaia 2.35, se vor lua n considerare, doar
primele N componente spectrale, caz n care relaia devine una
aproximativ:
( )

+ +
N
1 k
k 0 k 0
t k sin S U u(t) (2.35)
innd cont de aceasta, teorema Fourier definit la 2.31, poate fi
nuanat astfel: tensiunea u
(t )
poate fi suficient de bine descris de
primii N termeni, respectiv tensiunea u
(t)
poate fi suficient de bine
refcut din primele N componente spectrale ale sale. Desigur c
aproximarea este cu att mai bun cu ct N este mai mare.
Dei pare o limitare a posibilitii de exprimare exacte a tensiunii
u
(t)
, acest fapt este important dac este analizat din alte puncte de
vedere. Spre exemplu, n domeniul compresiei de date, trunchierea
relaiei 2.35, permite exprimarea condensat a tensiunii u
(t)
, cu
doar N perechi de numere, i anume: N amplitudini i N faze,
corespunztoare primelor N componente spectrale. Cu ct N este
mai mic, cu att este mai mare compresia de date realizat.

Ioan P. MIHU - Procesarea Numeric a Semnalelor 47

2.6.4. Aplicaii

Problema 2.20. S se determine spectrul de frecven al tensiunii u
(t)

din figura 2.24, tensiune avnd perioada T i definit astfel:


[ ]


2
T
t t
2
T
t pentru 0
t t - t pentru 1
u(t)
1 1
1 1

'

1
]
1


,
_






Rezolvare:

T
2t
t
k T
1
dt 1
T
1
dt u(t)
T
1
U
1
t1
t1
0
t1
t1 -
T/2
T/2 -
0
1 -




0 t) cos(k
k
dt ) t (k sin 1 dt ) t (k sin u(t) A
t1
t1
0
0
t1
t1 -
0
T
0
0 k
1 -
T
2
T
2
T
2




t) sin(k
k
dt ) t (k cos 1 dt ) t (k cos u(t) B
t1
t1
0
0
t1
t1 -
0
T
0
0 k
1
T
2
T
2
T
2






,
_


T
t
2 k sin ) t (k sin 2 B
1
1 0 k
k
2
2 k
T
T
2



k
2
k
2
k k
B B A S +


2

0
B
arctg
A
B
arctg
k
k
k
k


Rezult:

,
_

+
,
_

1 k
0
1 1
2

t k sin
T
t
2 k sin
T
2t
u(t)
k
2



Rezultatul este prezentat i sub form grafic n figura 2.25.




48 Procesarea Semnalelor Analogice
|Sk |
f
-


k
f
f
0
2f
0
3f
0
4f
0
2/
0
u(t)
t
U
0
T
0
= 1 / f
0
-T
0
/2 T
0
/2 t
1
-t
1
0
0
2/3
2/5
5f
0
f
0
2f
0
3f
0
4f
0
5f
0


Figura 2.25. Spectrul de amplitudini i spectrul de faz
al tensiunii u
(t)
de la problema 2.9.

Observaii:
Deoarece toi coeficienii A
k
sunt nuli, rezult c tensiunea u
(t )
se
exprim doar ca sum de cosinusuri, n prima form a teoremei
Fourier. De altfel, acelai rezultat este consemnat i n rezultatul
problemei, innd cont c sin(+/2) = cos ();
Faza iniial a tuturor armonicilor este constant, egal cu /2;
Amplitudinea armonicilor este dat de valoarea coeficienilor S
k
;
Pentru k , S
k
0, deci amplitudinea armonicilor de ordin
foarte mare (de frecven foarte mare), tinde ctre zero;
Coeficienii S
k
depind de valoarea t
1
. n figura 2.25 este artat
spectrul de amplitudini i spectrul de faz al tensiunii u
(t)
, pentru
cazul t
1
= T/4;
Cum arat spectrul pentru cazul t
1
= T/8? Dar pentru t
1
= T/16?
Ioan P. MIHU - Procesarea Numeric a Semnalelor 49
Problema 2.21. Pentru semnale avnd forme consacrate, spectrele de
frecven sunt cunoscute din literatura de specialitate. Astfel, pentru
semnalul rezultat n urma redresrii monoalternan, descompunerea
n serie de armonici este urmtoarea:


1
]
1

+ + ... - t) cos(6
5.7
2
t) cos(4
3.5
2
t) cos(2
3 1
2
t) cos(
2

U
u
0
(t)


a. S se aduc relaia de mai sus, la prima form a teoremei
Fourier;
b. S se exprime condensat utiliznd simbolul ;
c. S se deseneze tensiunea u
(t)
i spectrul su de frecven.

Problema 2.22. S se deseneze spectrele de frecven (amplitudine i
faz), ale semnalelor:

a. u
(t)
= 10 + 2 sin (100t) + 10sin (200t);
b. [ ] t) k sin(10 0,1 u
10
1 k
(t)


c. [ ] t) k cos(10 0,1 u
10
0 k
(t)


d. [ ] t) k cos(100 t) k sin(10 0,1 u
10
1 k
(t)

+

Problema 2.23. S se determine spectrul de frecven al urmtoarelor
tensiuni periodice nesinusoidale. Toate au perioada T, i sunt descrise
de relaiile urmtoare:

[ ]
( )

T t t pentru 0
t 0 t pentru 1
u
1
1
(t)

'







2
T

4
T
4
T

2
T
t pentru 0

4
T

4
T
t pentru
T
t
2 cos
u(t)

'

1
]
1


,
_


1
]
1


,
_




[ ]
( )

T t t pentru 0
t 0 t pentru t
u(t)
1
1

'




50 Procesarea Semnalelor Analogice

T
2
T
t pentru 0

2
T
0 t pentru
T
t
2 sin
u(t)

'

,
_


1
]
1


,
_



Problema 2.24. Plecnd de la relaia de calcul a coeficienilor
Fourier, s se compare spectrul de frecven al unei tensiuni pare cu
cel al uneia impare.

Problema 2.25. Se d semnalul urmtor:

U(t)
[V]
t
[ms]
10 20 30 40 50
10
-10

Care va fi frecvena armonicii fundamentale rezultate prin
descompunerea cu ajutorul teoremei Fourier?
Desenai-o pe acelai sistem de axe ca i semnalul original.
Care va fi frecvena armonicii a doua? Dar a armonicii a n-a?
Care este valoarea componentei continue?
Desenai spectrul de frecven al semnalului.
Dac tensiunea u(t) se aduce la intrarea unui filtru trece jos ideal
avnd frecvena de trecere f
T
= 70 Hz, ce form va avea tensiunea de
la ieire?

Problema 2.26. Se d semnalul urmtor:
U
(t)
[V]
t
[ms]
5 15 25 35 45 -5
10
-10

Ioan P. MIHU - Procesarea Numeric a Semnalelor 51
Care va fi frecvena armonicii fundamentale rezultate prin
descompunerea cu ajutorul teoremei Fourier?
Desenai-o pe acelai sistem de axe ca i semnalul original.
Care va fi frecvena armonicii a doua? Dar a armonicii a n-a?
Care este valoarea componentei continue?
Desenai spectrul de frecven al semnalului.
Dac tensiunea u(t) se aduce la intrarea unui filtru trece band ideal
avnd frecvenele de trecere f
T1
= 30 Hz, i f
T2
= 80 Hz, ce form va
avea tensiunea de la ieire?


Problema 2.27. Se d semnalul urmtor:

U(t)
[V]
t
[ms]
10 20 30 40 50
10
20
30

Care va fi frecvena armonicii fundamentale rezultate prin
descompunerea cu ajutorul teoremei Fourier?
Desenai-o pe acelai sistem de axe ca i semnalul original.
Care va fi frecvena armonicii a doua? Dar a armonicii a n-a?
Care este valoarea componentei continue?
Desenai spectrul de frecven al semnalului.
Dac tensiunea u(t) se aduce la intrarea unui filtru trece jos ideal
avnd frecvena de trecere f
T
= 70 Hz, ce form va avea tensiunea de
la ieire?


Problema 2.28. Se dau dou semnale dreptunghiulare simetrice fa
de axa timpului, avnd factorul de umplere 0,5 (ca i cel din problema
2.25). Primul semnal are perioada 20 ms iar al doilea 200 ms. Care va
fi diferena dintre spectrele celor dou semnale?

52 Procesarea Semnalelor Analogice
2.6.5. Teorema Fourier n domeniul complex

Teorema Fourier poate fi transferat i n mulimea numerelor
complexe. De ce este nevoie de aa ceva, cnd n realitate, un semnal
ca cel furnizat de un microfon sau de cele dou fire de la ieirea unui
generator de tensiune, este unul real i nu unul complex? Motivele
pentru care este util o astfel de abordare teoretic, sunt urmtoarele:

ntre o sinusoid i un numr complex exist o relaie biunivoc,
n sensul c fiecrei sinusoide reale i corespunde un numr
complex, i fiecrui numr complex, i corespunde o sinusoid:

Asin() Ae
j
(2.36)

Ambele sunt perfect definite de dou mrimi, cu semnificaii
similare: amplitudinii i fazei sinusoidei i corespund amplitudinea
i faza numrului complex.
Este un instrument matematic ce poate trata global mrimi definite
de dou elemente, precum cele dou amintite anterior.

Pentru a ajunge la exprimarea n domeniul numerelor complexe a
teoremei Fourier, se pleac de la formula lui Euler:

e
jt
= cos(t) + j sin(t) (2.37)

Exponeniala complex e
jt
reprezint un mod de a descrie un vector
de lungime unitar, care se nvrte cu viteza unghiular n sens
direct trigonometric, n planul complex, aa cum este ilustrat n figura
urmtoare:

Re
Im
=t 1
j
-1
-j
e
jt


Figura 2.26. Exponeniala complex i planul complex.
Ioan P. MIHU - Procesarea Numeric a Semnalelor 53
Att din relaia 2.37, ct i din figura 2.26, se observ c un semnal
sinusoidal real de amplitudine unitar poate fi exprimat din numrul
complex astfel:

u
(t)
= Im[e
jt
] (2.38)

Cu ajutorul formulei Euler se obine:


2
e e
t) cos(
t j t j
+
;
2j
e e
t) sin(
t j t j

(2.39)

Dac se nlocuiesc n 2.31 se obine succesiv:

[ ] + +

1 k
0 K 0 K 0
t) cos(k B t) sin(k A U u(t)

=
1
]
1

+
+



2
e e
B
2j
e e
A U
1 k
t jk t jk
K
t jk t jk
K 0
0 0 0 0


=

1
]
1

,
_

+ +

,
_

+ +

e
2
B
2j
A
e
2
B
2j
A
U
1 k
t jk - K K t jk K K
0
0 0


e
2
jA B
e
2
jA - B
U
1 k
t jk - K K
1 k
t jk K K
0
0 0


,
_

+
+
,
_


,
_

+
+
,
_

+
1
k
t jk K K
1 k
t jk K K
0
0 0
e
2
jA B
e
2
jA - B
U u(t) (2.40)

innd cont de cele artate, teorema Fourier se poate generaliza n
domeniul complex astfel:


t jk
k
0
e C u(t)



k
k
(2.41)

unde: C
0
= U
0
(2.42)
0 k pentru
2
jA - B
C
K K
k
> (2.43)
0 k pentru
2
jA B
C
K K
k
<
+
(2.44)


54 Procesarea Semnalelor Analogice
Plecnd de la definiia coeficienilor A
k
i B
k
, se pot stabili i relaii
de calcul directe pentru C
k
:

dt ) t (k sin u(t) j - dt ) t (k cos u(t)
2
jA - B
C
T
0
0
T
0
0
K K
k
T
1
T
1


(2.45)

[ ] dt e u(t) dt t) (k sin j dt t) (k cos u(t) C
T
0
t k j
T
0
0 0 k
0
T
1
T
1


(2.46)

n concluzie, generalizarea teoremei Fourier n domeniul complex
este:


t k j
k
0
e C u(t)



k
k
(2.47)

dt e u(t) C
T
0
t k j
k
0
T
1


(2.48)

Relaia 2.47 este numit n literatura de specialitate sintez Fourier,
fiindc ea ne arat din ce se compune semnalul u
(t)
, iar relaia 2.48
este numit analiz Fourier, fiindc ea ne arat n ce se
descompune semnalul u
(t)
.
Iat deci c extinderea teoremei Fourier n domeniul complex a produs
exprimri condensate, sintetice, aa cum rezult din relaiile de mai
sus. Privind formulrile 2.47 i 2.48, nu se poate s nu ne punem o
ntrebare legat de faptul c n suma cu care se exprim u
(t)
apar att
frecvene pozitive ct i negative. Ce este conceptul de frecven
negativ ? Este un al doilea motiv care poate induce puin team
fa de forma complex a teoremei Fourier. Dificultatea este generat
de faptul c suntem tributari experienei noastre legat de lumea real
n care trim i n care nu percepem dect frecvenele pozitive.
Matematic ns, frecvena negativ are ca semnificaie faptul c
vectorul din figura 2.26 se nvrte n sens invers, adic n sens invers
trigonometric, n planul complex.
Teorema Fourier pentru domeniul complex trebuie privit ca un
instrument matematic extrem de compact, uor de folosit i de
implementat n programe de prelucrare a semnalelor cu ajutorul
calculatorului, iar atunci cnd vrem s revenim n lumea semnalelor
reale, aceasta se face simplu, lund doar partea real a coeficienilor
relaiei 2.47, adic:
Ioan P. MIHU - Procesarea Numeric a Semnalelor 55

k
j
k k
e C C

(2.49)


k
2
k
2
k k
C 2 B A S + (2.50)


k k
k
k
k
C ) arg(C
A
B
arctg (2.51)


2.6.6. Spectrul complex al unui semnal periodic real

Trebuie menionat c mulimea coeficienilor C
k
, avnd valori
complexe, reprezint spectrul complex al semnalului periodic u
(t)
. La
fel ca i n cazul teoremei Fourier n domeniul real, spectrul este unul
discret, dar spre deosebire de primul, acum el are valori complexe i
cuprinde att domeniul frecvenelor pozitive ct i pe cel al
frecvenelor negative.



2.7. Transformarea Fourier direct i invers, n timp continuu


2.7.1. De ce se folosesc transformri?

Ideea de a transforma o anumit structur de date nu este nou. S
lum spre exemplu un fiier text n limba romn, fiier care ofer
foarte clar cunosctorului de limba romn anumite informaii. Putem
traduce textul n limba englez. Dac studiem structura celor dou
fiiere, constatm c vor fi diferite ca i coninut, dar identice din
punctul de vedere al informaiei coninute, adic transmit aceleai
informaii cunosctorului limbii engleze. La o traducere corect din
englez n romn, putem reface fiierul iniial. Refacerea poate fi
identic cu originalul, i atunci este limpede c prin cele dou
transformri nu s-a pierdut informaie, sau poate fi puin diferit, cnd
refacerea fiierului se face cu pierderea anumitor informaii.
De ce se fac traduceri (transformri) de structuri de date?

a. Pentru a putea fi nelese de cei care nu neleg informaia n
prima ei form;
b. Pentru criptare, n cazul n care dorim ca informaia s nu mai
fie neleas de ali posibili receptori ai ei;
56 Procesarea Semnalelor Analogice
c. Pentru a obine o structur mai mic (compresie de date). n
acest caz, putem face transformarea (traducerea) n limbi pe
care nu le nelege nimeni, cu condiia s tim s refacem
napoi informaia;
d. Pentru a obine o nou structur de date, care s permit
identificarea mai uoar a unor trsturi specifice semnalului,
pe care s le folosim apoi n scopuri bine definite, cum ar fi
recunoaterea vorbirii, identificarea formelor, etc.
O remarc important: spre deosebire de funcie, care face ca unui
element din domeniul de definiie s-i corespund un singur element
din domeniul de valori, prin transformare o mulime (secven) de
date este transformat ntr-o alt mulime de date, fiecare element al
noului domeniu coninnd, de regul, informaii de la toate elementele
primului domeniu.
Una dintre cele mai importante transformri ale semnalelor este cea n
care o secven de date dintr-un semnal raportat la domeniul timp, este
transformat ntr-o secven de date n domeniul frecven.
Fiindc este comun simurilor i percepiilor umane, ne este uor s
nelegem semnalele din lumea nconjurtoare ca fiind funcii de timp.
Percepem ca evident modul de evoluie al unui semnal n raport cu
timpul, dac el sufer schimbri, pe durata ct este observat. Nu tiu
dac, n sens filozofic, relaia nu este cumva n sens invers i anume
oamenii percep derularea timpului doar datorit existenei semnalelor.
Dinamica semnalelor este cea care n fapt marcheaz curgerea
timpului i n consecin existena sa. Indiferent care ar fi relaia de
cauzalitate, este evident, nu numai pentru cei cu instrucie superioar,
c notaia 2.52 ne arat c valoarea unei mrimi fizice, notat de noi
cu u, se schimb o dat cu trecerea timpului i spunem c mrimea
u este funcie de variabila timp, notat de noi cu t.

u = u
(t)
, (2.52)

Pentru simplitate, s presupunem c mrimea n discuie este o
tensiune electric, aa cum am fcut i atunci cnd am discutat despre
teorema Fourier. Fiindc timpul este o mrime care curge continuu,
se zice c tensiunea u
(t)
este o funcie n timp continuu, adic nu exist
momente de timp n care funcia (tensiunea) s nu fie definit.
Matematica studiat deja n liceu ne ofer multe instrumente pentru a
studia aceste semnale variabile n timp. Derivata nti a semnalului ne
arat ct de repede variaz semnalul u
(t)
. Integrala semnalului ntre
Ioan P. MIHU - Procesarea Numeric a Semnalelor 57
dou intervale de timp ne arat mrimea valorii cumulate a semnalului
ntre cele dou intervale de timp, etc.
S presupunem c un observator al mrimii u
(t)
poate nregistra toate
schimbrile pe care mrimea le are pe o durat determinat.
nregistrarea acestei evoluii reprezint pentru observator o informaie.
Toate mrimile care poart informaie i sunt studiate din acest punct
de vedere sunt denumite semnale. n acest sens, tensiunea de la
prizele din locuinele noastre nu este un semnal, ci doar o tensiune
permanent sinusoidal cu frecvena de 50 Hz i valoarea efectiv de
230V, pe cnd tensiunea furnizat de un microfon n faa cruia se
vorbete reprezint un semnal, deoarece ea conine informaie.
S considerm acum, spre exemplu, c ntr-un fiier se afl o
nregistrare orict de lung provenind de la o surs de tensiune
sinusoidal. n acest caz, n cel de-al doilea fiier, n care punem
traducerea, se vor pune doar trei numere, i anume: valoarea
amplitudinii, a frecvenei i a fazei sale iniiale. Compresia n acest
caz este deosebit de mare. Iat deci avantajul transformrii unui
semnal ntr-un alt limbaj! Acesta este i cazul transformrii
Fourier,.cnd fiierul iniial este constituit dintr-o succesiune de valori
ale tensiunii eantionate la intervale egale de timp, iar cel de-al doilea
conine perechi frecven amplitudine, conform teoremei Fourier.


2.7.2. Definiia transformatei Fourier directe pentru semnale n
timp continuu

Transformarea Fourier, la care ne vom referi n continuare, este una de
o importan deosebit n studiul i prelucrarea semnalelor.

Avnd o funcie u
(t)
, continu i n timp continuu, prin definiie,
transformata Fourier (TF) a funciei u
(t)
este:

TF{u
(t)
} = dt e u(t) U
-
) j ( TF
t j

(2.53)
unde R

Observaii:
Notaia TF{u
(t)
}, reprezint operatorul Transformata Fourier
care, aplicat funciei u
(t)
, o transform n U
TF(j)
;
58 Procesarea Semnalelor Analogice
Relaia 2.53 transform o funcie de variabil timp, u
(t)
, ntr-o nou
funcie U
TF (j)
, depinznd de o alt variabil , numit pulsaie,
altfel spus, transform domeniul timp n domeniul frecven;

Dei n relaia 2.53, transformata Fourier este definit ca fiind
"funcie de ", deoarece =2f, se poate spune la fel de bine c
transformata Fourier este "funcie de frecven";

Aa cum se observ din relaia de definiie, este un numr real.
Deci domeniul de definiie al transformatei Fourier (domeniul
frecven), este unul continuu, n care frecvena poate lua o
infinitate de valori ntr-un domeniu dat.

S considerm o c n relaia de definiie a transformatei Fourier
lum pentru o valoare anume: =
1
=2f
1
. n acest caz n
urma calculelor se va obine numrul complex: U
TF(j 1)
a crui
semnificaie lui este urmtoarea: |U
TF(j1)
| reprezint amplitudinea
unei sinusoide a crei frecven este f
1
.
Aa cum am mai spus, este un numr real, deci
1
poate fi
orict. n concluzie, n ipoteza c
1
parcurge "punct cu punct" tot
domeniul real, atunci transformata Fourier ne ofer informaii
despre coninutul "n sinusoide" al unui semnal neperiodic.
Aceast mulime a "sinusoidelor" n care poate fi descompus
semnalul neperiodic, poart denumirea de spectru al semnalului
neperiodic;

Cumulnd ultimele dou observaii rezult c spectrul semnalului
neperiodic este continuu;

Dei n definiia transformatei Fourier se vorbete doar de semnale
neperiodice, aceasta nu nseamn c transformata nu se poate
aplica i semnalelor periodice, cele despre care am vzut c fceau
obiectul teoremei Fourier. Evident c aplicnd transformata
Fourier unei funii periodice, aceasta va produce ca rezultat un
spectru discret, pentru acest caz particular;

Prin transformata Fourier, i se asociaz funciei u
(t )
o alt funcie
U
TF(j)
. Asocierea este biunivoc, adic unei funciei u
(t)
i va
corespunde o singur funcie U
TF(j)
, iar unei transformate Fourier
i va corespunde o singur funcie u
(t)
:

u
(t)
U
TF (j)
(2.54)
Ioan P. MIHU - Procesarea Numeric a Semnalelor 59

Relaia prin care se obine funcia u
(t)
atunci cnd se cunoate
transformata sa U
TF (j)
se numete transformata Fourier invers,
i este:

u
(t )
= TFI{ U
TF(j)
} =.



-
) j ( TF
-
) j ( TF
df e U d e U
2
1

t j

t j

(2.55)

Transformata Fourier invers convertete domeniul frecven n
domeniul timp

Relaia 2.55 ne dovedete un lucru extrem de important i anume
acela c orice funcie real, poate fi sintetizat din componentele ei
spectrale.


2.7.3. Legtura dintre transformata Fourier i teorema Fourier

Teorema Fourier pentru semnale reale se poate extinde i asupra
semnalelor neperiodice, cum ar fi, de exemplu, semnalul vocal.
Extinderea se poate face simplu, considernd semnalul neperiodic
drept un semnal periodic, dar cu perioada infinit. Relaiile de calcul
legate de teorema Fourier, att n domeniul real, ct i n domeniul
complex, rmn valabile cu precizarea c T
0
. Consecina va fi
aceea c f
0
= 1/T
0
0. Deci n spectrul de frecven al semnalului u
(t )
,
prima armonic va fi foarte apropiat de zero. Armonica a doua va
urma foarte apropiat de prima. Continund s plasm i celelalte
armonici, n final spectrul de frecven va fi reprezentat din puncte
extrem de apropiate ntre ele. La limit, spectrul rezultat va fi
continuu! n acest caz se poate afirma c un semnal neperiodic
conine toate frecvenele dintr-un interval dat, nu doar anumite
frecvene, ca n cazul semnalelor periodice.
Cele prezentate n continuare nu reprezint o demonstraie a faptului
c transformata Fourier este un caz particular al teoremei Fourier, ci
reprezint o util analiz a legturii care exist ntre teorema Fourier
definit n domeniul complex (relaia 2.51) i transformata Fourier
(relaia 2.53)
60 Procesarea Semnalelor Analogice
Dac n relaia:
T
2

0
se face: T ,
rezult:

'


0
k
)
k
(C d
k
C
d
0



dt e u(t) C
T
0
t k j
k
0
T
1


dt e u(t)
2
d
) d(C
-
t j
k
+



De unde: ) j ( U dt e u(t)
d
) d(C
2
TF
-
t j k

(2.56)


Observaii:

Fiind o mrime complex, transformatei Fourier i se pot evidenia
prile reale i imaginare:
U
TF(j)
= Re
(j)
+jIm
(j)
= |U
TF (j)
|e
(j)
(2.57)


2
) (j
2
) (j ) j ( TF
Im Re U + (2.58)

Spre deosebire de |C
k
| care se msoar n Volt, unitatea de msur
a modulului transformatei Fourier este VHz
1
(Volt/Hz), aa cum
rezult din 2.56. Din acest motiv | U
TF(j)
| se numete densitate
spectral de amplitudine i, firesc, reprezentarea sa grafic se face
n funcie de frecven sau pulsaie. De menionat c, pentru a afla
amplitudinea corespunztoare unei frecvene, nu este suficient
citirea ordonatei din dreptul frecvenei f
0
din grafic, ci trebuie
calculat integrala ntre f
0
df i f
0
+df.

n practic se utilizeaz frecvent o alt reprezentare grafic, i
anume |F
(j)
|
2
, a crei unitate de msur este V
2
Hz
2
, a crei
semnificaie este acea de densitate spectral de energie disipat
pe o sarcin unitar (R=1 ).

[ ]
1 2 2
SI 1
2
Hz V s V W t
R
U
t I U W


Iat deci c V
2
Hz
2
este unitatea de msur pentru mrimea W
1
/f
care reprezint chiar densitatea spectral de energie.
Ioan P. MIHU - Procesarea Numeric a Semnalelor 61
De menionat c, pentru a afla energia corespunztoare unui
interval de frecven [f
1
f
2
], adic energia armonicilor
componentelor semnalului cu frecvenele cuprinse n acel interval,
trebuie calculat integrala densitii spectrale de energie pe
intervalul [f
1
f
2
]. La limit, cnd f
1
f
2
, se poate determina
energia unei singure armonici.

Similar cu teorema Fourier, se asociaz densitii spectrale de
amplitudine i o faz corespunztoare fiecrei frecvene. Graficul
aferent acesteia reprezint caracteristica de faz:


) j ( TF ) j ( TF
) j (
) j (
) (

U ) arg(U
Re
Im
arctg (2.59)



2.7.4. De ce se folosesc transformri ?

n sintez la cele artate, se poate meniona nc o dat importana
utilizrii transformrilor de semnale. Aa cum se sugereaz n figura
2.27, dac asupra semnalului u
(t)
se pot face observaii i analize n
domeniul timp, prin transformare putem face observaii i analize n
alt domeniu, acela al frecvenelor.

TF
u(t) U
TF
(j)
TFI
u(t)
Observaie,
analiz n
domeniul timp
Observaie,
analiz n
domeniul frecven


Figura 2.27. Transformata Fourier direct i invers.

Analizele n domeniul frecven pot produce rezultate spectaculoase,
care ar fi imposibil s fie ntrevzute n domeniul timp. Dei implic
un volum nsemnat de calcule, paradoxal, utilizarea transformatei
Fourier, este avantajoas cel mai adesea, chiar din punct de vedere al
efortului de calcul. n acest sens, este mai uor s identificm anumite
62 Procesarea Semnalelor Analogice
proprieti sau evenimente n domeniul frecven dect n domeniul
timp, ceea ce justific utilizarea transformatei. Exist numeroase
domenii ale procesrii numerice de semnal, precum cel al
recunoaterii de forme, n care principalele metode de a identifica
elemente structurale ale propoziiilor i cuvintelor se bazeaz pe
analiza n domeniul frecven. Aceasta nu nseamn c folosirea
analizei n domeniul frecven ar fi una exclusiv n probleme de
recunoatere a formelor. La recunoaterea vorbirii spre exemplu, cele
mai bune rezultate se obin dac se folosesc ambele metode de analiz:
n domeniul timp, pentru identificarea pauzei dintre cuvinte i n
domeniul frecven pentru a putea identifica coninutul unui cuvnt.
Revenind la cele spuse n 2.7.1, ele avnd i o conotaie cu tent
filozofic, merit s observm c n spatele formulrii simple
"transformata Furier transform domeniul timp n frecven" se
ascunde o realitate interesant pentru un observator uman: lumea
obinuit a semnalelor pe care noi le putem percepe se transform ntr-
o alt lume, care nu mai este perceput de simurile noastre. Aceasta
este consecin a faptului c omul cunoate lumea nconjurtoare prin
semnalele cu care el interacioneaz, toate acestea avnd o
component temporal. Este evident c sub noua lor nfiare, n
domeniul frecven, informaia original nu se pierde, exist dar sub
alt form, ca dovad fiind faptul c semnalul original poate fi
reconstruit folosind transformata Fourier invers. Iat deci cum un
operator matematic precum transformata Fourier, reprezint n fond o
modalitate de a crea o "alt lume", ntr-un alt plan. Putem oare afirma
ceva despre materialitatea acestei noi lumi aflat ntr-un plan secund?


2.7.5. Concluzii legate de teorema Fourier i transformata Fourier

Am vzut c att teorema Fourier, ct i transformata Fourier conin n
definiiile lor formulri care pot fi interpretate astfel: "avnd o funcie
u(t), aceasta poate fi scris astfel: ." adic coninutul lor este unul
pur matematic. Este firesc s fie aa i din simplul motiv c Jean
Baptiste Fourier i cei care s-au ocupat ulterior cu dezvoltarea acestui
domeniu au fost matematicieni. Important din punct de vedere
ingineresc este s nelegem realitatea fizic ce se afl n spatele
acestei teoreme i a acestei transformri matematice. O putem face
simplu dac acceptm ca n locul sintagmei "funcie ce poate fi
scris" s folosi exprimarea "semnal real".
Ioan P. MIHU - Procesarea Numeric a Semnalelor 63
innd cont de aceast observaie i de cele prezentate n acest
capitol, putem deci afirma c:

Toate semnalele reale din lumea nconjurtoare se pot descompune
" sinusoide" i reciproc, orice semnal real poate fi obinut
din suma unor " sinusoide".

Aceste afirmaii au o semnificaie deosebit: ne relev care este
elementul structural fundamental al semnalelor. Aa cum n domeniul
construciilor, crmida reprezint elementul structural de baz, n
sensul c orice cldire poate fi fcut din crmizi, iat c i n lumea
semnalelor am identificat un astfel de element structural fundamental
i anume semnalul sinusoidal.

Instrumentele matematice care ne permit calculul parametrilor acestor
"sinusoide" care compun semnalele sunt:
- teorema Fourier pentru cazul particular al semnalelor periodice;
- transformata Fourier pentru semnale neperiodice.

ncheiem aici incursiunea n lumea semnalelor analogice cu meniunea
c multe din principiile aflate aici se vor regsi n capitolele
urmtoare, cele legate de lumea semnalelor digitale.



2.7.6. Aplicaii


Problema 2.21. n ce categorie de semnale se ncadreaz semnalul
provenit de la afiajul numeric cu patru digii, ai unui instrument
numeric de msur?

Problema 2.22. Enunai teorema Fourier i artai importana ei n
rezolvarea regimului periodic nesinusoidal al circuitelor electrice.

Problema 2.23. Enunai transformata Fourier, i artai legtura ei cu
teorema Fourier.

Problema 2.24. Explicai noiunile de:
spectrul unui semnal;
armonica fundamental;
armonici de ordin superior.
64 Procesarea Semnalelor Analogice
Problema 2.25. De ce spectrul unui semnal periodic este discret, iar al
unuia neperiodic este continuu?

Problema 2.26. Cu ce instrumente matematice se poate determina spectrul
unui semnal periodic? Dar al unuia neperiodic?

Problema 2.27. De ce se folosete expresia densitate spectral, atunci
cnd se vorbete de semnale neperiodice?

Problema 2.28. Exprimai o tensiune sinusoidal sub forma unei funcii
complexe, a crei valoare real s fie: 220 sin(100t).

Problema 2.29. S se determine transformata Fourier a urmtoarelor
tensiuni periodice nesinusoidale, toate avnd perioada T, i fiind descrise de
relaiile urmtoare:

[ ]
( )

T t t pentru 0
t 0 t pentru 1
u(t)
1
1

'






T
2
T
t pentru 0

2
T
0 t pentru
T
t
2 sin
u(t)

'

,
_


1
]
1


,
_




[ ]
( )

T t t pentru 0
t 0 t pentru t
u(t)
1
1

'







2.8. Bibliografie


[2.1] Mihu I.P. - Dispozitive i Circuite Electronice vol I, II Editura
ULBS, 1996

[2.2] Gray P.E., Searle C.L. Bazele electronicii moderne, vol I, ET
1973.

[2.3] *** http://www.jhu.edu/~signals

[2.4] *** www.eece.unm.edu/signals/

[2.5] *** http://www.dspguide.com/ch13.htm







3. SEMNALELE N CALCULATORUL NUMERIC





3.1. Semnale analogice / semnale numerice



n capitolul precedent am discutat despre semnale n timp continuu,
numite adesea semnale analogice, semnale specifice proceselor din
lumea real. Obiectivul nostru final este acela de a aduce aceste
semnale n calculator i de a le prelucra cu ajutorul lui.
Figura 3.1 este edificatoare n acest sens, fiindc prezint n acelai
cadru att semnalul analogic iniial, ct i pe cel pe care dorim s-l
obinem.
Simplu spus, calculatorul nu opereaz dect cu numere. Deci avnd un
semnal analogic u
(t)
, pentru a putea fi reprezentat ntr-un fiier n
calculator, el trebuie transformat ntr-o secven de numere. Figura
3.1.b ilustreaz felul n care din semnalul analogic se poate obine o
secven de numere. Pentru aceasta trebuie fcute dou lucruri:
S ne alegem nite momente de timp la care s citim valoarea
semnalului analogic. Valoarea semnalului ntr-un astfel de moment
se numete eantion al semnalului u
(t)
, iar procedeul se numete
eantionare. nelesul termenului eantion este cel comun (bucat,
mostr), iar procedeul de eantionare este similar aceluia prin care
un vnztor de stofe, ne d o bucic (un eantion) dintr-o stof
creia i face reclam.
Fiindc, teoretic, valoarea citit poate fi una cu un numr infinit de
cifre (numr real iraional), ea trebuie transformat ntr-una
adecvat pentru calculator, adic ntr-o valoare cu un numr limitat
de cifre. Procedeul se numete discretizare.
64 Semnalele i Calculatorul

t
u (t)
nTe
u (nTe)
a.
b.
Figura 3.1. Semnal analogic (a) / Semnal numeric (b).


O prim observaie la cele artate este aceea c semnalul numeric este
o aproximaie a celui analogic. Semnalul numeric nu exist dect n
nite momente de timp i, mai mult dect atta, el nu este cel real ci
este unul trunchiat sau rotunjit, pentru a putea fi exprimat cu numr
finit de cifre. Este oare aceast aproximare suficient? Din informaia
iniial coninut de semnalul u
(t)
nu se pierde oare o cantitate prea
mare de informaie? Reciproc, dac ne-am pune problema s refacem
semnalul u
(t )
pornind de la eantioanele sale, mai este posibil s
obinem semnalul iniial? Rspunsul la aceste ntrebri constituie chiar
obiectivul acestui scurt capitol. El este util pentru c ne va ajuta s
vedem clar, n fiecare moment al analizelor ulterioare, relaia n care
se gsete un semnal numeric discret, cu semnalul analogic din care
provine.


3.2. Eantionarea i cuantizarea

Eantionarea i cuantizarea (discretizarea) sunt realizate de ctre
sistemul de achiziie de date (SAD), sistem aflat ntre semnalul
analogic i calculator. De regul, eantionarea se face la intervale
egale de timp, aa cum este realizat i n figura 3.1. Intervalul de
Ioan P. MIHU - Procesarea Numeric a Semnalelor 65
timp dintre dou eantioane se numete perioad de eantionare,
notat n continuare Te. Frecvena de eantionare este Fe=1/Te.
Circuit de
eantionare
Circuit de
cuantizare
u(t) u[nTe]
u[nTe]
Te

Figura 3.2. Schema bloc general a sistemului de achiziie de date.


Desigur c tentaia fireasc, legat de achiziia unui semnal n
calculator, este s prelum ct mai mult timp din evoluia acelui
semnal i n acelai timp s ocupm ct mai puine resurse ale
calculatorului. Pentru aceasta, ar trebui ca din procesul de eantionare
i discretizare s rezulte numere puine i cu cifre puine.
Numere puine nseamn ca eantionarea s se fac rar, ct mai rar
posibil. Este ns evident c dac eantioanele sunt foarte rare, se
pierde informaie din semnal. Spre exemplu, dac n figura 3.1.b,
am fi ales doar al patru-lea eantion, cu siguran anumite variaii
ale semnalului u
(t )
nu ar fi fost redate de eantioanele obinute. Ar
fi fost pierdute variaiile rapide ale semnalului. Pentru a nu se
pierde nici o posibil variaie rapid a semnalului, ar trebui ca
eantionarea s se fac extrem de des. Dar asta implic un spaiu
mare de memorie pentru a reine toate eantioanele din acea
poriune de semnal. Iat deci c se impune un compromis n ceea
ce privete frecvena de eantionare: ea ar trebui s fie ct mai
mare pentru a reprezenta semnalul u
(t)
ct mai fidel, i ar trebui s
fie ct mai mic pentru a reprezenta semnalul cu un volum ct mai
mic de date.
Al doilea deziderat, i anume acela de a reprezenta valoarea
eantionului cu un numr mic de cifre, nseamn de fapt c
reprezentarea acestuia n calculator trebuie fcut cu un numr ct
mai mic de bii. A reprezenta valoarea unui eantion cu un numr
mic de bii nseamn c aproximarea semnalului iniial ar fi una
grosolan care ar duce sigur la pierdere de informaie. Este i cazul
discretizrii folosite n exemplul din figura 3.1.b, unde se observ
c eantioanele nu reflect i creterea semnalului din dreptul
sgeii. Acest lucru este datorat faptului c discretizarea se face pe
66 Semnalele i Calculatorul

nivele prea puine, adic se folosete un numr prea mic de bii
pentru reprezentarea eantionului. i de aceast dat va trebui
fcut un compromis legat de numrul de bii folosit pentru
reprezentare: s fie ct mai mare pentru a reprezenta semnalul u
(t)

ct mai fidel, i s fie ct mai mic pentru a reprezenta semnalul cu
un volum ct mai mic de date.


3.3. Spectrul semnalului eantionat

Pentru a exprima matematic semnalul eantionat din semnalul analogic
original, vom face apel la o funcie similar impulsului Dirac folosit n
teoria semnalelor continue. De aceast dat, vom folosi funcia
impuls unitar discret definit astfel:

'

0 n pentru 0
0 n pentru 1
[n]
(3.1)

Astfel, relaia prin care semnalul continuu se transform matematic
ntr-unul discret este:
u u
k

kTe] [t (t) es(t)

u u
k

kTe] [nTe (kTe) [nTe]



(3.2)

Att din relaia 3.2, ct mai ales din figura 3.1, se nelege uor efectul
de aproximare pe care l are eantionarea, dac ne referim la
domeniul timp n care este definit semnalul u
(t)
. Ce modificri implic
ns eantionarea asupra domeniului de frecven al semnalului u
(t)
?
Vom analiza n continuare acest aspect interesant ncercnd s gsim
relaia dintre coninutul spectral al semnalului analogic u
(t)
i
coninutul spectral al semnalului rezultat prin eantionarea sa. S
ncepem analiza cu un exemplu simplu.
Un semnal continuu este reprezentat n spectru printr-o singur
component n dreptul frecvenei f=0. Prin eantionare va rezulta un
semnal format din eantioane de valoare egal, avnd frecvena Fe.
Evident acesta este un semnal periodic i i se poate aplica teorema
Fourier. Chiar i intuitiv putem construi spectrul de frecven al
semnalului continuu eantionat: va exista o component continu dat
de valoarea medie a eantioanelor care este evident mai mic dect
valoarea iniial a tensiunii continue, i o infinitate de componente
armonice avnd ca frecvene multiplii ntregi ai frecvenei de
eantionare. Iat deci c spectrul semnalului eantionat este diferit de
cel al celui original.
Ioan P. MIHU - Procesarea Numeric a Semnalelor 67
Pentru a determina spectrul unui semnal neperiodic u(t) se aplic
transformata Fourier, a crei definiie este:

dt e u(t) U
-
) j (
t j

(3.3)
Semnalul eantionat, provenit din eantionarea semnalului neperiodic
u(t) este tot neperiodic. Vom nota cu U
es(j)
transformata sa Fourier :


1
]
1


dt e u U
-
k
) j (
t j
kTe) (t (kTe) es



Te k j
(kTe)
t j
kTe) (t (kTe)
e u dt e u
k
-
k


(3.4)

Se poate arta c spectrul obinut dup relaia 3.4 este periodic, avnd
perioada egal cu Fe respectiv 2Fe, dac spectrul se raporteaz la
pulsaie.
e u U
Te Fe) 2 ( n j
(nTe) Fe 2 es
n
) j (

+



) j (
n
es
2 n j Te n j
(nTe)
U e e u

(3.5)

Se poate demonstra [Porat B.], c relaia 3.5 poate fi scris i sub o
form care s evidenieze caracterul periodic i infinit al spectrului
semnalului eantionat, :


( ) ( )
U U
n
Fe 2 j ) j ( n es
Te
1




( ) ... U U U
)) ( j ( )) ( j ( ) j ( Fe 2 2 - Fe 2 -
Te
1
+ + +

(3.6)

n concluzie, avnd un semnal u
(t)
al crui spectru este cunoscut,
spectrul semnalului eantionat cu perioada Te, se compune din:
spectrul original al semnalului, atenuat ns cu factorul 1/Te
o infinitate de replici ale spectrului original, decalate n
domeniul frecven cu multipli ntregi ai frecvenei de eantionare.
i aceste replici sunt atenuate n amplitudine cu acelai factor
1/Te.

68 Semnalele i Calculatorul

Cele afirmate referitor la spectrul unui semnal eantionat sunt ilustrate
n figura 3.3. Pentru exemplificare am ales un semnal u
(t )
care are un
spectru oarecare, cu grija de a preciza c el este mrginit (limitat) de
frecvenele +Fmax i Fmax. n figur sunt reprezentate: semnalul
analogic u
(t)
, funcia impuls unitar ntrziat corespunztor tuturor
eantioanelor i semnalul eantionat, alturi de spectrele lor de
frecven.


t
u (t)
nTe
u [ nTe ]
nTe
[nTe kTe]
Fe 2Fe Fe Fmax Fmax
Fe/2 Fe/2
A
A / Te
Fe 2Fe Fe f
f
f
U ( f )
( f )
U ( f )
Fmax Fmax


Figura 3.3. Spectrul unui semnal eantionat.


Se observ c spectrul semnalului eantionat conine spectrul atenuat
al semnalului original, dar pe lng acesta nc o infinitate de clone
ale lui, situate cu o periodicitate de Fe, pe axa frecvenelor. Se observ
de asemenea c Fe/2 reprezint o ax de simetrie pentru spectrul
original i urmtoarea replic a acestuia.
Iat deci c de ceea ce ne era team, nu am scpat: semnalul
eantionat are spectrul modificat fa de spectrul semnalului original.
n mod firesc trebuie acum s vedem cum trebuie eantionat un
semnal, astfel nct aceast schimbare a spectrului s nu produc
alterri ale informaiei coninute n semnalul iniial.
Ioan P. MIHU - Procesarea Numeric a Semnalelor 69
3.4. Teorema eantionrii (criteriul Nyquist)

Este una din teoremele cu o importan deosebit n teoria i practica
prelucrrii semnalelor. Mai este cunoscut i sub numele de teorema
Shanon i are urmtoarea formulare:

Avnd un semnal u
(t)
, cu un spectru finit, a crui frecvena maxim
este Fmax, atunci frecvena minim de eantionare trebuie s fie
2Fmax, adic:
Fe 2Fmax (3.7)

Observaii:
Referitor la paternitatea acestei teoreme, Nyquist este creditat ca
fiind primul ce face referire la 2Fmax nc din 1928. Shanon
este cel care ofer n 1949 o demonstraie riguroas a teoremei
eantionrii.
Frecvena 2Fmax se mai numete frecvena Nyquist, iar relaia 3.7
poart numele de criteriul Nyquist.
n concluzie, oricum am numi-o: teorema eantionrii, teorema
Shanon sau criteriul Nyquist, adevrul din relaia 3.1 reprezint un
ajutor teoretic i practic foarte important n obinerea unui semnal
numeric pornind de la unul analogic.
Un prim exemplu de aplicaie este eantionarea semnalului audio.
Astfel, se tie c semnalul audio de nalt calitate (HiFi), are o
band de frecven cuprins ntre 20Hz 20 kHz. De aceea
nregistrrile numerice de calitate pe CD, folosesc o frecven de
eantionare de 44,1 kHz. n telefonie, pentru vocea uman,
frecvena maxim este considerat 34 kHz, ceea ce recomand o
eantionare la 810 kHz.
O demonstraie simpl a teoremei eantionrii se face pornind de la
reprezentarea spectral a semnalului eantionat i a celui analogic
din care provine. Am precizat deja c frecvena Fe/2 reprezint o
ax de simetrie ntre spectrul original i prima sa replic. Aa cum
se observ din figura 3.3, este evident c dac Fmax este mai mare
dect Fe/2 atunci spectrele nvecinate, care acum, n figur, nu se
intersecteaz, se vor suprapune. Ce consecine are aceasta? Este
evident c prin suprapunere spectrul iniial nu mai este cel
original, ci este unul alterat. Ori aceasta va avea ca urmare faptul
c la reconstituire, semnalul nu va mai putea fi identic cu semnalul
70 Semnalele i Calculatorul

analogic original. O astfel de situaie se numete aliere. n
concluzie, pentru evitarea fenomenului de aliere, condiia necesar
i suficient, este echivalent criteriului Nyquist, i anume:


2
Fe
F
max
(3.8)


3.5. Alegerea frecvenei de eantionare

Pentru o bun nelegere a teoremei eantionrii, este util a fi analizat,
exemplul din figura 3.4, care arat intuitiv importana alegerii unei
frecvene mai mari dect dublul frecvenei maxime din spectru.











a.
b.
Te
T
Te
T

Figura 3.4. Alegerea unei frecvene de eantionare corecte (a)
i a uneia incorecte (b).
Ioan P. MIHU - Procesarea Numeric a Semnalelor 71
Pentru aceasta s presupunem c avem un semnal sinusoidal u
(t )
,
avnd frecvena F, i pe care ne propunem s-l eantionm spre a-l
memora sub form de fiier de date. n primul exemplu, cel din figura
3.4.a, eantionarea semnalului sinusoidal se face cu o frecven mai
mare dect dublul frecvenei semnalului. Se observ c semnalul
sinusoidal original i eantioanele rezultate se afl ntr-o relaie
biunivoc. Altfel spus, din eantioanele rezultate nu poate fi refcut
dect tot o sinusoid, identic cu cea original, n nici un caz o alta.
n schimb, n exemplul din figura 3.4.b, acelai semnal este eantionat
foarte rar, cu o frecven mai mic dect dublul frecvenei
semnalului. De aceast dat, dac se dorete refacerea semnalului din
eantioanele sale, problema nu mai este unic determinat. Aa cum se
observ se poate reface att semnalul original, dar i un altul, cel
marcat cu linie ngroat. Cu alte cuvinte dup eantionare au rezultat
eantioane care pot aparine tot att de bine i altor semnale, de alte
frecvene, nu doar semnalului original. Ori acest lucru nu poate fi
permis ntr-o achiziie de semnal i anume s obinem eantioane
despre care s nu putem preciza de la ce semnal provin. Acest
fenomen este cel descris de termenul aliere, (sau aliassing n limba
englez). Acest neajuns exemplificat n figura 3.4.b, este datorat
tocmai nerespectrii teoremei eantionrii.

n concluzie, achiziia corect a unui semnal presupune urmtorii pai:
Cunoaterea spectrului de frecven al semnalului ce se dorete
achiziionat.
Aflarea frecvenei maxime Fmax, coninut n spectrul
semnalului.
Alegerea unei frecvene de eantionare care s respecte teorema
Shanon. La limit Fe poate fi dublul frecvenei maxime, dar este
recomandat alegerea unei frecvene de eantionare mai mari cu
cel puin 10%.
Condiionarea semnalului analogic, n sensul limitrii spectrului
su de frecven. Exist multe situaii n care nu se cunoate
frecvena maxim a spectrului semnalului, sau situaii n care
spectrul semnalului este unul foarte larg. n astfel de cazuri este
obligatorie condiionarea semnalului ce urmeaz a fi eantionat,
adic limitarea spectrului su de frecvene la o frecven maxim
Fmax. Acest lucru se realizeaz prin filtrarea semnalului
analogic. n acest scop, semnalul analogic trebuie trecut printr-un
filtru analogic de tip trece jos. Este de dorit ca acest filtru s fie
72 Semnalele i Calculatorul

unul ideal, ca n figura 3.5, a crui frecven de tiere trebuie s fie
egal cu Fmax aleas din criteriul de eantionare. n realitate, nu se
pot construi filtre analogice cu o caracteristic ideal. n figura
3.5, este prezentat att caracteristica unui FTJ analogic ideal
(linie groas), ct i a unuia real, i se observ c n acest din urm
caz frecvena de tiere a filtrului trebuie s fie mai mic dect
Fmax, pentru a ne asigura c frecvenele mai mari dect Fmax sunt
rejectate suficient de bine pentru a fi neglijate atunci cnd se pune
problema respectrii teoremei Shanon.

f
|A|
Ft Fmax
Filtru
Real
Filtru
Ideal
1


Figura 3.5. Caracteristica de frecven pentru condiionarea
semnalului analogic n vederea eantionrii.


Respectarea pailor enumerai este o garanie a faptului c semnalul
analogic va putea fi refcut fr pierdere de informaie din
eantioanele sale.


3.6. Alegerea nivelului de cuantizare

Codificarea unui numr real cu ajutorul unui numr fix de bii
nseamn o trunchiere a acelei valori reale la una cu un numr finit de
cifre. Evident, cu ct se vor folosi mai muli bii, eroarea dintre
numrul real i codificarea sa va fi mai mic.
Pentru alegerea numrului de bii pe care se face cuantizarea este util
s ne imaginm c semnalul reprezentat trunchiat se obine din cel
analogic original prin adugarea la acesta a unui semnal care
Ioan P. MIHU - Procesarea Numeric a Semnalelor 73
evident este unul nedorit, i de aceea poate fi denumit zgomot de
cuantizare. Acest lucru este artat n figura 3.6.

Semnalul analogic original Semnalul cuantizat
Zgomotul de cuantizare


Figura 3.6. Zgomot rezultat prin cuantizare.

Se numete zgomot de cuantizare acel semnal care, suprapus peste
semnalul analogic original, l duce pe acesta la valoarea rezultat prin
cuantizare.
Valoarea efectiv a zgomotului de cuantizare este:

e =
1
q
e de =
q
12
e f
2
- q / 2
+ q / 2

(3.9)

unde q reprezint rezoluia cuantizorului respectiv intervalul dintre
dou valori cuantizate consecutive.
Raportul semnal zgomot al cuantizorului pe N bii se determin
raportnd mrimea domeniului acoperit de cuantizor (S) la zgomotul
de cuantizare:

74 Semnalele i Calculatorul

12 2 =
12 / q
2 q
=
e
S
= SNR
N
N
f e

(3.10)

[ ]
( ) SNR = 20 log 2 12 6 N + 10,8 dB.
d B
N
(3.11)

Relaia 3.11 arat c orice nou bit introdus pentru cuantizarea unui
semnal mbuntete raportul semnal zgomot cu 6 dB.

Probabilitatea de apariie a unor valori ale tensiunii de achiziionat
care s ating marginile domeniului este sczut. Cu toate acestea se
poate lsa o plaj de siguran de t5% pentru posibile valori
accidentale peste cele scontate, la marginea inferioar i la cea
superioar. Rezult o scdere a raportului semnal zgomot cu:

dB. 0,93
2 0,1 - 2
2
log 20
N N
N

,
_

(3.12)

Deci raportul semnal zgomot va fi:

[ ] SNR = 6 N + 9,8 dB.
d B
(3.13)

Pe de alt parte, acest raport se exprim ca fiind:

[ ] SNR = 20 log
Vivv
q
dB.
d B
(3.14)

Din relaiile 3.13 i 3.14 rezult numrul minim de bii pe care ar
trebui fcut cuantificarea:

6
9,8 -
q
Vivv
log 20
min N (3.15)

unde Vivv reprezint valoarea vrf la vrf a tensiunii ce urmeaz a fi
achiziionat, iar q reprezint rezoluia impus, n condiiile unei plaje
de siguran de 5%.

Meniune: Zgomotul de cuantizare nu trebuie asociat numai procesului
de achiziie de date. El intervine i atunci cnd o valoare se exprim
trunchiat/rotunjit pe un numr dat de bii, ca de exemplu:
conversia unei valori din virgul flotant n virgul fix (de
exemplu pe un octet);
exprimarea rezultatului nmulirii a dou numere n virgul fix (de
exemplu pe doi octei), ntr-o variabil avnd acelai numr de octei.
Ioan P. MIHU - Procesarea Numeric a Semnalelor 75
3.7. Reconstrucia semnalului analogic din eantioanele sale

Am vzut c prin eantionare un semnal n timp continuu se transform ntr-
unul n timp discret. Operaia invers, i anume aceea prin care se
reface semnalul analogic pornind de la cel n timp discret, se numete
interpolare. Din punct de vedere matematic, prin interpolare se vor
determina valorile semnalului n toate punctele dintre eantioane,
pornind de la valorile cunoscute ale eantioanelor.
Exist mai multe metode de a reface semnalul din eantioanele sale. O
prim idee ar fi s dm semnalului y
(t)
valoarea eantionului curent x
[n]
i
s pstrm constant aceast valoare, pe ntreaga durat a perioadei de
eantionare. Firesc, valoarea semnalului y
(t)
se va schimba doar la
citirea urmtorului eantion. Ar rezulta un semnal analogic n trepte,
mult diferit fa de semnalul analogic iniial.


3.7.1. Interpolarea de ordinul zero cu pstrarea valorii (zero-order hold)

x(t)
x_(t)
x[n]
1 0 2 3

Figura 3.7. Interpolare cu pstrarea valorii.


Prin aceast metod valoarea eantionului curent este pstrat pe durata unei
perioade de eantionare, pn la citirea urmtorului eantion.


3.7.2. Interpolarea liniar

Matematic, prin aceast metod, se unesc cte dou eantioane adiacente
prin linii drepte, curba iniial (cea n timp continuu) ajungnd s fie
aproximat prin segmente de dreapt.
76 Semnalele i Calculatorul

x[n]
x(t)
x_(t)

Figura 3.8. Interpolare prin segmente de dreapt.


Relaia 3.16 este cea care d valoarea semnalului n oricare punct al
intervalului dintre dou eantioane consecutive, ea servind deci construciei
segmentului de dreapt care unete eantionul curent x
[n]
de cel urmtor
x
[n+1]
. Variabila t ia valori n intervalul [0;Te]. Pentru construcia fiecruia
din segmentele urmtoare, relaia se repet.

t
Te
x x
x y
[n] 1] [n
[n] (t)

+
+
(3.16)

Interpolarea liniar este cea mai simpl metod de interpolare. Pentru a
desena linia ce unete dou eantioane adiacente sunt suficiente doar
valorile acestora.


3.7.3. Interpolarea polinomial

Dac privim rezultatul reconstruciei unei sinusoide prin interpolare liniar,
el apare mult diferit fa de sinusoida iniial. n exemplul din figura 3.8,
este evident c rezultatul interpolrii este mult prea plin de coluri pentru
a fi o sinusoid!
n ideea obinerii unui rezultat ct mai apropiat semnalul original pasul
urmtor este interpolarea cu ajutorul unor curbe n locul segmentelor de
dreapt. Un exemplu de astfel de interpolare este cea de tip Hermite, unde
curba dintre dou eantioane adiacente este modelat de un polinom de
gradul 3, descris de relaia 3.17.

Ioan P. MIHU - Procesarea Numeric a Semnalelor 77
d
Te
t
c
Te
t
b
Te
t
a y
2 3
(t)
+
,
_

+
,
_

+
,
_

(3.17)

Pentru determinarea celor 4 coeficieni este nevoie de 4 eantioane
consecutive, pe care le vom nota: x
[n-1]
, x
[n]
, x
[n+1]
, x
[n+2]
. Se pun
urmtoarele condiii:
curba de interpolare trece prin cele dou puncte din mijloc,
primul i ultimul din cele patru puncte sunt folosite pentru a stabili
forma curbei. n acest sens, se impune ca derivata funciei prin punctul
x
[n]
s fie jumtate din tangenta dreptei ce unete punctele adiacente
x
[n-1]
i x
[n+1]
. Rezult sistemul:

'

+
+
+
2
x x
y
2
x x
y
x y
x y
[n] 2] [n
(Te)
1] - [n 1] [n
(0)
1] [n (Te)
[n] (0)
(3.18)

Din 3.17 i 3.18 se calculeaz valorile coeficienilor a, b, c, d:


( )

'

+
+
+
+
+
+
+
+

[n]
1] - [n 1] [n
2] [n [n]

1] [n 1] [n
2] [n 1] [n 1] [n [n]
x d
2
x x
c
2
x x 5
x x 2 b
2
x x x x 3
a
(3.19)


3.7.4. Interpolarea folosind nucleul sinc

Metodele de interpolare amintite refac cu aproximaie semnalul iniial.
Exist i o metod care teoretic reface semnalul original, cu condiia
ca el s fi respectat teorema Shanon, naintea eantionrii.
Aceast metod utilizeaz o funcie de interpolare de forma:
78 Semnalele i Calculatorul


0 pentru x 1
0 pentru x
x
sin(x)

sinc(x)

'

(3.20)

n acest caz valoarea semnalului ntr-un punct t se obine prin nsumarea
ponderat a tuturor valorilor eantioanelor din semnal, funcia cu care se
face ponderarea fiind chiar funcia sinc(x).

Te
Te k t
sinc u u
- k
Te] [k (t)

,
_

(3.21)

Teoretic, n calculul unei valori a funciei u
(t)
sunt implicai o infinitate de
termeni. n practic se limiteaz numrul eantioanelor luate n calcul la
valori suficient de mari pentru a obine precizii ridicate i suficient de mici
dac exist constrngeri legate de timpul de calcul, aa cum este cazul
interpolrii n timp real. n acest din urm caz, trebuie fcut un rabat mai
mare acurateii, i se ia n calcul o lungime rezonabil a nucleului de
interpolare, care s acopere cel puin 6 eantioane.



3.8. Sistemul de achiziie de date

Sub aceast denumire se nelege partea hardware i logica aferent,
aflate ntre semnalul analogic i calculator. Sistemul de achiziie are
rolul de a realiza conversia analog-numeric, respectiv transformarea
semnalului continuu n timp continuu, ntr-un semnal discret n timp
discret. Schema bloc de principiu este cea din figura 3.9.




Filtru Trece Jos Eantionare i
memorare
CAN
(cuantizare i
codare)


Sistem de
calcul

Te
U
[nTe]

u
(t)

Magistral de control
Fmax f


Figura 3.9. Schema de principiu a procesului de
conversie analog numeric.
Ioan P. MIHU - Procesarea Numeric a Semnalelor 79

a. Filtrul trece jos. Rolul lui este acela de a asigura funcionarea
sistemului n condiiile respectrii teoremei eantionrii. Pentru
aceasta trebuie nlturate din semnalul u
(t )
toate frecvenele mai
mari dect jumtatea frecvenei de eantionare, lucru realizat cu
ajutorul unui filtru analogic de tip trece jos. Fiindc acest bloc
opereaz asupra semnalului ce urmeaz s fie achiziionat, el se
mai numete i bloc de condiionare a semnalului. Tot acum se
mai pot realiza i alte condiionri (prelucrri) ale semnalului
analogic, precum: asigurarea unui nivel maxim al semnalului la o
valoare convenabil, nlturarea prin filtrare analogic a unor
zgomote cunoscute, etc.

b. Circuitul de eantionare i memorare. Acest bloc este impus de
blocul de conversie analog numeric. n logica procesului de
conversie este evident faptul c acesta citete valoarea semnalului
analogic la un moment dat. S notm acest moment ales pentru
conversie cu t
i
. Citirea i transformarea rezultatului citirii ntr-un
numr necesit un timp de conversie, timp care poate fi extrem de
scurt la convertoarele performante, dar niciodat nul. Pe toat
durata acestui timp de conversie, trebuie ca semnalul analogic s
nu-i modifice valoarea. Blocul de eantionare i memorare
realizeaz tocmai acest lucru i anume menine constant valoarea
semnalului, egal chiar cu valoarea la momentul t
i
, pe toat durata
conversiei analog numerice.

c. Convertorul analog numeric (CAN). Este circuitul care citete
valoarea semnalului analogic i o transform ntr-o valoare
numeric. Momentul de start conversie trebuie s fie sincronizat
cu ciclul de eantionare i memorare al blocului anterior. Principial
un CAN nglobeaz dou funcii:
Discretizarea domeniului de variaie a semnalului. O achiziie
de mare acuratee presupune realizarea unui numr mare de
intervale de discretizare, deci trebuie folosit un numr mare
de bii.
Codificarea numeric a fiecrui interval. Principalele tipuri
de codificare folosite sunt:
- Pulse Cod Modulation (PCM): este codificarea clasic
binar, cu puteri ale lui 2, ordonate cresctor
- cod Gray: oricare dou intervale adiacente difer ntre
ele printr-un singur bit

80 Semnalele i Calculatorul

d. Sistemul de calcul este cel care gestioneaz n ansamblu procesul
de achiziie. El se afl n permanent dialog cu blocul de conversie,
care i transmite, printre alte informaii, i pe aceea referitoare la
momentul terminrii procesului de achiziie. Abia dup aceasta, se
va starta achiziia unui nou eantion. Sistemul de achiziie de date
prezentat poate avea o mai mare sau mai mic autonomie n a
gestiona datele sau n a le transfera altui sistem de calcul. Un
sistem de achiziie performant, ca de exemplu placa de sunet a
unui PC, are un mare grad de autonomie, dar funcionarea ei
rmne supervizat de unitatea central a calculatorului.

Ce face sistemul de calcul cu datele furnizate de sistemul de achiziie?
Le poate stoca, transmite sau procesa n diverse scopuri. Una din
posibilitile de gestionare a datelor rezultate dintr-un proces de
achiziie, este cea de fiier de date. Exist dou mari categorii de
fiiere de date generate n urma unui proces de achiziie:

Fiiere coninnd cronologic numai datele rezultate din achiziie.
Acest mod de achiziie are dezavantajul c nu ofer o informaie
esenial i anume frecvena de eantionare. n acest caz,
utilizatorul datelor din fiier trebuie s aib cunotin despre
aceasta, altfel din datele fiierului nu se poate reconstitui semnalul.
Lungimea fiierului rezultat depinde de:
- numrul datelor achiziionate;
- formatul datelor, respectiv numrul de octei pe care este
codificat fiecare eantion.
Fiiere coninnd att datele rezultate din achiziie ct i alte date
precum: frecvena de eantionare, lungimea fiierului etc. Toate
aceste date suplimentare se pun de obicei ntr-un header. Pentru a
putea fi citite de un utilizator acesta trebuie s cunoasc exact
structura cu care s-a generat fiierul. Un exemplu de astfel de
fiiere sunt fiierele *.wav, folosite pentru achiziia de semnale
audio.



3.9. Aplicaii


Problema 3.1.
[n]
reprezint funcia impuls unitar definit de relaia 3.1.
Desenai urmtoarele semnale:
a. x
[n]
=
[n]
b. x
[n]
=
[n-1]
c. x
[n]
=
[n]
+
[n-1]

Ioan P. MIHU - Procesarea Numeric a Semnalelor 81
Problema 3.2. Se d o tensiune sinusoidal n timp continuu, cu frecvena
de 100 Hz i amplitudinea de 2V i faz iniial nul. Aceasta se
eantioneaz cu o frecven de 1 kHz.
Este corect aleas frecven de eantionare ?
S se deseneze semnalul eantionat.
Este tensiunea eantionat periodic? Dac da, care este
perioada?
Care este perioada tensiunii eantionate dac frecvena de
eantionare este 990 Hz?

Problema 3.3. Se d tensiunea: u(t) = 10 + 2 sin (100t) + 10sin (200t).
Desenai la scar tensiunea u(t) n raport cu timpul.
Desenai spectrul tensiunii u(t).
Se trece tensiunea u(t) printr-un filtru trece jos ideal avnd frecven
de trecere Ft= 150Hz. Scriei expresia tensiunii de la ieirea filtrului.
Desenai spectrul semnalului de la ieirea filtrului.
Care este frecvena minim de eantionare a tensiunii u(t)? Dar
frecvena maxim de eantionare?

Problema 3.4. Se d semnalul
[ ] t) k cos(100 t) k sin(10 0,1 u(t)
10
1 k

+ [V]
Desenai spectrul tensiunii u(t).
Care trebuie s fie banda de trecere a unui amplificator care s
amplifice semnalul fr a-l distorsiona?
Cu ce frecven trebuie eantionat semnalul pentru a putea fi refcut
din eantioanele sale?

Problema 3.5. Alegnd o frecven de eantionare care s satisfac
criteriul Nyquist, generai prin calcule un fiier de date care s
conin un semnal sinusoidal, avnd urmtorii parametrii:
frecvena: 1kHz;
amplitudinea: 100;
faza iniial: 0.

Problema 3.6. Alegnd o frecven de eantionare care s nu satisfac
criteriul Nyquist, generai prin calcule un fiier de date care s
conin un semnal sinusoidal, avnd urmtorii parametrii:
frecvena: 1kHz;
amplitudinea: 100;
faza iniial: 0.
82 Semnalele i Calculatorul

Afiai apoi semnalul obinut i evideniai fenomenul de aliere.

Problema 3.7. Fie semnalul: u
(t)
= 100 sin(1000t). S se aleag o
frecven de eantionare corect i s se precizeze dimensiunea
fiierului de date rezultat, dac semnalul se achiziioneaz timp de 3
minute cu o rezoluie de 2 octei / eantion.

Problema 3.8. Cum arat spectrul unui semnal rezultat n urma
interpolrii liniare a unui semnal eantionat, fa de spectrul
semnalului analogic din care acesta a provenit?

Problema 3.9. Se numete supraeantionare, procesul prin care unui
semnal eantionat i se mai adaug eantioane intermediare. S se
efectueze supraeantionarea, unui semnal audio coninut ntr-un fiier
*.wav, intercalnd la jumtatea intervalului de eantionare cte un nou
eantion. , rezultat din calcule.

Problema 3.10. Ce volum au datele rezultate din achiziia timp de un
minut, a unui semnal audio monocanal? Frecvena de eantionare este
Fe = 44 kHz iar eantionul se codific pe 16 bii. Dar a unui semnal
stereo? De ce lungimea unui fiier *.wav n care s-ar pstra aceste date
este mai mare dect mrimea rezultat din calcul?




3.10. Bibliografie


[3.1] Toma L. , "Sisteme de achiziie i prelucrare numeric a
semnalelor", Editura de Vest, Timioara, 1996.

[3.2] M. Bodea, I. Mihu, L. Turic, V. Tiponu, Aparate electronice
pentru msurare i control, EDP, Bucureti, 1985.

[3.3] A. Ignea, Msurarea electric a mrimilor neelectrice, Editura de
Vest, Timioara, 1996.

[3.4] *** http://www.jhu.edu/~signals/sampling/index.html

[3.5] *** http://www.dspguide.com/ch3.htm

[3.6] *** http://www.dsptutor.freeuk.com/aliasing/AD102.html

[3.7] *** http://www.bores.com/courses/intro/index.htm








4. SEMNALE I SISTEME DISCRETE




4.1. Sinusoida discret


Dup ce n capitolul anterior am vzut cum se propag un semnal
analogic printr-un sistem liniar, este momentul s vedem cum se
propag un semnal discret n timp discret. Pentru o exprimare mai
condensat, vom folosi sintagma semnal discret n loc de semnal
discret n timp discret. La fel ca i n capitolul precedent, vom alege
pentru analiz semnale avnd o form de variaie armonic
(sinusoidal). Pentru a putea face o bun paralel ntre ce se ntmpl
n lumea analogic i ce se ntmpl n lumea discret este bine s
utilizm chiar semnalul discret rezultat din eantionarea semnalului
analogic sinusoidal, analizat n capitolul precedent.
Pentru a-l putea deosebi de cel analogic, vom folosi pentru semnalul
discret notaia cu paranteze ptrate: x
[n]
.
Sinusoida discret se obine din semnalul analogic sinusoidal de
frecven F i amplitudine A, fie prin eantionare ntr-un sistem de
achiziie de date, fie pe cale matematic astfel:

,
_

n
Fe
F
2 sin A nTe) F 2 sin( A t) ( sin A x x
nTe t
nTe t
(t) [n]


Merit remarcat faptul c dei secvena generat provine de la un
semnal periodic, eantioanele rezultate pot avea perioada semnalului
analogic, pot avea o alt perioad, sau pur i simplu pot s nu fie
periodice. Condiia de periodicitate este:

x
[n+No]
= A sin (2 n F/Fe + 2k )

2 (n+N
0
) F/Fe = 2 n F/Fe + 2k

84 Sisteme i Semnale n Timp Discret
Rezult: N
0
= k Fe/F

Deci secvena este periodic doar dac raportul Fe/F este un numr
raional. Indiferent de caracterul periodic sau neperiodic al secvenei
de numere x
[n]
, nu trebuie uitat c acestea reprezint n cazul de fa o
sinusoid a crei frecven este F, aceeai ca i frecvena semnalului
x
(t)
din care a provenit. Indiferent de valoarea raportului Fe/F,
frecvena F coninut n secvena x
[n]
este aceeai.
Evident, sinusoida discret este doar unul din mulimea semnalelor
discrete. Ca i semnalele analogice din care provin, semnalele discrete
pot face obiectul mai multor clasificri, aa cum am vzut n primul
capitol. Cu precizarea c definirea este aceeai ca i la semnalele
analogice, iat cteva categorii:
semnal discret monocanal / multicanal;
semnal discret unidimensional / multidimensional;
semnal discret determinist / nedeterminist, etc.


4.2. Sisteme numerice

Semnalul pe care-l vom aduce la intrarea unui sistem digital cu scopul
de a fi prelucrat este o secven (un ir) de numere, rezultate din
conversia analog numeric a semnalului analogic, iar semnalul de la
ieire va fi tot o secven de numere, aa cum este ilustrat n figura
4.1.

x
[n]
y
[n]

Figura 4.1. Sistem pentru prelucrarea semnalelor numerice.


n aceste condiii, sistemul care va prelucra (procesa) secvena de
numere x
[n]
nu poate fi altceva dect un algoritm de calcul, adic
secvena de numere de la ieirea sistemului se obine n urma unor
calcule avnd ca date de intrare elementele secvenei x
[n]
.
Aidoma sistemelor ce proceseaz semnale analogice, i sistemele
numerice pot fi clasificate dup mai multe criterii. Dintre sistemele
care vor face obiectul capitolelor urmtoare, cele mai importante sunt
urmtoarele:
Ioan P. MIHU - Procesarea Numeric a Semnalelor 85


4.2.1. Sisteme discrete liniare


Sistemul discret se numete liniar dac algoritmul de calcul este o
funcie liniar, ca de exemplu:
x y
k] - [n k [n]
1 - N
0 k

(4.1)


Relaia 4.1 arat c eantionul curent y
[n]
se obine ca i combinaie
liniar a ultimelor N eantioane din secvena de intrare. Dac secvena
de intrare x
[n]
provine din eantionarea unui semnal analogic
sinusoidal, iar procesarea se face cu algoritmi liniari, rezultatul
procesrii va fi ntotdeauna un semnal numeric care prin refacere va
genera tot un semnal sinusoidal.

Dac la intrarea unui sistem (algoritm de calcul) liniar se aduce o
sinusoid discretizat, la ieirea va apare tot o sinusoid discretizat,
dar avnd:
amplitudinea diferit (mai mare sau mai mic), fa de
amplitudinea sinusoidei discretizate de la intrare;
posibil ntrziere, mai mare sau mai mic, fa de sinusoida
discretizat de la intrare (faz diferit fa de cea de la intrare)

Se poate arta uor c cele afirmate mai sus sunt adevrate. Pentru
aceasta relaia 4.1 trebuie privit ca fiind rezultatul eantionrii la
momentul t = nTe, a sumei mai multor sinusoide. Eantionarea
semnalului x
(t)
= A sin (t) la momentul t = nTe va produce pe x
[n]
.
Eantionul x
[n1]
rezult din eantionarea unei alte sinusoide, tot la
momentul nTe, dar ntrziat cu Te.

y
(t )
.=
0
A sin(t) +
1
A sin[(t Te)] +
2
A sin[(t 2Te)] +.

Deoarece mulimea funciilor sinusoidale are o structur algebric de
grup, y
(t)
este tot o sinusoid fiind rezultatul nsumrii a N sinusoide
fiecare din ele defazate fa de precedenta cu Te.

y
[n]
.=
0
A sin [(nTe )] +
1
A sin [(nTe Te)] +

y
[n]
.=
0
x
[n]
.+
1
x
[n1]
+
2
x
[n2]
.+

86 Sisteme i Semnale n Timp Discret
Pentru N astfel de sinusoide se obine chiar relaia 4.1. Rezult c,
eantioanele y
[n]
provin din eantionarea unei sinusoide, deci semnalul
sinusoidal nu este distorsionat la trecerea printr-un sistem liniar.


4.2.2. Sisteme discrete invariante n timp

Sisteme invariante n timp sunt acele sisteme la care rspunsul
sistemului va fi acelai, indiferent de momentul aplicrii semnalului
de intrare.
Dac y
[n]
.= S {x
[n]
}, atunci y
[n-No]
.= S {x
[n-No]
} unde prin S {x
[n]
}, am
semnificat transformarea suferit de semnalul x
[n]
la trecerea prin
sistem. Cu alte cuvinte, aplicnd la intrarea unui sistem invariant n
timp acelai semnal x
[n]
dar ntrziat cu N
0
perioade de eantionare,
sistemul va produce acelai semnal de ieire dar ntrziat tot cu N
0

perioade de eantionare.


4.2.3. Sisteme discrete cauzale /necauzale

Sistemele discrete cauzale sunt cele la care mrimea de ieire nu
depinde dect de valori ale mrimii de intrare anterioare momentului
curent. Altfel spus, ieirea nu depinde dect de trecut, nu i de viitor.
Exemplu:
Sistemul descris de algoritmul y
[n]
.= 3x
[n]
+2x
[n1]
x
[n2]
este cauzal,
iar cel descris de algoritmul y
[n]
.= 3x
[n+1]
+2x
[n]
x
[n1]
este necauzal.


4.2.4. Sisteme discrete recursive / nerecursive
Un sistem discret se numete recursiv, dac ieirea sa depinde att de
valori din secvena de intrare x
[n]
,ct i de valori din secvena de ieire
y
[n]
. Sistemul se numete nerecursiv atunci cnd ieirea depinde doar
de valori din secvena de intrare.
Exemplu:
Sistemul y
[n]
.= 3x
[n]
+2x
[n1]
x
[n2]
este nerecursiv;
Sistemul y
[n]
.= 3x
[n]
+2y
[n1]
este recursiv.


4.2.5. Sisteme discrete stabile / instabile
Un sistem este stabil atunci cnd aducndu-se la intrarea sa un semnal
de valoare limitat (semnal mrginit), ieirea sa va produce tot un
semnal de valoare limitat. Altfel spus, ieirea sistemului nu va tinde
la infinit, dac intrarea nu tinde la infinit.
Ioan P. MIHU - Procesarea Numeric a Semnalelor 87

Exemplu:
Sistemul: y
[n]
.= 3x
[n]
+0,002y
[n1]
este stabil.
Sistemul: y
[n]
.= 3x
[n]
+2y
[n1]
este instabil, deoarece dup prima
valoare x
[n]
mai mare dect zero, efectul cumulativ produs de termenul
y
[n1]
va face ca ieirea sistemului s tind la infinit atunci cnd n
crete suficient de mult.

Mai exist i alte categorii de sisteme discrete, care vor fi amintite la
momentul potrivit.


4.3. Exemple de procesare analogic versus procesare digital


Sistemele discrete pe care le vom utiliza n continuare pentru
procesarea semnalelor vor fi fr excepie sisteme liniare, cauzale i
invariante n timp.
nainte de toate, legat de propagarea unui semnal sinusoidal, mai
merit menionat proprietatea remarcabil a tuturor sistemelor liniare,
proprietate care poate fi sintetizat astfel:
Sinusoida strbate un sistem liniar fr a fi deformat,
indiferent dac sistemul este unul continuu sau discret.

Pentru a avea de la bun nceput o imagine corect n legtur cu
procesarea semnalelor numerice este util s analizm cazul ctorva
prelucrri simple de semnale digitale, care se propag prin sisteme
liniare, cauzale i invariante n timp. Mai mult dect att, vom face o
analiz n paralel a procesrii unei sinusoide analogice i a uneia
discrete pentru a vedea ce condiii trebuie s ndeplineasc algoritmul
de calcul pentru ca cele dou procesri s produc aceleai efecte.


4.3.1. Amplificarea unui semnal analogic i a unuia discret

n exemplul propus n figura 4.2, tensiunea sinusoidal x
(t)
este
trecut prin divizorul rezistiv format din rezistenele R
1
i R
2
.
Tensiunea de ieire este tot sinusoidal, de amplitudine mai mic i
avnd aceeai faz cu sinusoida de intrare.
88 Sisteme i Semnale n Timp Discret


Semnal analogic Semnal discret

X(t) Y(t)
R1
R2



X[nTe] Y[nTe]


X(t)
Y(t)
t


n
Y[n]
X[n]

y
(t)
=
2 1
2
R R
R
+
x
(t)
= x
(t)



y
[n]
= x
[n]


Figura 4.2. Amplificarea unui semnal analogic i a unuia discret.


De menionat c uneori n locul termenului amplificare, atunci cnd
factorul de amplificare este subunitar, se folosete termenul atenuare.
Algoritmul cu care putem obine acelai efect asupra semnalului
discret este o simpl multiplicare cu coeficientul . Rezultatul este
evident: sinusoida de la ieire este n faz cu cea de intrare, dar de
amplitudine mai mic:

x
(t )
= A sin(t) y
(t)
= A sin(t)

y
[n]
= x
[n]




4.3.2. Defazarea unui sinusoide analogice i a uneia discrete

De data aceasta, pe lng faptul c sinusoida y
(t )
este de amplitudine
diferit, ea este i de faz diferit fa de sinusoida x
(t)
.

Ioan P. MIHU - Procesarea Numeric a Semnalelor 89


Semnal analogic Semnal discret

x(t) y(t)
R
C



x[nTe] y[nTe]


x(t)
y(t)
t


n
y[n]
x[n]


(t)
(t)
(t)
y
dt
dy
RC x +
y
(t)
.= A sin(t+)

unde:

'

RC) arctg( -
C R 1
1
A
2 2 2





] 1 - n [ ] n [ ] n [
y
1 a
a
x
1 a
1
y
+
+
+



unde:
Te
RC
a

Figura 4.3. Defazarea unui semnal analogic i a unuia discret.

Nu vom intra n detalii legate de modul de calcul pentru semnalul
analogic, prezentate deja n 2.5.1, i nici pentru cel discret, care vor fi
prezentate ntr-un capitol viitor. Scopul exemplului este acela de a
arta faptul c exist un algoritm de calcul care duce la rezultate
absolut similare ntre semnalul discretizat i cel analogic.
Pentru moment, ne putem gndi deja la dou categorii de probleme:
Aflarea amplitudinii i fazei sinusoidei discretizate de la ieire
atunci cnd se cunoate algoritmul de calcul a secvenei y
[n]
.
Determinarea algoritmului de calcul pentru a obine la ieire o
amplitudine i o faz impus.
Pentru a putea soluiona cele propuse va trebui prezentat aparatul
matematic de prelucrare numeric pe care-l vom folosi n acest scop.
90 Sisteme i Semnale n Timp Discret

4.4. Transformarea Fourier Discret (TFD)


Termenul discret din denumirea acestei transformri nu are conotaia
colocvial, aceea de a nu divulga o tain ncredinat, ci face referire la
categoria de semnale n timp discret.


4.4.1. Definiia transformrii Fourier pentru semnale discrete

Aa cum am vzut anterior c exist transformri ale semnalelor
continue, vom defini n continuare i transformri ale semnalelor
discrete. ntre multe alte transformri posibile ale semnalului discret,
Transformarea Fourier Discret ocup un loc aparte ca importan.


Avnd o tensiune discret u
[nTe]
, prin definiie, Transformarea
Fourier Discret (TFD) a N eantioane din aceast tensiune este:

TFD {u
[nTe]
} = U
TFD

[n o]
=
1 N
0 k
Te k o n j
e
[kTe]
u


(4.2)

unde: n = 0, 1, 2, ..., (N-1).


Similar cu Transformata Fourier, ntlnit n capitolul dedicat
semnalelor analogice, esena definiiei 4.2 este faptul c prin
Transformarea Fourier Discret domeniul timp este transformat n
domeniul frecven. Acest fapt este ilustrat n figura 4.4.


TFD ofer informaii despre spectrul de frecven al unui semnal.
TFD transform N eantioane ale unui semnal din domeniul timp, n
N valori complexe din domeniul frecven.


Cele N eantioane din domeniul timp reprezint un interval
T
0
=(N-1)Te unde, Te reprezint frecvena de eantionare a semnalului
discret.
Despre cele N eantioane produse de TFD se poate afirma c
reprezint spectrul discret al secvenei de N eantioane din domeniul
timp.

Ioan P. MIHU - Procesarea Numeric a Semnalelor 91


Te
u[nTe]
2Te 3Te (N-1)Te nTe
t
N eantioane;
U
TFD
[n
0
]

0
2
0
3
0
n
0
(N-1)
0
N eantioane

f
Fe
2F
0
F
0=
Fe/N
(N-1)Fe/N
F
0



Figura 4.4. Transformarea domeniului timp n domeniul frecven
prin Transformarea Fourier Discret.


Observaii:
TFD este cel mai important instrument pentru procesarea semnalelor
discrete.

Similar cu teorema Fourier pentru semnale continue, transformarea
Fourier Discret furnizeaz spectrul unui semnal discret, adic
mulimea semnalelor armonice n care semnalul discret poate fi
descompus.

Se observ din figura 4.4 c cele N armonici ale TFD se gsesc
distanate ntre ele la intervale F
0
, dac ne raportm la frecven,
respectiv
0
dac ne raportm la pulsaie:


N
Fe 2

N
Fe
F
0 0

(4.3)

92 Sisteme i Semnale n Timp Discret
Rezult c domeniul de frecven [0 Fe] n care U
TFD
ia valori
este mprit n N intervale identice. Armonicile rezultate din
TFD vor avea valori ale frecvenelor, cu un ecart de Fe/N ntre
ele. Prima armonic ocup frecvena zero, a doua ocup frecvena
Fe/N, urmtoarea 2 Fe/N i aa mai departe. Fiindc sunt N
armonici, ultima frecven va avea ordinul (N-1), deoarece ordinul
primei armonici a fost zero. Iat deci c ultima armonic nu ocup
frecvena Fe, aa cum am fi fost tentai s credem, ci ea se afl la
o distan de Fe/N de acea valoare. Aceast situaie este ilustrat
accentuat n figura 4.4, unde poziiile primei armonici i a ultimei
sunt marcate cu segmente ngroate. Acest fapt este bine s fie
reinut avnd n vedere reprezentarea grafic a spectrului
semnalului.

Aa cum s-a vzut n capitolul precedent, o secven rezultat prin
eantionarea unei poriuni dintr-un semnal analogic are un spectru
de frecven continuu n intervalul -Fe/2 Fe/2, multiplicat apoi
prin periodicitate att n domeniul frecvenelor negative ct i
pozitive. innd cont c:

U
TFD [n o]
= U
TFD [n (o + 2)]
(4.4)

Rezult c cele N valori din domeniul frecven, furnizate de TFD,
vor fi i ele multiplicate prin periodicitate, astfel c spectrul
secvenei se ntinde pe ntregul domeniu al frecvenelor pozitive i
negative.

TFD produce ca rezultat numere complexe, n spatele acestora
ascunzndu-se armonici (semnale sinusoidale) reale. Pentru
aflarea amplitudinii i fazei acestora avem:

U
TFD [n o]
= Re
[n o]
+jIm
[n o]
(4.5)

'


+
] n [ D ] n [ D
] [
] n [
] [n
2
] [n
2
] [n ] [n TFD
0 0
0
0
0
0 0 0
F ) arg(F
Re
Im
arctg
Im Re U
n

(4.6)
Notaia TFD{u
[nTe]
} reprezint operatorul Fourier Discret. Acest
operator aplicat celor N eantioane din u
[nTe]
, le transform n N
eantioane U
TFD [n]
care reprezint N armonici complexe, n fiind
ordinul acestora.
Ioan P. MIHU - Procesarea Numeric a Semnalelor 93

Relaia 4.2 transform o funcie de variabil timp discret u
[nTe]

ntr-o nou funcie U
TFD[n]
, depinznd de o alt variabil ,
numit pulsaie discret. Notaia nu se deosebete cu nimic fa
de folosit la semnalele continue. O vom folosi doar pentru a
accentua faptul c ne situm ntr-un domeniu discret.

Dei relaia de definiie este dat n funcie de pulsaie, se poate
spune la fel de bine c U
TFD
este n funcie de frecven;

Unitatea de msur pentru U
TFD
este Voltul, n ipoteza c u
(t)
este o
tensiune.
[U
TFD [n]
]
SI
= 1V.

innd cont c:


0
= 2 F
0
= 2 Fe/N = 2 / NTe

relaia 4.2 poate fi rescris mai simplu, pentru implementarea
algoritmului de calcul al TFD pentru o secven de N eantioane:


e u U
1 N
0 k
n] [ TFD
N
k n 2
j
[k]

(4.7)

pentru n = 0,1,2,, N-1.


Calculul amplitudinii i fazei componentelor armonice (relaiile
4.4 i 4.5) poate fi fcut pornind de la relaia 4.7.

Prin TFD i se asociaz funciei u
[nTe]
, o alt funcie U
TFD[n]
.
Asocierea este biunivoc, adic unei secvene de N eantioane din
u
[nTe]
, i vor corespunde N armonici i reciproc:

u
[n]
U
TFD [n ]
(4.8)

Relaia invers prin care se transform domeniul frecven n
domeniul timp se numete Transformarea Fourier Discret
I nvers (TFDI ) i este definit astfel:


TFDI{U
TFD[n]
} = u
[n]
= e U
N
1

1 N
0 k
o] k [ TFD
Te n o k j

(4.9)

94 Sisteme i Semnale n Timp Discret

TFDI permite reconstituirea semnalului original u
[n]
din
componentele sale spectrale, determinate anterior cu ajutorul TFD.

Pentru calcul efectiv al TFDI relaia 4.9 poate fi rescris astfel:

e U
N
1
u } TFDI{U
1 N
0 k
TFD n] [ n] [ TFD
N
k n 2
j
[k]

(4.10)



4.4.2. Legtura dintre TFD i Transformata Fourier

Considernd c tensiunea u
[nTe]
provine din tensiunea u
(t)
eantionat cu
frecvena Fe=1/Te, atunci TFD poate fi privit ca un caz particular al
transformatei Fourier. Pentru aceasta trebuie pornit de la relaia 2.53 de
definiie a transformatei Fourier, n care se procedeaz la schimbrile
urmtoare:
t = nTe
dt = Te
= k
0


Lund n discuie doar N eantioane, integrala 2.53 se reduce la o sum de N
termeni :

F
(j) =
dt e u(t)
-
t j

Te e u
1 N
0 k
Te n o k j
[nTe]




Deci: F
(j)
Te U
TFD [n]
(4.10)

Relaia 4.10 are semnificaia urmtoare: valoarea transformatei Fourier,
F
(j)
, n punctele de eantionare ale domeniului frecven impus de TFD, se
poate obine din valoarea transformatei Fourier Discrete U
TFD
prin
multiplicare cu Te. Aceast observaie este util deoarece face posibil
aproximarea transformatei Fourier cu ajutorul TFD.
Relaia 4.8, reconfirm unitatea de msur a transformatei Fourier. n
ipoteza c u
(t)
este o tensiune, atunci:
[F
(j)
]
SI
= 1Vs = 1VHz
1




Ioan P. MIHU - Procesarea Numeric a Semnalelor 95


4.5 Transformarea Fourier Discret Rapid (TFDR)

Determinarea transformrii Fourier necesit un volum mare de calcule. n
calculul relaiei 4.7, pentru un eantion se fac N nmuliri i N-1 adunri.
Deci, pentru cele N eantioane crora li se aplic TFD sunt necesare N
2

nmuliri de numere complexe i N(N-1) adunri de numere complexe. Dac
N are valori mici, volumul de calcule nu reprezint un impediment. Dac
ns N este de valoare mare, atunci efortul de calcul trebuie luat serios n
seam. Spre exemplu, pentru N=10
3
, vor avea loc un milion de nmuliri de
numere complexe! Acest lucru este un dezavantaj major atunci cnd se pune
problema procesrii semnalelor n timp real.
S-au fcut numeroase cercetri pentru reducerea timpului de calcul. Cele
mai fructuoase s-au dovedit cele realizate de J. W. Cooley i J. W. Tukey n
1965. Acetia au observat c funcia e
jx
este periodic, i ca urmare este
posibil ca pentru anumite valori ale lui x, s nu mai fie nevoie s facem
calculele, fiindc, datorit periodicitii, acest rezultat a mai fost obinut o
dat, cu o perioad mai nainte. innd cont de aceast observaie,
algoritmul Cooley-Tukey reduce numrul de calcule de la N
2
la Nlg
2
N.
Pentru N=10
3
, numrul de nmuliri complexe este de 10
3
lg
2
10
3
= 9.965.
Deci 9.965 de nmuliri complexe n loc de un milion. Pentru a ne convinge
c algoritmul este eficient, vom relua exemplul din [4], pentru N=10
6
. n
acest caz, ntr-un sistem cu un ciclu CPU de o microsecund, timpul de
calcul al TFD ar dura aproximativ 2 sptmni, (!!), iar al TFDR doar 30
sec. Iat deci c rezultatul este unul remarcabil! Din acest motiv, aceast
transformare merit s se numeasc rapid.
Pentru ca cele amintite s aib loc, trebuie ndeplinit o singur condiie:
numrul de eantioane pentru care se calculeaz TFD s fie putere
ntreag a lui 2, aa cum este sintetizat n 4.11:


e u U
1 N
0 k
n] [ TFDR
N
k n 2
j
[k]



cu condiia:

'


natural i ntreg p cu , 2 N
1) (N ..., 0, n
p
(4.11)

Algoritmul Cooley-Tukey este descris n majoritatea lucrrilor de
specialitate din domeniul procesrii numerice a semnalelor: [1], [2] etc.
96 Sisteme i Semnale n Timp Discret
Din acest motiv las cititorului plcerea de a descoperi logica prin care
algoritmul evit repetarea unui numr mare de calcule, datorit periodicitii
dup numrul k, a funciei e
j2nk / N
. Algoritmul este detaliat i disponibil
pentru implementare soft n [4].

n concluzie, TFDR nu este altceva dect o versiune a TFD, care are nevoie
de un timp de calcul mai redus. Singura constrngere impus de TFD este
aceea de a alege un numr de eantioane putere ntreag a numrului 2.
Nevoia de a reduce timpul de calcul nu s-a oprit la gsirea de algoritmi
rapizi, ci a mers mai departe i n domeniul implementrii lor. Cel mai
remarcabil succes n acest domeniu a fost realizarea procesoarelor
specializate pentru procesarea numeric de semnale, cunoscute n literatur
ca DSP processors. Avnd o structur hard adecvat, aceste
microprocesoare posed instruciuni specifice pentru implementarea uoar
a algoritmilor de forma 4.7, dar mai ales pentru execuia lor rapid.



4.6. La ce folosesc transformrile Fourier?

Pentru a da un sens pragmatic demersurilor teoretice de pn acum, iat n
continuare cteva aplicaii concrete ale transformatei Fourier.

4.6.1. Calculul spectrului unui semnal

Aa cum am mai precizat n capitolele anterioare, transformarea Fourier a
unui semnal, permite analiza semnalului n raport cu frecvena, analiz
extrem de important n studiul ulterior al modului n care semnalul se
propag prin diverse sisteme. TFD i TFDR sunt instrumente care permit
calculul facil al spectrului de frecven al unei secvene de date. Spectrul
secvenei de date realizat pe baza relaiei 4.7 reprezint un alter ego al
acesteia, putnd fi folosit la identificare, clasificare, comparare etc.
Trebuie s vedem acum dac spectrul secvenei de date este acelai cu
spectrul semnalului din care aceasta s-a prelevat. Prin analogie cu teorema
Fourier, care se refer la semnale periodice care au un spectru discret,
trebuie menionat c, similar n cazul TFD, dac dispunem de un spectru
discret, nseamn c secvena de date de la care acesta provine este
periodic. Deci secvena de N date creia i se aplic TFD, este privit ca
provenind dintr-un semnal periodic, avnd perioada egal cu N Te unde Te
reprezint perioada de eantionare. Reciproc, dac aplicm TFD unei
secvene de N date, semnalul cruia i va corespunde spectrul rezultat se
obine multiplicnd prin periodicitate aceast secven.
Ioan P. MIHU - Procesarea Numeric a Semnalelor 97

Cele menionate au consecine importante. S analizm exemplul urmtor n
care TFD este aplicat iniial unei secvene ce conine un numr ntreg de
perioade, dintr-un semnal sinusoidal, situaie reflectat fidel n spectrul su.

b
a
t = k T
t k T
T = (N-1) Te
t
|H(j)|
f
t
f
|H(j)|
t
t
TFD
TFD


Figura 4.5. Spectrul dat de TFR pentru secvenele de date.


Se observ c n al doilea caz, atunci cnd secvena nu conine un numr
ntreg de perioade, spectrul rezultat nu este cel corect fiindc el este n fapt
spectrul semnalului rezultat prin multiplicarea prin periodicitate a secvenei,
reprezentat n figura 4.5.b, care nu este o sinusoid.
n concluzie, dac trebuie determinat spectrul unui semnal folosind TFD,
atunci n cazul n care semnalul este periodic, secvena de N eantioane
prelevat din semnal trebuie s conin un numr ntreg de perioade.
98 Sisteme i Semnale n Timp Discret
Ce facem ns atunci cnd semnalul este neperiodic? Un posibil rspuns la
aceast ntrebare l putem afla n paragraful urmtor.


4.6.2. Ferestruirea (windowing)

Atunci cnd se preia o poriune de N eantioane dintr-un semnal, fr a le
schimba valoarea, se zice c se preia o fereastr dreptunghiular.
Am vzut c prin TFD putem obine spectrul corect numai dac semnalul
analizat este periodic i numai prelund o fereastr dreptunghiular care
conine un numr ntreg de perioade.



a
b
c
d
1
1

Figura 4.6. Poriune de semnal preluat cu i fr ferestruire.
Ioan P. MIHU - Procesarea Numeric a Semnalelor 99

n caz contrar, alterarea spectrului de frecven se datoreaz cu prioritate
zonelor de margine ale ferestrei. Acestea sunt privite ca fcnd parte din
semnal, ori este evident c semnalul original nu are astfel de salturi,
precum zonele ncercuite din figura 4.6.a.
Soluia de nlturare a variaiilor mari din zona de margine a unei poriuni
(ferestre) de semnal, o constituie aplatizarea acestora. Acesta este obiectivul
metodelor de ferestruire (windowing). Algoritmul este cel descris de
relaia 4.12. Se observ c poriunea prelevat se nmulete cu funcia w
[k]
,
numit funcie fereastr.



1) - ..(N 0 k pentru

[kTe]
u
[k]
w
[kTe] w
u

'


(4.12)

Aa cum este artat n figura 4.6, funcia fereastr trebuie s aib
amplitudine unitar pe toat lungimea poriunii de semnal prelevate, mai
puin n zonele de capete unde ea trebuie s descreasc uniform ctre zero.
Exist mai multe funcii care au aceast proprietate, unele dintre ele
consacrate deja n literatura de specialitate, ca de exemplu: fereastra
triunghiular (relaia 4.13), fereastra Welch (relaia 4.14), fereastra Hanning
(relaia 4.14) etc.

Triunghiular w
1) (M
1) - (M - 2k
- 1
[k]
+
(4.13)

Welch w
2
1) (M
1) - (M - 2k
- 1
[k]
,
_

+
(4.14)

Hanning
1 - M
k 2
cos - 1
2
1

[k]
w
1
]
1

,
_


(4.15)

n exemplele date, M poate fi egal cu lungimea secvenei prelevate (N), sau
poate fi mai mic dect N, i atunci algoritmii 4.13 4.15 se mpart n
dou, cte o jumtate pentru fiecare capt al secvenei, aa cum este ilustrat
n figura 4.6.
n concluzie, procedeul numeric de ferestruire se aplic secvenei numerice
creia urmeaz s-i fie aplicat transformarea TFD sau alt transformare.
Ferestruirea are rolul de a reduce contribuia nefast a poriunilor de capt
ale secvenei prelevate, n spectrul de frecven al semnalului.
Tehnica de ferestruire mai este folosit pentru a ajusta i ali algoritmi de
procesare numeric i anume pe cei cu rolul de filtru numeric, aa cum va fi
artat ntr-un capitol viitor.
100 Sisteme i Semnale n Timp Discret

4.6.3. Calculul densitii spectrale de putere


Spectrul unui semnal nu ofer o informaie intuitiv , sub aspect energetic, a
contribuiei fiecrei armonici la alctuirea semnalului. Pentru aceasta se
folosete o alt mrime denumit densitate spectral de putere, care arat
contribuia energetic a fiecrei armonici.
Prin analogie cu energia semnalelor analogice, energia total a unei secvene
de N eantioane se exprim ca fiind suma ptratelor eantioanelor. Cum se
regsete energia semnalului n transformata Fourier a acestuia? Rspunsul
la aceast ntrebare l d teorema Parseval:




1 N
0 k
2
[k] TFD
1 N
0 k
2
[k]
U
N
1
u W (4.12)

Contribuia la energia total a semnalului, corespunztor fiecrei armonici
rezultate prin transformarea Fourier a semnalului, este urmtoarea:


1)/2 - (N 1,2,.., k pt U U
N
1
W
U
N
1
W
2
k] - [N TFD
2
[k] TFD [k]
2
[0] TFD [0]

,
_

+

(4.13)

unde U
TFD[k]
sunt coeficienii compleci rezultai din transformarea Fourier a
semnalului u
[k]
.


4.6.4. Calculul convoluiei a dou semnale

Convoluia a dou semnale se calculeaz mai simplu prin nmulirea
transformatelor Fourier ale acestora, dect prin implementarea algoritmului
propriu-zis de convoluie, aa cum se va vedea n capitolul urmtor.



4.7. Alte transformri discrete

Dei transformarea Fourier este cea care acapareaz interesul n marea
majoritate a studiilor legate de procesarea numeric a semnalelor, exist i
alte transformri, uneori mai adecvate scopului urmrit. Obiectul acestui
paragraf este doar acela de a aminti i alte cteva transformri de semnale
discrete, ntlnite n literatura de specialitate:

Ioan P. MIHU - Procesarea Numeric a Semnalelor 101

Transformarea Cosinus;
Transformarea Sinus;
Transformarea Walsh
Transformarea Hilbert;
Transformarea Wavelet;
Transformarea Z etc.

Dintre cele menionate, transformarea Z a funciei de transfer, are o
importan cu totul aparte n studiul sistemelor. Din acest motiv ea va fi
prezentat pe larg n capitolul 8.
Pentru a stimula apetitul pentru studiul altor transformri, iat n continuare
un exemplu.


4.7.1. Transformarea Cosinus

La fel cu TFD cu care are multe similitudini, Transformarea Cosinus
Discret (TCD), se aplic tot unei secvene de N eantioane i se definete
astfel:

2N
1) (2k n
cos x y
1 - N
0 k
[k] (n) [n]
+

(4.16)

unde: n = 0,.., N-1

'

1 - N n 1 pentru , N / 2
0 n pentru , N / 1
(n)

Cele N valori rezultate din TCD pot fi asociate celor N armonici rezultate
din TFD. Semnificaia valorilor y
[k]
rezultate din TCD este aceea de
contribuie energetic a respectivei armonici n energia secvenei de date x
n
.
Pentru ca timpul de calcul s fie redus, nu este necesar ca de fiecare dat s
fie calculate valorile cosinus, ci ele se calculeaz o singur dat la nceput
iar se pun ntr-un tablou, de unde sunt citite dup indicele care coincide cu
argumentul funciei cosinus, tehnic cunoscut sub numele Look-Up
Table.
O dat cunoscut secvena y
[k]
se poate reconstitui secvena iniial x
[k]
,
folosind Transformarea Cosinus Discret Invers (TCDI):


2N
1) k(2n
cos y (n) x
1 - N
0 k
[k] [n]
+

(4.17)
unde: n = 0, ... , N-1
102 Sisteme i Semnale n Timp Discret

n reconstrucia semnalului x
[k]
se pot folosi toi coeficienii y
[k]
rezultai din
transformarea direct, sau doar o parte dintre ei. Evident c dac se
reconstruiete semnalul x
[k]
utiliznd doar o parte dintre componentele y
[k]
,
atunci rezultatul obinut va fi unul diferit de cel original. Aceasta este i
ideea folosit pentru crearea unor algoritmi de compresie a datelor. Spre
exemplu se rein doar componentele care au o contribuie energetic
semnificativ, iar celelalte se nltur. Altfel spus, semnalul x
[k]
este
reprezentat nu prin cele N componente rezultate din TCD, ci doar prin N*
componente, cu N*<N. Acesta este, n mare, principiul dup care se
realizeaz compresia de imagini de tip "jpg".



4.8. Transformri i sisteme


4.8.1. Transformri ale sistemelor discrete

Pn acum am vzut c transformrile prezentate se aplic secvenelor de
date, fie c acestea vor ajunge la intrarea unui sistem, fie c provin de la
ieirea unui sistem. Prin sistem liniar nelegem un algoritm de calcul de
forma 4.18.
x h y
k] - [n
1 - N
0 k
[k] [n]

(4.18)

La rndul lor i transformrile studiate sunt tot liniare, de aceeai form,
doar funcia h
[k]
fiind alta, aa cum este cazul TFD.
S aplicm acum operatorul TFD relaiei 4.18, care definete un sistem
liniar.
{ }

'


k] - [n
x
1 - N
0 k

[k]
h TFD
] n [
y TFD (4.19)

Relaia 4.19 arat c TFD se poate aplica att semnalelor ct i sistemului,
situaie sintetic prezentat n figura 4.7.
Posibilitile de procesare sunt rezultatul comutativitii algoritmului
sistemului i al transformrii. Rezultatul are o importan deosebit, el
artnd c att sistemul ct i semnalele pot fi analizate att n domeniul
timp, ct i n domeniul frecven. Oricrui semnal x
[n]
sau sistem liniar h
[n]

i se poate face analiza spectral, dup care se poate reveni la domeniul timp.

Ioan P. MIHU - Procesarea Numeric a Semnalelor 103



[n] [n]
1 - N
0 k
k] - [n [k]
h * x h x y[n]

x
[n]
X[j]
h
[n]
H[j]
Y[j] = X[j] H[j]
h
[n]
TFD
x
[n]
[n] [n]
1 - N
0 k
k] - [n [k]
h * x h x y[n]

TFD TFD
TFDI TFDI TFDI


Figura 4.7. Transformata Fourier aplicat semnalelor i sistemului.


Se observ c secvena y
[n]
se poate obine n dou feluri:

Direct la ieirea sistemului prin procesare n domeniul timp, cu ajutorul
algoritmului de tipul celui din relaia 4.18;
O cale mai ocolit presupune aplicarea TFD semnalului de intrare,
propagarea sa prin transformata sistemului, dup care urmeaz aplicarea
TFDI pentru obinerea semnalului discret y
[n]
. Dei pare mult mai
complicat i mai consumatoare de timp de calcul, aceast variant de
procesare este frecvent folosit, mai ales pe sistemele cu procesoare
DSP. Avantajul major al acestei variante rezult din faptul c ea permite
analiza semnalului de intrare n domeniul frecven. n plus, se observ
simplitatea procesrii n domeniul frecven: transformata semnalului de
ieire se obine extrem de simplu, prin nmulirea transformatei
semnalului de intrare cu transformata sistemului. Tot pe baza acestui tip
de procesare se bazeaz una din metodele de proiectare a algoritmului
sistemului 4.18, pentru ca acesta s aib o comportare anume n
domeniul frecven.


104 Sisteme i Semnale n Timp Discret

4.8.2. Caracteristica de frecven a unui sistem discret

Cel mai important aspect legat de transformarea Fourier discret aplicat
unui sistem discret este acela c ea furnizeaz caracteristica de frecven a
acestuia. Aplicnd relaia de definiie, rezult:

e h H
1 N
0 k
o] n [ TFD
Te k o n j
[k]


(4.20)

Pentru implementare vom facem referire strict la cele N eantioane din
secvena de intrare luate n calcul. Vor rezulta astfel N valori:

e h H
1 N
0 k
[n] TFD
N
k n 2
j
[k]

(4.21)

Observaii:

TFD a semnalului furnizeaz spectrul de frecven al semnalului.
TFD a sistemului furnizeaz caracteristica de frecven a sistemului.
Modulul relaiei 4.21, arat care este amplitudinea unei sinusoide la
ieirea sistemului, atunci cnd la intrarea sa se aduce o sinusoid de
amplitudine unitar.
Argumentul valorii complexe H
[n]
, arat care este defazajul dintre
sinusoida de intrare i cea de ieire.
Relaia 4.21 nu d informaii despre toate frecvenele, ci doar despre N
dintre ele. Deci forma caracteristicii de frecven determinate cu ajutorul
TFD este de asemenea discret.
Cele N frecvene crora le putem determina amplitudinea la ieire
reprezint valorile rezultate prin divizarea echidistant a domeniului de
frecven: Fe/2 +Fe/2 n N intervale. Evident n analiza unor sisteme
reale, vor fi de interes doar valorile pozitive ale acestor frecvene, atunci
cnd se traseaz caracteristica de frecven.

n concluzie, cunoscnd coeficienii h
[n]
ai unui sistem discret liniar, se
poate determina caracteristica sa de frecven. Trebuie menionat acum i
reciproca acestei meniuni, i anume posibilitatea determinrii coeficienilor
sistemului, atunci cnd este cunoscut caracteristica de frecven. Aa cum
se observ n figura 4.6, aceasta se face prin aplicarea TFDI transformatei
TFD a sistemului. Metoda va fi descris pe larg n capitolul de proiectare a
filtrelor numerice.
Ioan P. MIHU - Procesarea Numeric a Semnalelor 105


4.9. Aplicaii


Problema 4.1. Se d secvena x[n] definit astfel:
x[n] = {0; 1/4; 1/2; 3/4; 1} i zero n rest.
a. S se deseneze secvena: y[n] = x[n-1];
b. S se deseneze secvena: y[n] = 2x[n-1];
c. S se deseneze secvena: y[n] = x[-n];
d. S se deseneze secvena: y[n] = x[2-n];
e. S se deseneze secvena: y[n] = x[n-1]+x[1-n];
Soluie: a. y[0] = x[0-1] = x[-1]
y[1] = x[1-1] = x[0]
y[2] = x[2-1] = x[1], etc.

1
0 1 2 3 4 n
1/4
1/2
3/4
1
x
[n]
0 1 2 3 4 5 n
1/4
1/2
3/4
1
y
[n]
=x
[n-1]
a.
-3 -2 -1 0 1 2 3 4 5 n
1/4
1/2
3/4
y
[n]
=x
[2-n]
d.

Figura 4.8. Soluie la problema 4.1.

Deci x[n-1] reprezint o secven ntrziat cu un interval de eantionare,
fa de secvena x[n], iar grafic aceasta apare desenat cu un interval la
dreapta, aa cum este artat n figura 4.8.a.

d. y[n] = x[2-n] Rezult:
y[-2] = x[2-(-2)] = x[4] = 1
106 Sisteme i Semnale n Timp Discret
y[-1] = x[2-(-1)] = x[3] = 3/4
y[0] = x[2-0] = x[2] = 1/2
y[1] = x[2-1] = x[1] = 1/4
y[2] = x[2-2] = x[0] = 0, etc.
Rezultatul este prezentat sintetic n figura 4.8.d

Problema 4.2. Pentru secvenele de date din figura 4.9,
a. S se deseneze secvena: y[n] = x[n-1];
b. S se deseneze secvena: y[n] = 0,5x[n-1];
c. S se deseneze secvena: y[n] = x[-n];
d. S se deseneze secvena: y[n] = x[5-n];
e. S se deseneze secvena: y[n] = x[n-10]+x[10-n].

0 1 2 3 4 5 6 7 8
n
1/4
1/2
3/4
1
0 1 2 3 4 5 6 7 8
n
1
2
3
4
x
[n] x[n]


Figura 4.8. Semnale pentru problema 4.2.

Problema 4.3. Un sistem discret este definit de algoritmul urmtor:
y
[n]
= x
[n]
2x
[n1]
+ x
[n2]
. Precizai dac sistemul este:
a. liniar / neliniar;
b. cauzal / necauzal;
c. variant / invariant;
d. stabil / instabil;
e. recursiv / nerecursiv.

Problema 4.4. Rspundei la aceleai ntrebri ca i n problema precedent,
n cazul n care sistemele sunt definite de urmtorii algoritmi:
a. y
[n]
= x
[n+1]
2x
[n1]

b. y
[n]
= x
[n]
2y
[n]

c. y
[n]
= x
[n]
2x
[n1]
+ 0,001 y
[n2]
.

Problema 4.5. Un sistem discret este definit de algoritmul:
y
[n]
= x
[n]
2x
[n1]
+ x
[n2]
. Secvena x
[n]
este cea din figura urmtoare:
a. s se determine i s se deseneze secvena de ieire y
[n]
;
b. Ce form ar avea y
[n]
dac x
[n]
= Asin (2nF / Fe) unde F=50 Hz,
Fe=1000 Hz?
Ioan P. MIHU - Procesarea Numeric a Semnalelor 107

c. Care ar fi n acest caz defazajul dintre tensiunea de intrare i cea de
ieire?
d. Ce form ar avea tensiunea de ieire dac x
[n]
=
[n]
(impuls unitar) ?

n
n
X[n]
Y[n]


Figura 4.9. Semnale pentru problema 4.5.


Problema 4.6. Pentru un sistem liniar i invariant n timp se cunoate
rspunsul la impuls unitar h
[n]
. S se determine rspunsul sistemului dac se
cunoate secvena de intrare x
[n]
pentru exemplul din figura 4.10.

n
n
x[n]
h[n]
1
2
n
y[n]
1

Figura 4.10. Semnale pentru problema 4.6.


Problema 4.7. Un semnal este prelucrat cu algoritmul: y
[n]
=2 x
[n]
x
[n1]
+
0,5x
[n2]
. Din secven sinusoidal de intrare x
[n]
se cunosc doar primele trei
eantioane: x
[0]
=1; x
[1]
=2; x
[2]
=0,5;
108 Sisteme i Semnale n Timp Discret
a. S se determine parametrii sinusoidei de intrare, din care fac parte
cele trei eantioane, tiind c frecvena de eantionare este
Fe=200Hz.
b. Este soluia unic determinat?
c. S se determine secvena de ieire.
d. Aparin punctele din secvena de ieire unei sinusoide avnd
frecvena egal cu cea de la intrare?
e. Dac da, determinai amplitudinea sinusoidei de la ieire.

Problema 4.8. Secvena x[n] de mai jos conine urmtoarele 10 date:
x[n] = {2; 1; 1; 0; 3; 2; 0; 3; 4; 6}
a. S se calculeze Transformata Fourier Discret X[k] a secvenei;
b. S se calculeze X[0] i X[5], fr a utiliza calculul TFD;
c. S se calculeze

9
0 k
X[k] ; d. S se calculeze

9
0 k
2
X[k] .
Soluie:
b. 22 1 x e x X
9
0 k
[k]
N / k 0 2 j
9
0 k
[k] ] 0 [


( )


k
9
0 k
[k]
9
0 k
[k]
10 / k 5 2 j
9
0 k
[k] ] 5 [
) 1 ( x ) k sin( j ) k cos( x e x X 2
c.






9
0 n
10 / k n 2 j
9
0 k
[k]
10 / k n 2 j
9
0 n
[k]
9
0 k
10 / k n 2 j
9
0 k
[k]
9
0 n
9
0 n
[n]
e x e x e x X


+ + + +
9
0 n
10 / n 9 2 j
[9]
9
0 n
10 / n 2 2 j
[2]
9
0 n
10 / n 1 2 j
[1]
9
0 n
10 / n 0 2 j
[0]
e x .. e x e x e x

Sumele din ultimii 9 termeni se calculeaz mai uor dac reprezentm
numerelor complexe, ca n figura 4.11. Din motive de simetrie pentru k=1
suma prilor reale i imaginare va fi nul. Pentru k=2, suma este:
( ) 0 ) 144 cos( 2 ) 72 cos( 2 ) 0 cos( 2 e
0 0
9
0 n
10 / n 2 2 j
+ +



Rezult:
[0] [9] [2] [1]
9
0 k
[0]
9
0 n
[n]
x 10 0 x ..... 0 x 0 x 1 x X + + + +



d. Se folosete teorema Parseval:



9
0 k
2
] k [
9
0 k
2
] k [
x 10 X
Ioan P. MIHU - Procesarea Numeric a Semnalelor 109

Re
Im
0
n=1
n=2
n=4
n=5
n=6
n=7
n=8
n=9
k =1
n=3

Re
Im
n=1,6
n=2,7
n=3,8
n=4,9
n=0,5 k =2


Figura 4.11. Soluie la problema 4.8


Problema 4.9. S se calculeze Transformata Fourier Discret a secvenelor
de date din figura urmtoare:

0 1 2 3 4
n
1/4
1/2
3/4
1
0 1 2 3 4 5 6 7 8
n
1/4
1/2
3/4
1


Figura 4.12. Semnale pentru problema 4.9


Problema 4.10. Calculai TFD pentru urmtoarele secvene x
[n]
de lungime
N:
a. x
[n]
=
[n-no]
; unde 0 < no < N;
b.

'

<

N n N/2 pentru 0
1) - /2 N ( n 0 pentru 1
x
] n [

c.

'

impar n pentru 0
par n pentru 1
x
] n [


Problema 4.11. S se verifice n Matlab rezultatele problemelor: 4.1, 4.2,
4.5, 4.6, 4.8, 4.9 i 4.10.

Problema 4.12. X[k] reprezint transformata Fourier Discret a unei
secvene x
[n]
de lungime N.
a. Artai c dac x
[n]
satisface condiia x
[n]
= x
[N1n]
atunci X
[0]
= 0;
b. Artai c dac N este par i x
[n]
satisface condiia x
[n]
= x
[N1n]

atunci X
[N/2]
= 0;
110 Sisteme i Semnale n Timp Discret
Problema 4.13. S se genereze o secven coninnd L=113 eantioane
aparinnd unui semnal sinusoidal ( A=1, F=10, Fe=200).
a. S se aplice secvenei o ferstruire de tip Hanning cu M=20;
b. S se aplice TFD att secvenei originale ct i celei ferstruite;
c. Explicai diferenele.




4.10. Bibliografie


[4.1] Oppenheim A.V., Schafer R.W., "Discrete-Time Signal Processing"
Prentice Hall, Englewood Cliffs, New Jersey 07632, 1989.

[4.2] Mateescu A., Prelucrarea numeric a semnalelor, Ed. Tehnic,
Bucureti, 1997.

[4.3] *** Numerical Recipes in Pascal, Cambridge University Press,
1990.

[4.4] *** http://www.dspguide.com/ch5.htm

[4.5] *** http://www.jhu.edu/~signals/sysprop/sys.html

[4.6] *** http://www.bores.com/courses/intro/basics/index.htm

[4.7] *** www.mathworks.com/access/helpdesk/help/toolbox/signal/basics1.shtml









5. PROCESRI NUMERICE N DOMENIUL TIMP




5.1. Introducere


Am vzut n capitolul anterior c exist dou modaliti de descriere a unui
semnal: n domeniul timp sau n domeniul frecven. Cu siguran acestea
nu sunt singurele modaliti de a descrie un semnal, dar sunt cele mai
importante.
O prim consecin a acestui mod de descriere este faptul c semnalele
discrete pot fi prelucrate att n domeniul timp, ct i n domeniul frecven.
Capitolul de fa se ocup cu prelucrarea semnalelor n domeniul timp.
Procesrile din acest capitol nu presupun cunoaterea unor instrumente
matematice complexe i n plus algoritmii prezentai aici produc adesea
rezultate uor de intuit. Cel puin din acest motiv capitolul de fa este
potrivit pentru introducere n tehnica procesrilor numerice. Este util s
ncepem n acest fel, fiindc astfel se ctig deprinderea procesrii
secvenelor de date numerice, provenite cel mai adesea din achiziii de date
din procese reale.
Caracterul intuitiv al unor procesri numerice de semnal rezult din analogia
cu procesarea semnalelor analogice din care acestea provin. Procesul de
achiziie numeric este n fond primul proces de procesare n domeniul timp
al oricrui semnal i va reprezenta o etap de referin pentru prelucrrile
ulterioare.
Majoritatea procesrilor din acest capitol in cont de caracterul secvenial al
setului de date supus procesrii, caracter rezultat din procesul de achiziie.
Alturi de acestea vor fi amintite i unele prelucrri statistice ale semnalelor,
prelucrri care se efectueaz de regul off line, pe semnale stocate n baze
de date.

112 Procesare Numeric n Domeniul Timp

5.2. Medierea

Algoritmul propus este o simpl medie aritmetic a ultimelor M eantioane
din secvena de intrare x
[n]
.

=
1 M
0 i


] i n [ [n]
x
M
1
y (5.1)

Dei extrem de simplu, algoritmul 5.1 produce date utile. Spre exemplu,
dac semnalul x
[n]
conine variaii rapide ntre eantioane apropiate, datorate
unor zgomote, prin mediere acestea vor disprea. Acest tip de zgomote pot
fi astfel uor nlturate, rezultnd un semnal curat.


5.3. Derivarea / Diferenierea

Definiia derivatei de ordinul nti a unei funcii continue x
(t)
, este:

dt
dx
t
x
lim (t) x y(t)
0 t
'
= = =

(5.2)

Semnificaia geometric a derivatei unei funcii continue este prezentat n
figura 5.1.
t
t

t
0
n
0
x
(nTe)
x
(t)
n

Figura 5.1. Derivata nti definit pentru funcii continue i funcii discrete.
Ioan P. MIHU - Procesarea Numeric a Semnalelor 113
Valoarea derivatei ntr-un punct este egal cu tangenta unghiului fcut de
tangenta geometric la graficul funciei n acel punct. n cazul derivrii unei
funcii n timp discret, plecnd de la definiia 5.2 se obine:

( )
1] - [n [n]
1] - [n [n]
[n] [n]
x - x
Te
1
Te 1) - (n - Te n
x - x
'
x y =

= = (5.3)

Vorbind despre semnale discrete, termenul consacrat este cel de difereniere
n locul celui de derivare. Aa cum se observ n figura 5.1, valoarea
derivatei unei secvene numerice este egal cu tangenta unghiului fcut cu
axa absciselor de dreapta ce unete valorile a dou eantioane succesive:
eantionul curent x
[n]
i cel anterior lui x
[n1]
.
Prin simpla derivare a unei secvene x
[n]
, se va obine o alt secven y
[n]
, cu
unele proprieti extrem de interesante legate de viteza de variaie a
semnalului ntre dou momente de eantionare consecutive.
Trebuie menionat c analiza vitezei de variaie se putea face i altfel dect
n modul descris de relaia 5.3, lund spre exemplu n calcul eantioanele
x
[n+1]
- x
[n]
. Procednd n acest fel nu ar mai fi ns posibil analiza on line
a semnalului, deoarece n algoritm este implicat eantionul viitor x
[n+1]
,
care nu exist la momentul calculrii derivatei.
Derivata unui semnal produce date extrem de interesante i utile. S
presupunem c n secvena x
[n]
exist o poriune n care toate valorile sunt
egale ntre ele. n urma derivrii, scznd valori egale pe acea poriune vor
rezulta doar valori nule n semnalul rezultat y
[n]
. Similar, dac pe o poriune,
semnalul variaz foarte lent, n urma derivrii, vor rezulta valori foarte mici
n semnalul y
[n]
. Semnalul pe care l-am presupus i anume unul foarte lent
variabil poate fi spre exemplu un semnal sinusoidal de frecven foarte
joas. Iat deci c dac la intrarea unui algoritm de difereniere de ordinul
nti se aduce un semnal n timp discret de frecven foarte sczut, la
ieirea blocului de calcul va rezulta un semnal de valoare foarte mic.
Dac n schimb avem o poriune de semnal x
[n]
n care valorile nvecinate
variaz mult ntre ele, provenind spre exemplu dintr-un semnal sinusoidal de
frecven ridicat, atunci prin derivare vor rezulta valori numerice mari.
Acest fapt permite identificarea fronturilor abrupte din componena unui
semnal, caracterizate de diferene mari ntre eantioane apropiate.
n ipoteza c semnalul x
[n]
ar fi format dintr-un semnal continuu i unul de
frecven ridicat, rezult n urma celor prezentate c semnalul continuu nu
se va regsi n semnalul de ieire. Iat deci c algoritmul de derivare are
proprieti selective fa de semnale de frecven diferit, el permind
ndeprtarea componentei continue, sau diminund foarte mult
componentele de frecven foarte joas.
114 Procesare Numeric n Domeniul Timp
Ca i n cazul funciilor n timp continuu, i pentru funciile n timp discret
se poate defini derivata de ordinul doi:

( ) )
2] - [n
x -
1] - [n
x ( x - x
Te
1
Te 1) - (n - Te n
x' - x'
' ' x -
1] - [n [n]
1] - [n [n]
[n]
=

= (5.4)
Rezult: ( )
2] - [n 1] - [n
2
[n] [n]
x x - x
Te
1
' ' x + = (5.5)

O analiz similar cu cea anterioar ne permite s identificm componentele
fronturilor abrupte sau s eliminm componentele continue ale derivatei
nti ale secvenei de intrare, ceea ce este similar cu a determina punctele de
inflexiune ale graficului secvenei de intrare x
[n]
.
O soluie interesant o constituie derivarea dintre valori mediate, care ne
ofer o valoare medie a derivatei calculate pentru ultimele M eantioane.




=

=
1 M
0 i
M
1 M
0 i

] i n [ ] i n [ [n]
x
M
1
x
M
1
y (5.6)

Un astfel de algoritm combin efectele menionate la algoritmul de mediere
i cel de derivare.


5.4. Corelaia

Considernd o secven x
[n]
zis de intrare, i o secven dat h
[n]
de
lungime constant M, relaia de definiie a corelaiei dintre x
[n]
i h
[n]
este
urmtoarea:

1 M
0 k
x h y
k] [n [k] [n]

=
=
+
(5.7)

n cazul n care relaia 5.7 se implementeaz pentru procese on line, atunci
pentru a evita implicarea n calcule a unor eantioane viitoare, este nevoie ca
semnalul x
[n]
s fie ntrziat cu M-1 eantioane. Relaia devine:


1 M
0 k
x h y
k] 1) - (M - [n [k] [n]

=
=
+
(5.8)

Corelaia are importante aplicaii practice. Astfel ea poate servi la
identificarea unor forme cunoscute n evoluia semnalului.
S presupunem c suntem interesai de identificarea formei h
[n]
n semnalul
x
[n]
, aa cum este ilustrat n figura 5.2. Pentru aceasta, vom plimba
Ioan P. MIHU - Procesarea Numeric a Semnalelor 115
semnalul h
[n]
, numit ablon, de-a lungul semnalului x
[n]
. n momentul
coincidenei ablonului cu o parte din semnalul x
[n] ,
rezultatul produs de
algoritmul (5.8) va fi maxim i n consecin se poate lua decizia: n acest
moment a aprut evenimentul cercetat. Chiar dac semnalul x
[n]
este necat
n zgomot, n momentele n care forma semnalului este apropiat de cea a
ablonului, rezultatul produs de algoritm va fi tot unul de amplitudine mare.

x[n]
y[n]
ECG cu zgomot
ECG corelat cu
sablonul h[n]
h[n]


Figura 5.2. Corelaia unui semnal ECG necat n zgomot,
cu un ablon h
[n]
ales adecvat.

Se produce deci un rezultat de valoare maxim atunci cnd ablonul se
potrivete cel mai bine cu semnalul. n literatura de specialitate, acest
algoritm este cunoscut i sub denumirea de template matching. Aceast
tehnic este folosit spre exemplu la identificarea unor forme n semnale
biomedicale, aa cum este artat n exemplul din figura 5.2, unde se observ
c dup corelaie semnalul rezultat permite identificarea uoar a
momentelor n care bate inima. Se observ o ntrziere sistematic a
momentului identificrii, ntrziere dat de lungimea N a ablonului h
[n]
.
116 Procesare Numeric n Domeniul Timp
O alt aplicaie tipic este radarul, la care corelaia permite recunoaterea
semnalelor reflectate de la un avion. Semnalul emis are o anumit form
(ablon). Dup reflexia lui de pe suprafaa unui avion, el se ntoarce napoi
cu o amplitudine extrem de mic i este recepionat alturi de multe alte
zgomote. Dac se face corelarea semnalului emis cu cel recepionat,
maximul acestuia va indica apariia unui obiect n cmpul de cercetare al
radarului.
Atunci cnd corelaia unui semnal se face cu el nsui, algoritmul se
numete autocorelaie. Prin acest procedeu se identific cel mai uor
existena periodicitii evenimentelor aprute ntr-un semnal.


5.5. Convoluia

Este unul dintre cei mai importani algoritmi utilizai n procesarea numeric
a semnalelor. Convoluia dintre un semnal x
[k]
i un al doilea h
[k]
de lungime
M, se definete astfel:

[n] [n] k] - [n [k] [n]
x h x h y
1 N
0 k
=

=
= (5.9)

Convoluia mai poart denumirea de produs de convoluie.
Dac observm c x
[k]
reprezint imaginea reflectat n oglind a
eantionului x
[k]
atunci convoluia poate fi privit ca fiind corelaia unui
semnal cu imaginea sa reflectat n oglind.

x
[n]
y
[n]
h
[n]

Figura 5.3. Convoluia ntre secvenele de date h
[n]
i x
[n]
.


Relaia 5.9 poate fi privit n dou feluri: ca i convoluie ntre dou
semnale x
[n]
i h
[n]
, sau ca i convoluie ntre dou secvene de date: un
semnal x
[n]
, zis de intrare i coeficienii h
[n]
ai unui sistem liniar, aa
cum este prezentat n figura 5.3.


5.5.1. I mplementarea algoritmului de convoluie

n ipoteza c h
[n]
reprezint coeficienii unui sistem liniar, este util s avem
o imagine exact a ceea ce nseamn implementarea algoritmului 5.9
Ioan P. MIHU - Procesarea Numeric a Semnalelor 117
atunci cnd semnalul x
[k]
zis de intrare, reprezint un ir de date rezultate
dintr-un proces de achiziie. Pentru aceasta s considerm un exemplu
numeric i anume N=4.

y
[n]
= x
[n]
h
[0]
+ x
[n-1]
h
[1]
+ x
[n-2]
h
[2]
+ x
[n-3]
h
[3]
(5.10)

Raportarea momentului calculului eantionului curent la semnalul de intrare
este prezentat n figura 5.3.

nTe
x[n]
h[0]
nTe
y[n]
x[n] x[n-1] x[n-2] x[n-3]
Ultimele 4 eantioane sosite
y[n]
h[1] h[2] h[3]
Te


Figura 5.3. Obinerea eantionului curent de ieire.


n sintez:
La convoluia dintre coeficienii unui sistem liniar i semnalul de
intrare, eantionul curent de ieire se obine ca sum ponderat a
ultimelor N eantioane ale semnalului de intrare, coeficienii de
pondere fiind cele N valori ale coeficienilor h
[k]
ai sistemului.
Aceasta oblig rezervarea unei locaii de memorie, pentru
memorarea ultimelor N eantioane ale semnalului de intrare.
Pentru calculul eantionului de ieire sunt necesare N nmuliri i
N-1 adunri.
La sosirea unui nou eantion al semnalului de intrare, fereastra
definit de cei N coeficieni se va deplasa i ea cu un pas spre
dreapta, producnd astfel un nou eantion n semnalul de ieire.
118 Procesare Numeric n Domeniul Timp
O problem practic interesant este cea legat de calculul
primelor N-1 eantioane ale semnalului de ieire, adic a
eantioanelor aflate la captul din stnga al semnalului y
[n]
. Dar
care este problema? Pentru a o pune n eviden, este suficient s
calculm primul eantion al semnalului de ieire adic y
[0]
:

y
[0]
= x
[0]
h
[0]
+ x
[-1]
h
[1]
+ x
[-2]
h
[2]
+ x
[-3]
h
[3]
(5.11)

Se observ c n calcul intervin: x
[-1]
, x
[-2]
i x
[-3]
. Ele sunt
eantioane inexistente fizic i au semnificaia unor eantioane care
provin dinaintea nceperii experimentului, cnd semnalul x
[n]
nc
nu exista. Pentru a depi impasul, se consider c toate aceste
eantioane sunt nule. Metoda se numete zero-padding.
Prin zero-padding semnalul s-a prelungit la stnga cu N-1
eantioane nule. Este evident c aceast tehnic introduce o
alterare a semnalului la margine, fiindc semnalul x
[n]
iniial nu
mai coincide cu cel prelungit.
n consecin partea de nceput a semnalului de ieire va fi diferit
de restul semnalului de ieire, fiind bazat pe informaii artificiale
ale semnalului de intrare. Fenomenul poate fi asociat cu
fenomenele de tranziie care au loc n circuitele electronice, atunci
cnd acestea sunt conectate la tensiune.
Dac h
[n]
are M elemente, iar x
[n]
este finit, avnd lungimea N,
atunci lungimea secvenei de ieire y
[n]
este de M+N-1 elemente.
Pentru a rezolva problema calculului la capetele semnalului exist
si alte tehnici de prelungire a semnalului x
[n]
:
- prelungirea prin simetrie;
- prelungirea prin periodicitate;
- prelungirea prin extrapolare liniar, etc.
n Matlab funcia care realizeaz calculul convoluiei a dou
secvene este conv(h,x).


5.5.2. Proprieti ale convoluiei

Iat cteva din proprietile produsului de convoluie:
Comutativitatea : h
[n]
* x
[n]
= x
[n]
* h
[n]
.
Privind lucrurile din punct de vedere al sistemului din figura 5.3,
comutativitatea nu are n acest caz o semnificaie fizic, dect aceea c
din punct de vedere matematic secvenele x
[n]
i h
[n]
pot fi schimbate
ntre ele.
Ioan P. MIHU - Procesarea Numeric a Semnalelor 119
Asociativitatea : h2
[n]
* (h1
[n]
* x
[n]
) = (h2
[n]
* h1
[n]
)*x
[n]

Permite echivalarea a dou convoluii efectuate n serie:

x [n] y [n]
h1[n] h2[n]
y [n]
h2[n]* h2[n]
x [n]


Consecin a asociativitii transformrilor liniare, se poate arta
c rezultatul final este acelai dac se aplic o alt transformare
semnalului de intrare nainte de convoluie sau dup convoluie.

x [n] y [n]
h[n]
h[n]
x [n]
y [n]
T T

Distributivitatea: (h1
[n]
* x
[n]
) + (h2
[n]
* x
[n]
)= (h2
[n]
+ h2
[n]
)* x
[n]

Permite echivalarea a dou convoluii efectuate n paralel:

x [n] y [n]
h1[n]
h2[n]
y [n]
h2[n]+ h2[n]
x [n]


Convoluia unui semnal cu un impuls unitar permite exprimarea
matematic a unor operaii elementare aplicate semnalului.
(Impulsul unitar este definit de funcia
[n]
avnd valoarea 1 pentru
n=0 i zero n rest.)

- Identitatea x
[n]
= x
[n]
*
[n]

- Amplificarea kx
[n]
= x
[n]
* k
[n]

- ntrzierea x
[n No]
= x
[n]
*
[n No]


Dac la intrarea sistemului din figura 5.2 se aduce un impuls unitar
(un singur eantion avnd valoare unitar), atunci la ieirea
sistemului vor apare toi coeficienii filtrului n ordine. Acest lucru
poate fi uor probat pe figura 5.3. De aceea coeficienii h
[n]
ai
sistemului mai poart denumirea de rspuns la impuls.


5.5.3. Aspecte spectrale ale convoluiei

Una dintre cele mai importante proprieti ale convoluiei este legat
de domeniul frecven. Am vzut n capitolul precedent c
transformata Fourier se poate aplica att sistemelor ct i semnalelor.
120 Procesare Numeric n Domeniul Timp
Aa cum se vede din figura 5.4, convoluia semnalelor x
[n]
i h
[n]
se
poate calcula pe dou ci:
Direct, folosind definiia (5.9)
O cale mai ocolit, implicnd i transformata Fourier, n felul
urmtor:
- se calculeaz transformatele Fourier ale lui x
[n]
i h
[n]
;
- se face produsul acestora;
- se calculeaz transformata Fourier invers a produsului.
La prima vedere, a doua cale pare o soluie n care se efectueaz mai
multe calcule. Cu toate acestea, vom vedea mai departe c are
numeroase aplicaii n care se economisete timp de calcul.
Convoluia a dou semnale n domeniul timp nsemn produsul lor n
domeniul frecven. Reciproc, convoluia a dou semnale n domeniul
frecven reprezint produsul lor n domeniul timp. Ea este menionat
n literatura de specialitate ca: teorema convoluiei.

[n]
h *
[n]
x
1 - N
0 k
k] - [n
h
[k]
x y[n] =
=
=

x
[n]
X[j]
h
[n]
H[j]
Y[j] = X[j] H[j]
h
[n]
TFD
x
[n]
TFD
TFDI
y
[n] =
TFDI { X[j] H[j]}


Figura 5.4. Despre teorema convoluiei


n exemplul din figura 5.5 este ilustrat teorema convoluiei. Este
prezentat rezultatul convoluiei unui semnal compus din dou
armonici de frecvene F
1
i F
2
cu un al doilea semnal avnd un spectru
continuu ntre 0 i Ft. n paralel, este artat i semnificaia
convoluiei n domeniul frecven.
Ioan P. MIHU - Procesarea Numeric a Semnalelor 121
Domeniul timp Domeniul frecven
f
f
f
Ft
F1
sin (2nFt/Fe)
2nFt/Fe
sin (2 n F1/Fe)
+ sin (2 n F2/Fe)
sin (2 n F1/Fe)

nTe
F 1 F 2
nTe
nTe


Figura 5.4. Convoluia i semnificaia ei n domeniul frecven.

Rezultatul convoluiei celor dou semnale se poate stabili rapid,
interpretndu-l ca fiind produsul spectrelor celor dou semnale,
rezultatul final fiind dispariia frecvenei F2.
Iat deci c prin convoluie am reuit s nlturm (filtrm) o
frecven din spectrul semnalului de intrare. Aceste aspecte vor fi
reluate n capitolul dedicat procesrilor n domeniul frecven.



5.6. Procesri neliniare


Aceste procesri au specific faptul c algoritmul de calcul a secvenei de
ieire nu este o combinaie liniar a secvenei de intrare, ci are la baz
funcii neliniare (logaritmi, radicali, etc.) sau combinaii neliniare. Un astfel
de exemplu este transformarea median, cunoscut i sub numele de filtru
median. Trebuie evitat confuzia dintre valoarea medie a unei secvene i
valoarea median". Valoarea medie, numit i medie aritmetic, este o
122 Procesare Numeric n Domeniul Timp
transformare liniar, valoarea eantionului la ieire calculndu-se cu relaia
5.1, pe cnd transformarea median este o transformare neliniar.
Algoritmul de calcul al valorii mediane este urmtorul:
Se selecteaz din irul de date ce trebuie filtrat o secvena de date de
lungime M. n tehnica procesrilor numerice, o secven scurt dintr-un
ir de date mai lung, se numete fereastr.
M se numete ordin al filtrului median;
Se ordoneaz toate eantioanele din fereastr n ordine cresctoare.
Dac lungimea ferestrei este impar, atunci valoarea median y
[n]
va fi
egal cu valoarea eantionului aflat n centrul ferestrei, dup ordonare.
Dac lungimea ferestrei este par, mediana se calculeaz ca medie
aritmetic a celor dou valori aflate n centrul ferestrei.
Se deplaseaz apoi fereastra cu un eantion i se reia algoritmul descris,
pn la epuizarea setului de date.

Pentru calculul primei valori vom extinde irul de date original cu valori de
zero la stnga primului eantion, cte sunt nevoie pentru a completa
fereastra.

Exemplu. Se d urmtorul set de date: [3; 8; 1; 4; 4; 5; 2; 0; 1].
a. S se determine secvena de date rezultat prin trecerea acestui set
de date printr-un filtru median de ordinul trei.
Rezultatul este: [3; 3; 4; 4; 4; 4; 2; 1; 0].
b. S se determine secvena de date rezultat dac se alege o fereastr
de lungime patru (filtru median de ordinul patru).
Rezultatul este: [1,5; 5,5; 4,5; 2,5; 4; 4,5; 3,5; 1; 0,5]



5.7. Procesri statistice ale semnalelor numerice

Este bine s fie amintit aici i acest tip de prelucrri ale semnalelor
numerice. Aceast categorie de procesri este util, fiindc ofer parametri
cu caracter global despre semnalul analizat. Acetia pot fi utili atunci cnd
se dorete aflarea unor trsturi specifice ale semnalului, identificarea
sau ncadrarea acestuia ntr-o anume categorie.
Exist i statistici al cror rezultat poate induce n eroare, sau poate da
informaii n spatele crora adevrul este altul. Spre exemplu, un ins are
dou pini iar un altul nu are nici una. Rezultatul statisticii arat c pe cap
de locuitor revine cte o pine
Prelucrrile statistice se fac de obicei off line. Fie c este rezultatul unor
achiziii de date din procese fizice, fie c reprezint rezultatul unor activiti
Ioan P. MIHU - Procesarea Numeric a Semnalelor 123
contabile, etc, setul de date care se proceseaz exist la un moment dat, fiind
disponibil pe calculator i nu mai sufer schimbri pe durata procesrii.

4.6.1. Valoarea medie

=
=
1 - N
0 k
] k [
x
N
1
(5.9)
N reprezint numrul de date aflate n setul analizat, de exemplu numrul de
date aflat ntr-un fiier rezultat din achiziia unui semnal.

4.6.2. Deviaia
( )

=
1 N
0 k
2 2
x
1 N
1

] k [
(5.10)


4.6.3. Histograma

Cte date de o anume valoare exist ntr-un set de date?
Cte date aflate ntr-un anumit interval de valori exist ntr-un set de date?
La astfel de ntrebri, rspunsul este dat de histograma setului de date.

n = 0; k = 0;
i = 0;
X[n] = k; i = i+1;
n = n + 1;
Da
n Ndat HST[k] = i;
Da
k = k +1; n=0;
k Kmax
Da
?
?
?
END


Figura 5.5. Determinarea valorilor histogramei HST[k]

Eantioanele setului de date sunt x
[n]
, iar x
max
i x
min
sunt limitele ntre care
se gsesc cuprinse valorile secvenei. n fond domeniul [x
min
x
max
]
124 Procesare Numeric n Domeniul Timp
definete domeniul de valori al funciei x
[n]
. S presupunem c setul de date
x
[n]
este unul format din numere ntregi, spre exemplu ntregi codificai pe
un octet. Vor fi deci 256 de valori posibile pe care le pot lua elementele din
setul de date.
Histograma este o reprezentare grafic a valorilor HST[k]. Dac ne referim
la exemplul ales, atunci pe axa absciselor se vor gsi cele 256 de valori, iar
corespunztor fiecreia din cele 256, se va reprezenta numrul HST, rezultat
n urma algoritmului prezentat n figura 5.5.

0 1 2 3 4 255 k
HST[k]


Figura 5.6. Exemplu de histogram.

Dac setul de date este format din numere reale, atunci pentru a stabili
histograma se mparte domeniul de valori al semnalului [x
min
x
max
] n M
intervale egale, i se cerceteaz cte valori se gsesc n fiecare interval.
O remarc important referitoare la histogram este aceea c spre deosebire
de celelalte procesri amintite, ea nu produce un singur eantion, ci produce
un set nou de date. O astfel de procesare este o transformare, fiindc
pornind de la un set de date de intrare, ea produce un alt set de date.

Prelucrrile propuse n acest capitol pot fi realizate i on line. n acest sens,
din semnalul ce sosete secvenial din procesul de achiziie, se alege o
fereastr coninnd ultimele M eantioane, iar acestei ferestre i se aplic
algoritmul statistic propus, spre exemplu histograma. Evident c n acest fel
histograma devine o histogram dinamic, fiindc la fiecare nou eantion,
este posibil ca ea s-i modifice coninutul.

Exist i alte tipuri de procesri asupra seturilor de date provenite din
achiziia de semnale, procesri care produc rezultate utile pentru analiza i
clasificarea semnalelor. Un astfel de exemplu este i numrul de treceri
prin zero ale semnalului, pentru o fereastr dat din semnal.

Ioan P. MIHU - Procesarea Numeric a Semnalelor 125
5.8. Aplicaii

Problema 5.1. Fie dou fiiere coninnd fiecare cte 1.000 de date. Valorile
acestora sunt generate prin urmtoarele funcii matematice:
a. x
[n]
= n
b.

=
impar n pt 1
par n pt 0
x
[n]

S se traseze histogramele seturilor de date din cele dou fiiere.

Problema 5.2. Se dau variabilele:
h
[6]
= [0 0 1 2 1 -1 0];
x
[6]
= [0 0 0 1 2 3 1];
a. S se calculeze cu creionul produsul de convoluie y
[n]
= h
[n]
* x
[n]

pe baza relaiei 5.8.
b. Reprezentai grafic h
[6]
, x
[6]
, y
[6].

c. Verificai rezultatul n Matlab.

Problema 5.3. n urmtoarea sesiune de lucru n Matlab:
a. S se genereze i s se afieze dou perioade ale unui semnal
sinusoidal de frecven 50 Hz, amplitudine 10 i Fe= 1.000 Hz.
b. S se genereze un semnal aleator cu amplitudinea 1, care s se
nsumeze cu cel de la punctul a. S se afieze grafic suma.
c. S se foloseasc un algoritm de mediere pentru nlturarea
semnalului aleator din semnalul sum.
d. S se afieze rezultatul filtrrii.
e. S se compare semnalul iniial cu cel procesat i s se analizeze
efectul lungimii ferestrei de mediere asupra rezultatului.

Problema 5.4. n urmtoarea sesiune de lucru n Matlab:
a. S se genereze i s se fac graficul unui semnal sinusoidal de
frecven 50 Hz, amplitudine 10 i avnd Fe= 1.000 Hz.
b. S se foloseasc un algoritm de derivare de ordinul unu cu care
s fie procesat semnalul de la punctul a.
c. S se afieze separat rezultatul.
d. Cu ce difer rezultatul, de cel care s-ar fi obinut dac derivam
nti semnalul analogic i apoi l eantionam?
e. S se fac derivata derivatei i s se afieze rezultatul.

Problema 5.5. n urmtoarea sesiune de lucru n Matlab:
a. S se fac derivata unui semnal audio.
b. S se analizeze numrul de treceri prin zero al semnalului audio
i apoi al derivatei acestuia.
126 Procesare Numeric n Domeniul Timp
Problema 5.6. n urmtoarea sesiune de lucru n Matlab: S se traseze
histograma dinamic a unui semnal preluat dintr-un fiier .wav,
a. pentru o fereastr M = 10 ;
b. pentru o fereastr M = 100.

Problema 5.6.. Unui filtru numeric i se aduce la intrare secvena x
[n]
=
{2,3}. La ieirea lui apare y
[n]
= {0, 2,3}. S se determine structura i
valoarea coeficienilor filtrului.

Soluie:
De vreme ce rspunsul filtrului este finit n timp, filtrul este de tip
nerecursiv (FIR). Dac h
[n]
are M elemente, iar x
[n]
este finit, avnd
lungimea N, atunci lungimea secvenei de ieire y
[n]
este de M+N-1
elemente.
Deci:

= +
=
3 1 N M
2 N
Rezult: M= 2, adic filtrul are doi coeficieni. Acetia
vor fi h
[0]
i h
[1]
.
Dezvoltnd se obine

= +
= +
2 2 h 3 h
0 0 2 h
] 1 [ ] 0 [
] 0 [

=
=
1 h
0 h
] 1 [
] 0 [





5.9. Bibliografie


[5.1] Porat, B, A Course in Digital Signal Processing, John Wiley and
Sons, 1997

[5.2] Ifeachor, E.C., Jervis, B.W., Digital Signal Processing A Practical
Approach, Addison/Wesley, 1999

[5.3] *** http://www.bores.com/courses/intro/time/index.htm

[5.4] *** http://www.jhu.edu/~signals/index.html

[5.5] *** http://www.dspguide.com/ch6.htm

[5.6] *** http://dsplab.eng.fiu.edu/DSP/Courses/index.html

[5.7] *** http://privatewww.essex.ac.uk/~mpthak/concise_dsp_tutorial.pdf





6. PROCESRI N DOMENIUL FRECVEN

FILTRE NUMERICE





6.1. Introducere


Pentru nceput o scurt aducere aminte a ceea ce nseamn filtrare n
lumea semnalelor analogice.

x(t) y(t)


Figura 6.1. Bloc de prelucrare a unui semnal analogic.

Filtrul analogic reprezint un bloc funcional cu proprieti selective
n domeniul frecvenei. Dac semnalul x
(t )
din figura 6.1 are un spectru
de frecven dat, atunci datorit filtrului, n spectrul semnalului y
(t )
nu
se vor regsi frecvenele care compun spectrul lui x
(t)
cu aceleai
amplitudini, ci o anumit parte din ele vor diferi sau chiar vor lipsi cu
totul. Deci acest bloc funcional numit filtru analogic are proprieti
selective fa de anumite frecvene din spectrul semnalului de intrare.
Construcia acestor filtre se realizeaz cu circuite liniare coninnd ca
elemente de circuit R, L, C, caz n care filtrul se numete filtru pasiv,
iar dac la aceste elemente se adaug i blocuri amplificatoare, atunci
filtrul se numete filtru activ. Dac la intrarea acestui circuit se aduce
un semnal sinusoidal, evident i semnalul de ieire va fi tot sinusoidal
dar este posibil s aib o amplitudine diferit fa de a celui de intrare,
precum i o faz diferit.
Se numete caracteristica amplitudine / frecven
reprezentarea grafic a dependenei de frecven a modulului
128 Filtre Numerice

raportului dintre amplitudinea semnalului de ieire i
amplitudinea semnalului de intrare, atunci cnd acestea sunt
sinusoidale.
Se numete caracteristica faz / frecven dependena de
frecven a defazajului dintre semnalul sinusoidal de ieire i cel
de intrare.

Vom ncerca s transpunem cele prezentate anterior n domeniul
semnalelor discrete. n figura 6.2 este prezentat un sistem a crui
funcionalitate se dorete a fi similar cu a filtrului analogic. La
intrarea i la ieirea blocului de prelucrare nu se mai gsesc mrimi
fizice, precum tensiuni electrice, ci se gsesc date numerice sau mai
simplu spus, numere. n acest caz, blocul de prelucrare este un
algoritm (regul) de calcul ce genereaz o secven de date la ieirea
sa, pornind de la o secven de date de intrare. Pentru ca analogia s
fie complet, va trebui s vedem ce efect are algoritmul de calcul
asupra spectrului de frecven al semnalului y
[n]
n raport cu cel al
semnalului x
[n]
.

x
[n]
y
[n]


Figura 6.2. Bloc de prelucrare a unui semnal discret.


Pare neverosimil pentru nceput c un algoritm de calcul poate duce la
modificri spectrale, dar iat dou exemple simple i uor de urmrit
care ilustreaz acest lucru.



6.1.1. Algoritm cu rol de filtru Trece J os


1] - [n
X
2
1

[n]
X
2
1
=
2
1] - [n
X +
[n]
X
=
[n]
y +
(6.1)

Conform algoritmului propus, valoarea eantionului furnizat la ieirea
filtrului la un moment dat este egal cu semi-suma dintre eantionul
curent de la intrare x
[n]
i cel anterior, x
[n-1]
.

Ioan P. MIHU - Procesarea Numeric a Semnalelor 129



Te
+
1/2 1/2
y
[ n]
x
[ n]


Figura 6.3. Schema algoritmului 6.1.

Acest algoritm poate fi intuitiv reprezentat ca n figura 6.3, unde prin
z
-1
s-a notat celula de ntrziere de ordinul 1. Aceast celul
realizeaz o ntrziere n timp egal cu Te, deci la ieirea ei este
disponibil eantionul imediat anterior. Din schem rezult modul de
operare al algoritmului, i anume: din secvena de eantioane x
[n]
se ia
eantionul curent i cel anterior, ambele se nmulesc cu 1/2 i apoi se
nsumeaz, rezultatul fiind depus la ieirea filtrului.

a.) Pentru nceput aducem la intrarea filtrului un semnal provenit din
eantionarea unui semnal continuu constant, de valoare unitar,
(x
[n]
= x
[n-1]
= x
[n-2]
=... =1), aa cum este artat n figura 6.4.

1
1
nTe
nTe
x
n
y
n
1
1
nTe
nTe
x
[ n]
y
[ n]

Fig. 6.4 Semnal continuu. Fig. 6.5. Semnal de frecven
Fe/2.

Semnalul continuu poate fi considerat ca provenind din eantionarea
n momentele nvecinate maximului unei sinusoide de frecven nul
130 Filtre Numerice

(perioada tinde ctre infinit). Se poate uor constata c aplicnd
algoritmul propus, la ieirea filtrului vom avea y
[n]
=1, pentru orice
moment ales sau cu alte cuvinte la ieirea filtrului se va regsi
semnalul de la intrare. n acest caz, se poate afirma c "semnalul
continuu (de frecven nul), trece nedeformat prin filtru".

b). Vom aduce acum la intrarea aceluiai filtru secvena: x
[n]
=1;
x
[n-1]
= -1; x
[n-2]
= 1; x
[n-3]
= -1; etc. Aa cum se vede din figura 6.5,
aceast secven poate proveni din eantionarea unui semnal
sinusoidal avnd frecvena Fe/2, momentele eantionrii "cznd"
chiar n momentele de extrem ale semnalului. Aplicnd algoritmul 6.1,
rezult c la ieire vom avea n permanen y
[n]
=0 pentru orice
moment ales. Deci algoritmul 6.1 se comport total diferit fa de
acest al doilea semnal comparativ cu primul, i anume el nu permite
trecerea semnalului de frecven Fe/2.
Fcnd o sintez a comportrii acestui algoritm fa de semnale de
frecvene diferite i plasnd ntr-o caracteristic de frecven cele
dou semnale exemplificate, rezult c forma caracteristicii de
frecven a algoritmului propus este de tip filtru "trece jos" (FTJ),
aa cum este artat n figura 6.6.

f
Fe / 2
1
|H|


Figura 6.6. Caracteristica aproximativ de frecven
a algoritmului 6.1.


6.1.2. Algoritm cu rol de filtru Trece Sus


1] - [n
X
2
1

[n]
X
2
1
=
2
1] - [n
X
[n]
X
=
[n]
y

(6.2)

Noul algoritm propus poate fi descris de schema din figura 6.7. Se
observ c algoritmul are aceeai structur ca i cel precedent (o
Ioan P. MIHU - Procesarea Numeric a Semnalelor 131

singur celul de ntrziere), cu excepia coeficientului de multiplicare
al lui x
[n-1]
.

Te
+
1/2 -1/2
Y
[ n ]
X
[ n ]


Figura 6.7. Schema algoritmului 6.2.


Vom aplica acestui algoritm pe rnd cele dou semnale utilizate n
exemplul anterior. Rezultatul trecerii acestora este ilustrat n figurile
6.8 i 6.9.

1
nTe
nTe
X
n -1
X
n
Y
n
1
1
nTe
nTe
X
n -1
X
n
Y
n
-1
-1


Fig. 6.8 Semnal continuu. Fig. 6.9. Semnal de frecven
Fe/2.



Concluzia acestui exemplu este acea c semnalul continuu (de
frecven nul) nu trece prin filtru, pe cnd cel de frecven Fe/2 trece
nedeformat. Cele afirmate pot fi nglobate ntr-o caracteristic de
frecven aproximativ, creia i cunoatem ns cu exactitate dou
puncte prin care va trece, cele corespunztoare frecvenelor 0 i Fe/2.
132 Filtre Numerice

Forma caracteristicii determinat de aceste dou puncte este una de
tip trece sus i ea este ilustrat n figura 6.10.


f
Fe / 2
1
|H|


Figura 6.10. Caracteristica aproximativ de frecven
a algoritmului 6.2.

n sintez la exemplele prezentate, rezult c algoritmii de calcul au
o comportare selectiv fa de semnale cu frecvene diferite, de
unde i justificarea denumirii lor de filtre. Deoarece aceste filtre sunt
implementate cu algoritmi numerici, ele se numesc filtre numerice.
Orice algoritm de calcul se ncadreaz n marea categorie cunoscut
sub numele de procesare numeric de semnal. Obiectivele
algoritmilor de calcul, implementai pe sisteme numerice, pot fi foarte
diverse, aa cum am artat n capitolul 1.









n categoria procesrilor numerice de semnal,
vom defini filtrul numeric ca fiind acel algoritm care are ca obiectiv
o comportare spectral selectiv, apriori stabilit,
fa de semnalele pe care acestea le proceseaz.



Iat, deci, c toate filtrele numerice sunt algoritmi de calcul, care
produc la ieire o secven y
[n]
pornind de la o secven de intrare x
[n]
,
i avnd ca scop o comportare selectiv fa de semnale de frecven
diferit, cuprinse n secvena x
[n]
.

Exist dou mari categorii de probleme legate de filtrele numerice:
Impunndu-se filtrului o anumit comportare n domeniul
frecven (dat de obicei prin caracteristica de frecven),
Ioan P. MIHU - Procesarea Numeric a Semnalelor 133

trebuie determinat structura i coeficienii acestuia. Problema
o vom numi n continuare proiectarea filtrului.
Fiind dat un algoritm trebuie determinat comportarea sa
spectral. Problema o vom numi analiza filtrului.


6.2. Clasificarea filtrelor numerice


Principial, un filtru numeric reprezint un sistem liniar prin care trece un
semnal. Criteriile de clasificare a filtrelor numerice sunt n parte aceleai cu
ale sistemelor, de aceea le vom aminti sintetic, cu cteva precizri specifice.
Dintre criteriile de clasificare a filtrelor numerice, cele mai importante sunt
urmtoarele:

6.2.1. Filtre nerecursive / filtre recursive. Este un criteriu major de
clasificare a filtrelor din punct de vedere al structurii algoritmului. Astfel,
exist dou mari categorii:


a. Filtre nerecursive

Aceste filtre au specific faptul c ieirea y
[n]
a filtrului depinde doar de
valoarea ultimelor N eantioane din secvena de intrare x
[n]
i de valoarea
celor N coeficieni ai filtrului. Acest tip de filtru este definit de algoritmul
urmtor:
x h y
1 N
0 k
k] - [n [k] [n]

=
= (6.3)

Grafic, structura de filtru nerecursiv este ilustrat n figura 6.11.
Reprezentarea grafic a unui filtru prezint foarte intuitiv circulaia fluxului
de date. Se mai numete i filtru transversal. Observaii:
Blocul grafic notat cu z
1
reprezint celula de ntrziere. Dac la
intrarea ei se afl eantionul curent, atunci la ieirea ei se afl eantionul
anterior acestuia. Din punct de vedere fizic acest lucru este uor de
realizat: dac secvena de date de intrare ocup cronologic un anumit
spaiu de memorie, i dac eantionul curent este x
[n]
, atunci x
[n-1]

reprezint eantionul mai vechi cu o perioad de eantionare, i care
ocup locaia de memorie nvecinat.
Fiecare eantion este multiplicat cu unul din cei N coeficieni ai filtrului.
Acest lucru este ilustrat grafic de valoarea h
[k]
trecut n triunghiul aferent
134 Filtre Numerice

fiecrui eantion. Adesea coeficienii nu se mai deseneaz n acel
triunghi ci sunt trecui alturi de linia aferent eantionului.
Valoarea curent y
[n]
a ieirii filtrului rezult prin nsumarea tuturor
produselor, conform relaiei de definiie 6.3.

Te
+
h[0] h[1]
y
[n]
x
[n]
Te Te
h [N-1]
h[2]
x
[n-1]
x
[n-2]
x
[n-(N-1]


Figura 6.11. Filtru nerecursiv.


Pentru implementarea unui algoritm de filtru nerecursiv, trebuie:
S existe spaiu de memorie suficient i s fie memorate cronologic,
ultimele (N-1) eantioane din secvena de intrare, inclusiv eantionul
curent;
s fie cunoscui cei N coeficieni ai filtrului;
s existe alocat un spaiu de memorare pentru cei N coeficieni ai filtrului;
s se efectueze cele N nmuliri;
s se efectueze cele (N-1) adunri.


b. Filtre recursive

La acest tip de filtre ieirea y
[n]
depinde att de valoarea ultimelor N
eantioane din secvena de intrare x
[n]
, ct i de valoarea ultimelor M
eantioane din chiar secvena de ieire.
Acest tip de filtru este definit de algoritmul urmtor:

] j - [n
y
M
1 j

] j [
b
k] - [n
x
1 - N
0 k

[k]
h
[n]
y
=

=
=

(6.4)

Aa cum se observ, att din relaia de definiie, ct i din figura 6.13 n care
este ilustrat graful unui filtru recursiv, nsumarea pentru termenii recursivi
(cei ce implic secvena de ieire), nu l include i pe y
[n]
, ci doar valori
Ioan P. MIHU - Procesarea Numeric a Semnalelor 135

anterioare ale acestuia ncepnd cu y
[n-1]
, deoarece este evident c ieirea nu
poate depinde de ea nsi, atta vreme ct ea nu este determinat.
Structura de baz a filtrului recursiv este cea din figura 6.12, numit forma
transversal.




Te
+
h[0] h[1]
y
[n]
x
[n]
Te Te
h [N-1]
h[2]
x
[n-1]
x
[n-2]
x
[n-(N-1]
- b [1] - b [2] -b [M]
Te Te Te
y
[n-2]
y
[n-1]
y
[n- M]


Figura 6.12. Filtru recursiv. Forma transversal.


Exist i alte forme n care poate fi organizat structura unui filtru recursiv.
Spre exemplu, reaeznd forma transversal din figura 6.12 ca n figura 6.13,
i observnd c cele dou blocuri marcate cu linie punctat sunt comutative,
se obine structura din figura 6.14.


136 Filtre Numerice

Te
+
b[1]
y
[n]
x
[n]
Te
+
h[1]
h[0]
b[M]
h[N-2]
h[N-1]
Te
Te Te


Figura 6.13. Filtru recursiv. Variant a formei transversale.


Te
+
b[1]
y
[n]
x
[n]
Te
+
h[1]
h[0]
b[M]
h[N-2]
h[N-1]
Te
Te Te


Figura 6.14. Filtru recursiv. Variant a formei transversale.


n figura 6.14, celulele de ntrziere nvecinate au funcii identice, de aceea se
pot nlocui cu cte o singur celul de ntrziere. Rezult astfel structura din
figura 6.15 numit forma canonic paralel.

Ioan P. MIHU - Procesarea Numeric a Semnalelor 137

Te
+
b[1]
y
[n]
x
[n]
Te
+
h[1]
h[0]
b[M]
h[N-2]
h[N-1]
Te
v
[n]


Figura 6.15. Filtru recursiv. Forma canonic paralel.


Avantajul acestei forme fa de forma transversal este acela c
implementarea algoritmului necesit un numr mai mic de celule de
ntrziere. Forma canonic paralel oblig n schimb la folosirea unei variabile
intermediare.
Exist i alte structuri de filtre, dintre care unele vor fi amintite n capitolul
dedicat proiectrii filtrelor recursive.
6.2.2. Filtre cauzale / necauzale

La filtrele cauzale mrimea de ieire depinde doar de valoarea prezent i de
valorile trecute ale secvenei x
[n]
, nu i de valorile viitoare.

Exemple:
y
[n]
= 0,8x
[n ]
0,2x
[n-1]
+ 0,05x
[n-2]
este cauzal;
y
[n]
= 0,8x
[n +1]
+ 0,2x
[n]
+ 0,05x
[n-1]
este necauzal, deoarece depinde de
un eantion necunoscut nc: x
[n +1]
;
y
[n]
= 0,8x
[n -1]
+ 0,2y
[n+1]
; este necauzal; depinde de y
[n+1]
;

Filtrele necauzale nu pot fi implementate pe sisteme numerice on line. De
aceea n cazul n care rezult algoritmi ce conin eantioane viitoare
precum: x
[n+1]
, y
[n+1]
etc, atunci soluia pentru implementarea lor o constituie
transformarea lor n filtre cauzale prin ntrzierea lor cu un numr suficient de
impulsuri de tact (k), astfel nct x
[n+k]
s devin x
[n]
.


6.2.3. Filtre stabile / instabile

138 Filtre Numerice

Filtrele stabile sunt cele care produc la ieire valori finite, atunci cnd la
intrare se aduc secvene de valori finite.
Filtrele instabile sunt cele care produc la ieire valori infinite, atunci cnd la
intrare se aduc secvene de valori finite. Iat dou exemple:

a. y
[n]
= x
[n]
+ x
[n -1]
+ x
[n -2]
;

KTe 0 1 2 3 4 5 6 7 ......
x
[n]
1 1 1 0 0 0 0 0 0
y
[n]
1 2 3 2 1 0 0 0 ...... 0

Observaie important: De fiecare dat cnd n calculul unui eantion
de ieire intervin eantioane al cror indice se afl n afara irului de
date existent, acestea vor fi considerate nule. Spre exemplu, n
calculul primului eantion vom avea: y
[0]
= x
[0]
+ x
[ -1]
+ x
[ -2]
. Ori, aa
cum se vede n irul de date, nu exist dect eantioane cu indice
superior lui zero. Pentru a depi impasul, vom considera n
continuare c: x
[ -1]
= x
[ -2]
= 0, ceea ce echivaleaz de fapt cu
prelungirea la stnga a irului de date x
[ n]
cu dou valori nule. Din
punct de vedere fizic, semnificaia acestei atribuiri de valori nule este
aceea c toate eantioanele de intrare dinaintea momentului nceperii
experimentului, sunt considerate c exist i ca au valoare nul.
Din exemplul analizat se observ c ieirea filtrului ia valori finite,
adic filtrul este stabil. Toate filtrele nerecursive sunt stabile.

b. y
[n]
= x
[n]
+ y
[n -1]
+ y
[n -2]
;

Considernd c x
[n]
ia o singur dat valoarea 1, i c iniial toate
valorile secvenei de ieire sunt nule, rezult:

KTe 0 1 2 3 4 5 6 7 ......
x
[n]
1 0 0 0 0 0 0 0 0
y
[n]
1 1 2 3 5 8 13 21 ......

Se observ c indiferent de valoarea lui x
[n]
> 0, ieirea tinde ctre
infinit, ceea ce face ca filtrul s fie instabil. Filtrele recursive pot fi
instabile, n funcie de valoarea coeficienilor.


6.2.4. Filtre FIR / IIR. Criteriul avut n vedere de aceast clasificare este
acela al timpului n care ieirea unui filtru revine la zero, dup aplicarea unui
impuls unitar la intrare. Conform acestui criteriu, exist dou tipuri de filtre:

Ioan P. MIHU - Procesarea Numeric a Semnalelor 139

Filtre cu rspuns finit la impuls. n literatura de specialitate sunt
desemnate cu acronimul FIR (Finit Impulse Response). Filtrele de tip
FIR sunt acelea la care ieirea revine la zero dup o durat finit de timp
de la aplicarea impulsului unitar.
Filtre cu rspuns infinit la impuls sau filtre IIR (Infinit Impulse
Response). Sunt filtrele la care ieirea revine la zero dup o durat
infinit de timp de la aplicarea impulsului unitar. Iat dou exemple:


a. y
[n]
= x
[n ]
+ 2x
[n -1]
+ 3x
[n -2]
;

Se zice c x
[n]
este un impuls unitar atunci cnd ia o singur dat
valoarea 1, i anume n momentul iniial, toate celelalte valori fiind
nule. El este similar impulsului Dirac folosit n studiul semnalelor n
timp continuu.

kTe 0 1 2 3 5 ......
x
[n]
1 0 0 0 0 0
y
[n]
1 2 3 0 0 ...... 0

Se observ c ieirea filtrului revine la valoarea zero dup un interval
de timp egal cu lungimea filtrului ori perioada de eantionare. Mai
mult dect att, aplicarea unui impuls unitar la intrarea filtrului FIR,
va produce la ieirea acestuia chiar valorile coeficienilor filtrului.
n concluzie, toate filtrele nerecursive sunt FIR.

b. y
[n]
= x
[n ]
+ 0,1y
[n -1]
;

Considernd c x
[n]
este un impuls unitar i c iniial toate valorile
secvenei de ieire sunt nule, rezult:

kTe 0 1 2 3 5 ......
x
[n]
1 0 0 0 0 0
y
[n]
1 0,1 0,01 0,001 0,0001 ...... 0


Iat deci c la apariia unui impuls unitar la intrarea filtrului,
ieirea sa revine la zero abia dup un timp infinit. Din acest
motiv filtrul din acest exemplu este un fitru cu rspuns infinit
la impuls.
Se poate afirma c, de regul, filtrele recursive sunt I I R.

n afara tipurilor de filtre amintite exist i alte categorii, ca de exemplu:
140 Filtre Numerice

Filtre cu faz liniar. Sunt acele filtre care produc un defazaj
proporional cu frecvena ntre sinusoida de ieire i cea de intrare. Toate
filtrele nerecursive sunt filtre cu faz liniar.
Filtre notch. Sunt filtrele al cror obiectiv este acela de a rejecta
(nltura) o singur frecven i de a afecta ct mai puin restul
frecvenelor.


6.2.5. Ordinul filtrului

n cazul cel mai general, cel al filtrelor recursive:

Se numete ordin al filtrului, numrul maxim al celulelor de memorare,
dintre cele aflate la intrare sau cele aflate la ieire.

Ex: y
[n]
=2 x
[n]
x
[n1]
+ y
[n2]
. ordinul 2
y
[n]
= x
[n]
y
[n3]
. ordinul 3
y
[n]
=2 x
[n]
x
[n1]
+ x
[n2]
+ y
[n1]
ordinul 2

n cazul filtrelor nerecursive, ordinul filtrului reprezint numrul maxim de
celule de memorare folosite la intrarea filtrului.

Ex: y
[n]
=2 x
[n]
x
[n1]
ordinul 1
y
[n]
= x
[n]
x
[n3]
ordinul 3



6.3. Aplicaii

Problema 6.1. Ordinul unui filtru este egal cu numrul maxim al celulelor de
ntrziere, dintre cele aflate la intrarea filtrului sau la ieirea sa. Precizai
ordinul filtrelor urmtoare:
y
[n]
=2 x
[n]
x
[n1]
+ y
[n1]
.
y
[n]
= x
[n]
x
[n3]
+ y
[n3]
.
y
[n]
=2 x
[n]
x
[n1]
+ x
[n2]
+ y
[n1]
+ y
[n2]
.
y
[n]
=2 x
[n]

Poate avea un filtru recursiv ordinul zero ?

Problema 6.2. Se d filtrul: y
[n]
= x
[n]
+ y
[n1]
. Precizai dac acest filtru este:
cauzal / necauzal;
recursiv / nerecursiv;
Ioan P. MIHU - Procesarea Numeric a Semnalelor 141

FIR / IIR.

Problema 6.3. Se d filtrul: y
[n]
= x
[n]
2x
[n1]
+ x
[n2]
. Secvena x
[n]
este cea
din figura 6.16:

n
n
x[n]
y[n]


Figura 6.16. Semnale pentru problema 6.3.


S se determine i s se deseneze secvena de ieire y
[n]
;
Ce form ar avea y
[n]
dac x
[n]
ar proveni dintr-o sinusoid?
Care ar fi defazajul dintre sinusoida de intrare i cea de ieire,
dac x
[n]
= Asin (2nF / Fe) unde F=50 Hz, Fe=1000 Hz ?
Ce form ar avea secvena de ieire dac x
[n]
=
[n]
(impuls unitar)?
Evideniai eantioanele de ieire afectate de zero-padding.
Problema 6.4. Pentru un sistem liniar i invariant n timp se cunoate
rspunsul la impulsul unitar h
[n]
. S se determine rspunsul sistemului dac se
cunoate secvena de intrare x
[n]
pentru exemplul din figura urmtoare:

142 Filtre Numerice

n
n
x[n]
h[n]
1
2
n
y[n]
1


Figura 6.17. Semnale pentru problema 6.4.


Problema 6.5. S se arate printr-un exemplu c sistemul din problema
anterioar este invariant n timp.


Problema 6.6. Un filtru numeric este descris de urmtorul algoritm:
y
[n]
=0,5 x
[n]
x
[n1]
+0,5 x
[n2]
.
Care este ordinul filtrului?
Cum se comport acest filtru fa de un semnal de frecven nul?
Poate fi acest filtru un FTJ?
Desenai schema bloc a filtrului n forma transversal.

Problema 6.7. Pentru filtrele urmtoare, determinai rspunsul la impuls
unitar.
a. y
[n]
=2 x
[n]
x
[n1]
+ x
[n2]

b. y
[n]
=2 x
[n]
x
[n1]
+ x
[n2]
+ y
[n1]


Problema 6.8. Se d filtrul: y
[n]
=2 x
[n]
x
[n1]
+ x
[n2]
+ y
[n1]

a. Desenai structura filtrului n forma transversal.
b. Desenai structura filtrului n forma canonic paralel.
c. Calculai primele 10 eantioane ale rspunsului unitar al filtrului
folosind forma transversal
d. Calculai primele 10 eantioane ale rspunsului unitar al filtrului
folosind forma canonic paralel. Comparai rezultatele.

Ioan P. MIHU - Procesarea Numeric a Semnalelor 143





6.4. Bibliografie


[6.1] Ifeachor, E.C., Jervis, B.W., Digital Signal Processing A Practical
Approach, Addison/Wesley, 1999

[6.2] *** http://www.dspguide.com/ch14.htm

[6.3] *** http://www.jhu.edu/~signals/dtftprops/indexDTFTprops.htm

[6.4] *** http://www.bores.com/courses/intro/freq/index.htm

[6.5] *** http://www.dsptutor.freeuk.com/intro.htm









7. PROIECTAREA FILTRELOR NERECURSIVE



7.1. Introducere


Filtrul numeric este un algoritm care are o comportare spectral
selectiv, ca n exemplul din figura 7.1.


Filtru numeric
|H(j)|


f
x[n] y[n]

Figura 7.1. Filtru numeric.

Exist mai multe metode de a realiza filtre numerice folosind
algoritmi nerecursivi. nainte de a le trece n revist, merit amintit o
abordare principial a ideii de filtrare numeric rezultat din
transformarea Fourier Discret.



w
(n)



n
x
[n]


TFD


TFDI

y
[n]


Figura 7.2. Ferestruirea spectrului.

Transformata Fourier a semnalului x
[n]
este un vector complex, coninnd
amplitudinea i faza componentelor spectrului. Pentru a realiza filtrarea, nu
rmne dect s se selecteze din spectrul rezultat frecvenele dorite.
Selectarea se poate face prin ferestruirea spectrului cu ajutorul unei funcii
144 Filtre nerecursive

w
[n]
avnd forma caracteristicii de frecven dorite. Aplicnd apoi
transformata Fourier Discret Invers se ajunge la valoarea filtrat a
semnalului n domeniul timp.



7.2. Proiectarea filtrelor nerecursive cu ajutorul tranformrii Fourier

n continuare, conform cu cele artate n capitolul 6.1, prin sintagma filtru
numeric vom nelege un algoritm liniar, ce trebuie s aib o anumit
comportare spectral, de obicei impus. Problema ce trebuie rezolvat n
continuare este: s se determine numrul i valoarea coeficienilor
algoritmului, atunci cnd este cunoscut (impus) forma i dimensiunile
modulului caracteristicii de frecven. Asupra fazei caracteristicii de
frecven nu se impun n acest caz condiionri.


7.2.1. Filtru Trece J os

Caracteristica unui Filtru Trece Jos ideal poate fi descris astfel: toate
semnalele sinusoidale avnd frecvena cuprinse ntre 0 Ft trec fr a fi
atenuate sau amplificate, iar cele avnd frecvena cuprins ntre 0 Fe/2 nu
trec. Limitarea frecvenelor la +Fe/2 se face datorit criteriului Nyquist.
Acest lucru este ilustrat de forma caracteristicii din figura 7.3, unde linia
ngroat arat c mrimea caracteristicii n domeniul de frecvene pozitive
este unitar n toat banda de trecere i nul n restul intervalului.
Pentru a putea folosi instrumente matematice precum transformarea Fourier,
domeniul de definiie al frecvenei trebuie extins i n domeniul frecvenelor
negative. De aceea forma complet a caracteristicii de frecven va include
domeniul: Fe/2 + Fe/2.



1
f
| H(j)|
- Fe - Fe / 2 Ft Fe / 2 Fe - Ft

Figura 7.3. Caracteristica de frecven ideal de tip Trece Jos.
Ioan P. MIHU - Procesarea Numeric a Semnalelor 145


Cum determinm numrul i valorile coeficienilor atunci cnd cunoatem
caracteristica de frecven? Pentru aceasta este util de revzut sinteza din
figura 4.6. De acolo rezult c atunci cnd se cunoate caracteristica de
frecven se pot determina coeficienii filtrului prin aplicarea Transformatei
Fourier Discrete Inverse. n cazul de fa aplicarea relaiei de definiie a
TFDI nu mai este posibil, deoarece ea se refer la un numr finit de valori
H[n], ori caracteristica filtrului trece jos propus este continu, n intervalul
de frecven: Fe/2 +Fe/2. Din acest motiv, nsumarea din relaia de
definiie a TFDI se transform n integral astfel:

df e | ) H(j |
Fe
1
h
Fe/2
Fe/2 -
Fe
f
2 n j

[n]


(7.1)

Dar |H(j)| = 1 n intervalul Ft +Ft i zero n rest. Rezult:

df e
Fe
1
h
Ft
Ft -
Fe
f
2 n j
[n]


(7.2)

n matematic se cunoate valoarea integralei definite care intr n calculul
coeficienilor:

( )
( ) Ft n sinc Ft 2
Ft n
Ft n sin
Ft 2 df e
Ft
Ft -
f n j


(7.3)

Funcia sinc(x) definit n relaia 7.3 se numete sinus cardinal n
literatura francez de specialitate sau sinc function n cea englez. Este o
funcie cu multe utilizri n domeniul procesrii numerice a semnalelor.
Graficul ei este cel din figura urmtoare:

1
x
-2 - 2 3

'

0 pentru x 1
0 pentru x
x
(x) sin

) x ( c sin


Figura 7.4. Graficul funciei sinus cardinal.
146 Filtre nerecursive

Valorile coeficienilor vor fi n acest caz urmtoarele:


Fe
Ft
2 n sinc
Fe
Ft
2
Fe
Ft
2 n

Fe
Ft
2 n sin

Fe
Ft
2 h
[n]

,
_

,
_


(7.4)

Observaii:

Relaia 7.4 arat c cei N coeficieni h
[n]
rezult prin eantionarea
funciei sinc(x), unde x ia N valori discrete. N se numete ordin al
filtrului.

Eantionarea funciei sinc(x) se face astfel nct jumtate din cei N
coeficieni h
[n]
vor fi la stnga originii, iar cealalt jumtate la
dreapta originii. Astfel, dac N este impar avem:

Fe
Ft
2 n x , pentru n = -(N-1)/2, 0, (N-1)/2 (7.5)

Vor rezulta dou situaii diferite n funcie de felul par sau impar al
lui N. Cele dou situaii sunt ilustrate n figurile 7.5 i 7.6.
-10 -9 -8 -7 --3 -2 -1 0 1 2 3 7 8 9 10
-6 -5 -4 - 4 5 6
n

Figura 7.5. Eantionarea funciei sinc(x) pentru N impar (N=21)

-9,5 -8,5 -7,5 -6,5 -2,5 -1,5 -0,5 0,5 1,5 2,5 6,5 7,5 8,5 9,5
-5,5 -4,5 -3,5 - 3,5 4,5 5,5
n

Figura 7.6. Eantionarea funciei sinc(x) pentru N par (N=20)
Ioan P. MIHU - Procesarea Numeric a Semnalelor 147


Rezult:

N = impar: h
[- (N-1)/2]
, .. h
[-1]
, h
[0]
, h
[1]
, . h
[(N-1)/2]
(7.6)

N = par: h
[- (N/2) +1/2]
, h
[-1/2]
, h
[1/2]
, h
[(N/2) - 1/2]
(7.7)

Dac n algoritmul de filtrare s-ar folosi setul de coeficieni de mai
sus, ar rezulta calcule cu indici mai mari dect eantionul curent,
adic eantioane din viitor. Filtrele ar deveni necauzale, iar astfel
de filtre nu pot fi implementate on line. Din acest motiv toate
valorile coeficienilor se translateaz, astfel nct indicele primului
eantion, cel din stnga irului, s devin zero. Aceast translaie
reprezint o ntrziere n domeniul timp egal N/2 * Te. Obiectivele
filtrrii nu vor fi afectate n nici un fel, singura consecin a acestei
translaii fiind ntrzierea rspunsului. n acest fel, indiferent dac N
a fost par sau impar, va rezulta irul de N valori:

N = par sau impar: h
[0]
, h
[1]
, h
[N - 1]
(7.8)

Coeficienii astfel rezultai sunt cei care vor fi folosii pentru filtrarea
semnalului x
[n]
cu relaia binecunoscut de acum:
x h y
1 N
0 k
k] - [n [k] [n]

(7.9)
Ci coeficieni h
[n]
se construiesc, respectiv ce valoare trebuie s
aib N? Pentru a afla rspunsul la aceast ntrebare este util s fie
comparate filtrele de ordin diferit din figura 7.7. Ambele filtre au
aceeai frecven de tiere i aceeai frecven de eantionare. Se
observ c pentru N mai mare, caracteristica este mai aproape de
forma ideal impus iniial.
Forma exact a caracteristicii de frecven a filtrului definit de cei N
coeficieni se determin cu relaia 4.21.

Ft f
|H
(j)
|
N =10
Ft f
|H
(j)
|
N =30


Figura 7.7. Filtre trece jos de ordin diferit

148 Filtre nerecursive

Deci, cu ct N este mai mare, cu att mai mult caracteristica de
frecven rezultat va fi mai apropiat de caracteristica ideal
propus. Teoretic, doar pentru un numr infinit de coeficieni se
poate obine o caracteristic de filtru trece jos ideal. n concluzie
ordinul filtrului se alege ct mai mare posibil, singura constrngere
fiind cea legat de timpul de calcul.


7.2.2. Filtru Trece Sus

Coeficienii acestui tip de filtru se determin n mod similar cu ai celui
precedent, plecndu-se de la modulul caracteristicii sale de frecven.
1
f
| H(j)|
- Fe - Fe / 2 Ft Fe / 2 Fe - Ft


Figura 7.8. Caracteristica de frecven ideal de tip trece sus.


innd cont de forma caracteristicii, se ajunge la:

df e
Fe
1
df e
Fe
1
h
Fe/2
Ft
Fe
f
2 n j
Ft -
Fe/2 -
Fe
f
2 n j
[n]
+


(7.10)

Rezult:

Fe
Ft
2 n sinc
Fe
Ft
2 n) sinc( h
[n]

,
_

(7.11)
pentru N impar: n = -(N-1)/2, 0, (N-1)/2



7.2.3. Filtru Trece Band
Modulul caracteristicii de frecven al acestui filtru este cel din figura 7.10.
Dup calcule similare cu cele precedente, se ajunge la:
Ioan P. MIHU - Procesarea Numeric a Semnalelor 149



Fe
Ft
2 n sinc
Fe
Ft 2

Fe
Ft
2 n sinc
Fe
Ft 2
h
1 1 2 2
[n]

,
_


,
_

(7.12)

pentru N impar: n = -(N-1)/2, 0, (N-1)/2

1
f
| H(j)|
- Fe - Fe/2 Ft
1
Fe/2 Fe -Ft
1 Ft2
-Ft
2


Figura 7.9. Caracteristica de frecven ideal de tip trece band.


7.2.4. Filtru oprete band

Modulul caracteristicii de frecven al acestui filtru este cel din figura 7.11.

1
f
| H(j)|
- Fe - Fe/2 Ft
1
Fe/2 Fe -Ft
1 Ft2
-Ft
2


Figura 7.10. Caracteristica de frecven ideal de tip oprete band.


Dup calcule similare cu cele precedente, se ajunge la:


Fe
Ft
2 n sinc
Fe
Ft 2

Fe
Ft
2 n sinc
Fe
Ft 2
) n ( c sin 2 h
1 1 2 2
[n]

,
_

+
,
_

(7.13)
pentru N impar: n = - (N-1)/2, 0, (N-1)/2


Ca i n cazul filtrului trece jos, calculul coeficienilor rezultai din relaiile
7.11, 7.12, 7.13, va fi adaptat corespunztor dac N este par. De asemenea
150 Filtre nerecursive

coeficienii h
[n]
trebuie translatai pentru a avea doar indici pozitivi i n
consecin filtre cauzale.
Toate cele patru tipuri de filtre proiectate mai sus au caracteristici de faz
liniare, adic defazajul dintre sinusoida de la ieirea filtrului i cea de la
intrare depinde proporional de frecvena lor.


7.3. Proiectarea Filtrelor Nerecursive prin metoda Fourier discret

n metoda de proiectare anterioar am plecat de la a impune o caracteristic
de frecven de tip continuu. Altfel spus, s-a impus fiecrei frecvene din
domeniul de definiie o anume valoare a modulului caracteristicii, aa cum
rezult evident din figurile care au prezentat filtrele Trece Sus, Trece Jos,
Trece Band i Oprete Band. Cu metoda de fa, vom impune valori dorite
ale caracteristicii de frecven doar anumitor frecvene din domeniul de
definiie. De aceea metoda mai poart denumirea de eantionare a
domeniului frecven. Pentru aceasta, se vor alege N puncte din intervalul
Fe/2 +Fe/2, puncte n dreptul crora vom impune valori dorite ale
modulului caracteristicii de frecven. n figura 7.11 este prezentat intervalul
de frecven menionat, raportat la cele N eantioane.

f
- int(N / 2)
- Fe / 2 Fe / 2
int(N / 2)
N valori


Figura 7.11. Cele N valori ale frecvenelor n intervalul: Fe/2 Fe/2.


Unde se plaseaz cele N puncte n interiorul intervalului precizat? Pentru
aceasta trebuie inut de urmtoarele afirmaii:
Punctele se plaseaz echidistant;
ntre puncte se vor gsi intervale egale de mrime Fe/N ;
Nici un punct nu se va plasa n extremitile domeniului, respectiv n
punctele Fe/2 i + Fe/2;
Ioan P. MIHU - Procesarea Numeric a Semnalelor 151


n funcie de faptul c n este par sau impar, mijlocului domeniului (f=0), i
va corespunde sau nu o valoare a lui n, aa cum este ilustrat n figurile
urmtoare, pentru cazurile N=9 i N=10.
f
- Fe / 2 Fe / 2
9 intervale situate simetric
Fe / 9
(Fe/9) (Fe/9)
-4 -3 -2 -1 0 1 2 3 4


Figura 7.12. Situarea intervalelor de frecven pentru N=9.



f
- Fe / 2 Fe / 2
10 intervale situate simetric
Fe / 10
(Fe/10)
(Fe/10)
-4,5 -3,5 -2,5 -1,5 -0,5 0,5 1,5 2,5 3,5 4,5


Figura 7.13. Situarea intervalelor de frecven pentru N=10.


Urmtoarea etap este aceea a proiectrii propriu-zise a caracteristicii
filtrului. Pentru aceasta se pot atribui acele valori care vor duce la o form
dorit a caracteristicii de frecven, aa cum este artat n figura 7.14 pentru
N impar i n figura 7.15 pentru N par.

f
- Fe / 2 Fe / 2
-4 -3 -2 -1 0 1 2 3 4


Figura 7.14. Setarea valorilor |H
(j k o)
| pentru N=9.

152 Filtre nerecursive

Pentru construcia caracteristicii se va folosi doar intervalul frecvenelor
pozitive i anume: 0 Fe/2. Intervalul frecvenelor negative se construiete
apoi prin simetrie. n acest fel se genereaz urmtorul vector de valori:

H
[-(N-1)/2]
, H
[-(N-3)/2]
, , H
[-1]
, H
[ 0 ]
, H
[ 1 ]
, , H
[(N-3)/2]
, H
[(N-1)/2]



f
- Fe / 2 Fe / 2
-4,5 -3,5 -2,5 -1,5 -0,5 0,5 1,5 2,5 3,5 4,5


Figura 7.15. Setarea valorilor |H
(j k o)
| pentru N = 10.


Determinarea valorilor coeficienilor h
[n]
se face prin aplicarea TFDI.


{ } ( )
] k [
H TFDI Re
[n]
h

Rezult:


1)/2 - (N
1)/2 - -(N k
N
n k 2
cos
] i [
H
N
1

[n]
h

,
_



(7.14)

pentru N impar: n = - (N-1)/2, 0, (N-1)/2


Observaii:
Implementarea tuturor relaiilor de calcul a coeficienilor filtrelor
prezentate se face difereniat pentru N par i N impar, din motivele
prezentate pe larg anterior.
Relaia 7.14 i altele n care apar indici de forma (N-1)/2, se refer la
cazul N impar. Pentru N par, relaiile se adapteaz corespunztor astfel
nct s se ajung la situaia din figura 7.15.
Avantajul acestei metode n raport cu celelalte const n aceea c ea
permite s se defineasc apriori puncte ale caracteristicii de frecven n
care va exista o coinciden a rspunsului teoretic cu rspunsul real.
Altfel spus, dac se construiete acum caracteristica de frecven a
filtrului dat de coeficienii din relaia 7.14, vom constata c n dreptul
frecvenelor va exista o coinciden perfect a valorii stabilite cu cea a
caracteristicii.

Ioan P. MIHU - Procesarea Numeric a Semnalelor 153


7.4. Sinteza modului de proiectarea a filtrelor nerecursive


Se construiete forma i dimensiunile caracteristicii de frecven dorite
Se opteaz pentru unul din tipurile:
FTJ, FTS, FTB sau FTS, preciznd:
frecvena de eantionare Fe
ordinul filtrului N
Ft, Ft1, Ft2 dup caz
Se calculeaz coeficienii h
[n]
Se construiete caracteristica
prin puncte preciznd:
frecvena de eantionare Fe
ordinul filtrului N
valorile lui |H(j)| n N/2
puncte din intervalul [0 Fe/2]
Se folosesc relaiile
7.4, 7.11, 7.12 sau 7.13 dup caz,
tratnd separat situaiile n care N
este par sau impar
Se folosete relaia 7.14,
tratnd separat situaiile n care N
este par sau impar
Se calculeaz caracteristica |H
[n]
| cu relaia 4.21, i se compar cu cea propus.
Dac rezultatul este nemulumitor, se reia construcia caracteristicii
folosind ali parametrii
Se folosesc cei N coeficienii h
[k]
pentru a filtra semnale x
[n]
dorite:
x h y
1 N
0 k
k] - [n [k] [n]


Metoda
Transformata Fourier
Metoda
T. Fourier Discret

Figura 7.16. Sintez: proiectarea, verificarea i utilizarea filtrelor.

Desigur c alturi de cele dou metode propuse aici pentru a construi
caracteristici de frecven i de a determina apoi setul de N coeficieni ai
filtrului, exist i alte metode, ca de exemplu cele oferite de mediul Matlab.
154 Filtre nerecursive

7.4. Proiectarea filtrelor nerecursive n MATLAB


Mediul de programare Matlab permite proiectarea facil a filtrelor numerice.
Exist dou capitole n Matlab n care se pot proiecta filtre numerice:
Signal Processing Toolbox i Filter Design Toolbox. n primul din cele
dou exist i instrumente pentru analiza spectral a semnalelor, iar cel de-al
doilea este dedicat n exclusivitate proiectrii filtrelor performante.
Proiectarea filtrelor recursive i a celor nerecursive are un element comun
de abordare: ambele, se proiecteaz prin construirea caracteristici de
frecven ce se dorete a fi avut de filtrul proiectat.
Este bine s avem o imagine sintetic a ceea ce se poate realiza n
Matlab, legat de proiectarea filtrelor nerecursive. Acest lucru este
ilustrat n schema de principiu din figura urmtoare:

I mpunerea unei caracteristici de frecven dorite
Exemplu de funcii folosite: fir1, gremez, etc.
Se introduc: N numrul de coeficieni dorii (ordinul filtrului)
perechi (fk, Ak), pentru fiecare funcie.
Rezult un vector h coninnd cei N coeficieni ai filtrului, consecin a
condiiilor impuse caracteristicii de frecven i a funciei de calcul alese.
Pe baza vectorului h, se calculeaz
caracteristica de frecven real, cu
ajutorul funciei freqz
De regul ea difer de caracteristica
dorit. Ele pot fi vizualizate cu plot
Se poate realiza filtrarea efectiv a
oricrui semnal i se studiaz efectul
filtrului n domeniul timp. folosind
funcia filter


Figura 7.17. Proiectarea, verificarea i utilizarea filtrelor n Matlab.
Ioan P. MIHU - Procesarea Numeric a Semnalelor 155


n Signal Processing Toolbox exist definite urmtoarele funcii care
permit proiectarea de filtre numerice nerecursive: cremez, fir1, fir2, fircls,
firls, firrcos, intfilt, kaiserord, remez, remezord, sgolay.
Aceste funcii sunt diferite ntre ele prin aparatul matematic i prin
performane specifice. Din punct de vedere al utilizatorului, toate aceste
funcii trebuie privite ntr-o prim abordare ca i modaliti diferite n care
poate fi descris, forma dorit a graficului caracteristicii de frecven. De
regul, graficul caracteristicii de frecven este desenat prin segmente de
dreapt. Prin parametrii acestor funcii sunt specificate coordonatele
capetelor acestor segmente.
n Matlab formatul datelor este matricial. De aceea toate mrimile care intr
ca parametrii n sintaxa unei funcii vor trebuie privite ca atare.
Sintaxa unei funcii de proiectare a unui filtru numeric este de forma
urmtoare:

b = func(N, f, A); (7.15)

Semnificaia parametrilor funciei este urmtoarea:
N Reprezint ordinul filtrului ce urmeaz a fi realizat
f Vector unidimensional coninnd puncte din intervalul de
frecven normalizat [0,1]. Cu ajutorul lui se definesc valorile
frecvenelor n dreptul crora graficul caracteristicii sufer
schimbri. Se cunoate c domeniul de frecven ce trebuie luat n
considerare la realizarea unui filtru este [0, Fe/2] pentru a ne
ncadra n condiiile impuse de teorema eantionrii.

n Matlab se folosete ca domeniu de frecven intervalul [0, 1].
Aceast convenie permite ca pe parcursul proiectrii, s nu mai fie
nevoie de cunoaterea frecvenei de eantionare (Fe). Cu regula de
trei simpl, se poate determina uor ce punct din intervalul [0,1] i
corespunde o anume frecven din intervalul [0, Fe/2].

A Vector care conine amplitudinile caracteristicii de frecven
n punctele specificate prin vectorul f. n concluzie, graficul
caracteristicii dorite este descris de cei doi vectori f i A. Modul
exact n care este construit graficul este prezentat n documentaie
pentru fiecare funcie n parte.

Funcia returneaz un vector unidimensional b coninnd cei N+1
coeficieni ai filtrului.

156 Filtre nerecursive


7.5 Aplicaii

Problema 7.1. Folosind relaia 4.21, s se construiasc caracteristica de
frecven, pentru filtrul definit de coeficienii:

h[n] = [ 1; 1; 1; 1; 1; 1; 1; 1;]

S se compare rezultatul cu cel obinut folosind funcia freqz() din Matlab.

Problema 7.2. Un filtru numeric nerecursiv, are urmtorii coeficieni:
h
[n]
= 1; h
[n1]
= 0,5; h
[n2]
= 0,02;
Care este rspunsul la impuls unitar al filtrului?
Secvena de intrare este h
[n]
= 10 sin(2n10/1000);
S se stabileasc amplitudinea sinusoidei de la ieire;
S se stabileasc faza sinusoidei de la ieire;

Problema 7.3. Urmtoare filtre nerecursive sunt definite astfel:
a. y
[n]
= x
[n]
2 x
[n1]
+ x
[n2]

b. y
[n]
=2 x
[n]
+ x
[n2]

c. y
[n]
= 0,5 x
[n1]
+ x
[n2]

d. y
[n]
=2 x
[n]
x
[n1]
+ x
[n2]
+ y
[n1]

Pentru fiecare din aceste filtre:
precizai dac ele permit trecerea componentei continue a unui semnal
de intrare;
determinai rspunsul la impuls unitar;

Problema 7.4. Fie un filtru numeric descris de algoritmul: y
[n]
= x
[n]
+ x
[n-1]
.
S considerm c x
[n]
provine din eantionarea cu Fe = 1000Hz, a unei
sinusoide avnd A= 10, F = 40 Hz i faza iniial nul. S se determine
direct, prin calcule trigonometrice amplitudinea i faza sinusoidei de la
ieirea filtrului.

Solutie:
Un prim mod de abordare ar fi acela de a considera sinusoida de intrare
real eantionat x
[n]
= A cos(2Fn / Fe) = A cos(n).
Mai simplu este s considerm c la intrare se aplic o sinusoid complex,
x
[n]
= A e
jn
. n acest caz:

y[n] = A e
jn
+ A e
j(n-1)
= A e
jn
+ A e
jn
e
j
= A e
jn
(e
j0
+e
j
)

Rezultatul nsumrii celor dou numere complexe se obine uor dac
analizm reprezentarea grafic a sumei:
Ioan P. MIHU - Procesarea Numeric a Semnalelor 157


Re
Im
1
e
j
e
j 0

A e
j

Figura 7.18. nsumarea numerelor complexe.


Rezult:
A = ) Fe / F 2 cos( ) Fe / F 2 sin( 2 2 ) (
2
sin
2
)) cos( 1 ( + + + = 1,5754
) cos( 1
) sin(
arctg
+


= 0,1257 rad.

Deci: y[n] = A e
jn
(e
j0
+e
j
) = A e
jn
A e
j
= A A e
j (n +)


y[n] = 15,754 e
j (n + 0,1257)


Sinusoida real rezultat la ieirea sistemului va fi:
Re{y[n]} = 15,754 cos (n + 0,1257) = 15,754 cos (2F/Fe n + 0,1257)

n concluzie, armonica rezultat la ieirea filtrului va avea amplitudinea
15,754, i un defazaj =0,1257 rad fa de armonica de intrare.

Problema 7.5. S se proiecteze un filtru numeric nerecursiv, prin metoda
Fourier, a crui caracteristic de frecven s fie definit astfel:
FTJ;
Fe = 1000 Hz; Ft = 50 Hz, N = 20.

Problema 7.6. S se proiecteze un filtru numeric nerecursiv, prin metoda
Fourier, a crui caracteristic de frecven s fie definit astfel:
FTS;
Fe = 1000 Hz, Ft = 50 Hz, N = 20.

Problema 7.7. Determinai ordinul i valoarea coeficienilor unui filtru trece
jos nerecursiv, avnd frecvena de tiere tFe/4 iar amplitudinea rspunsului
n banda de trecere s nu scad cu mai mult de 2 dB.


158 Filtre nerecursive

Problema 7.8. Concepei un sistem care s sesizeze apariia unor vibraii a
cror energie s fie mai mare dect un prag prestabilit. Sistemul trebuie s
conin elementele specificate n schema bloc urmtoare.

Senzor FTJ CAN
Sistem de Calcul
Hardware/Software
CNA

a. Comentai rolul fiecrui bloc din schem.
b. Alegei o frecven de eantionare convenabil, n funcie de semnalul
recepionat de senzor.
c. Descriei o modalitate prin care sistemul de calcul s preia semnalul
numeric provenit de la CAN.
d. Descriei structura programului care rezolv cerinele impuse, respectiv
aprinde becul, atunci cnd energia vibraiilor depete o limit
prestabilit.
e. Estimai timpul care trece ntre apariia fenomenului monitorizat i
aprinderea becului.




7.6. Bibliografie.


[7.1] Antoniou, A., Digital Filters: Analysis, Design, and Applications,
McGraw-Hill, Inc. 1993.

[7.2] Porat, B, A Course in Digital Signal Processing, John Wiley and
Sons, 1997

[7.3] Ifeachor, E.C., Jervis, B.W., Digital Signal Processing A Practical
Approach, Addison/Wesley, 1999

[7.4] *** http://www.math.utah.edu/lab/ms/matlab/matlab.html

[7.5] *** http://www.bores.com/courses/intro/filters/index.htm

[7.6] *** http://www.dspguide.com/ch19.htm

[7.7] *** http://www.dsptutor.freeuk.com/digfilt.pdf





8. PROIECTAREA FILTRELOR RECURSIVE




8.1. Transformarea Laplace

Dei inta demersurilor noastre este evident domeniul semnalelor n timp
discret, vom ncepe prin a face o mic incursiune n domeniul semnalelor
analogice, etap absolut obligatorie pe drumul care duce la proiectarea
filtrelor numerice recursive.
n acest sens, vom alege un exemplu simplu de sistem liniar, cel din figura
8.1, n care tensiunea de intrare este o tensiune continu n timp continuu.
Ne propunem s analizm care este rspunsul sistemului. Prin sintagma
care este rspunsul sistemului se nelege: care este forma tensiunii de
ieire atunci cnd se cunosc forma tensiunii de intrare i structura
sistemului.
Este cunoscut faptul c n cazul sistemelor liniare, dac forma tensiunii de
intrare este una sinusoidal, atunci i forma tensiunii de ieire este tot
sinusoidal. Forma sinusoidal este singura din natur care se propag
prin sisteme liniare fr a fi deformat. Deci tensiunea de ieire va fi tot
sinusoidal, de aceeai frecven ca i a tensiunii de intrare, dar de
amplitudine diferit i desigur de faz diferit fa de tensiunea de intrare.
Din capul locului, aflarea rspunsului sistemului trebuie s aib n vedere
dou situaii distincte i anume:
a. situaia n care semnalul de intrare este sinusoidal,
b. situaia n care semnalul de intrare este nesinusoidal.

u
1 (t)
R
u
2 (t)
C
i
i


Figura 8.1. Circuit analogic= sistem cu mrimi continue, n timp continuu.
160 Filtre recursive
Problema este pe deplin i uor rezolvabil pentru situaia n care semnalul
de intrare este sinusoidal. Spre exemplu, metoda fazorial permite
determinarea amplitudinii i a fazei tensiunii sinusoidale de ieire.
n situaia n care tensiunea de intrare nu mai este sinusoidal, rezolvarea
problemei este mai complicat. n cazul sistemului din figura 8.1,
dependena dintre tensiunea de ieire i cea de intrare este dat de o ecuaie
diferenial, rezultat n urma aplicrii teoremelor Kirchhoff. Ecuaia
diferenial va rezulta datorit relaiei care exist ntre tensiunea la bornele
condensatorului i curentul prin condensator. Situaia este similar i n alte
sisteme, ca spre exemplu ntr-un sistem mecanic unde fora este
proporional cu derivata vitezei.

'


+
dt
u d
C i
u R i u

2(t)
(t)
2(t) (t) 1(t)
(8.1)

rezult:
2(t)
2(t)
1(t)
u
dt
du
RC u + (8.2)

Relaia 8.2 este o ecuaie diferenial din a crei rezolvare va rezulta
tensiunea u
2(t)
, adic modul n care variaz tensiunea de ieire n timp, atunci
cnd se cunosc valorile R i C (adic se cunoate sistemul), i modul n care
depinde tensiunea u
1(t)
de timp.

t=0
U
u
1(t)
u
2(t)
t
t
U


Figura 8.2. Forma de variaie a tensiunilor de intrare i ieire pentru
exemplul ales.

Ioan P. MIHU - Procesarea numeric a semnalelor 161
Spre exemplu, dac tensiunea de intrare este o tensiune treapt, definit n
felul urmtor,

'

>

0 pt t 0
0 pt t U
1(t)
u
(8.3)
atunci soluia ecuaiei 8.2 este:
u
2(t)
= U (
RC
t

e - 1

) (8.4)

Forma de variaie a tensiunilor de intrare i de ieire, legate ntre ele de
ecuaia diferenial 8.2, este n acest caz cea din figura 8.2.

Rspunsul sistemului este uor de determinat i dac tensiunea de intrare
este sinusoidal. Nu trebuie s rmnem ns cu impresia c sistemul de
ecuaii difereniale rezolv doar situaia n care tensiunea de intrare are
forma de treapt sau forma sinusoidal. Dac tensiunea de intrare nu are
forma simpl de treapt sau de sinus, atunci expresia analitic a tensiunii
de ieire este dificil de determinat, mai ales dac circuitul ar fi mai complex
dect cel prezentat. Pentru depirea dificultilor de rezolvare a unor ecuaii
difereniale, tot matematica pune la dispoziie un instrument ce face mult
mai simpl determinarea formei tensiunii de ieire. Acesta este
transformarea Laplace. Matematic, ea se definete astfel:
X(s) = L{x
(t)
} =

t
t s
dt e x(t) (8.5)

Proprieti i observaii legate de transformata Laplace:
Aceast transformare este biunivoc, adic unei funcii i corespunde o
singur valoare a transformatei i reciproc unei valori a transformatei i
corespunde o singur valoare a funciei. Atunci cnd se cunoate X(s),
valoarea funciei x
(t)
se determin aplicnd transformarea Laplace
invers L
-1
:
x
(t)
= L
-1
{X(s)} =

t
t s
ds e X(s) (8.6)
Nu trebuie s ne sperie calculul propriu-zis al transformatei sau al
transformatei inverse fiindc n literatura de specialitate exist tabele n
care se dau expresiile transformatelor pentru multe funcii de timp.

Transformarea schimb domeniul de definiie. Dac domeniul iniial era
timpul, acum avem o nou variabil i anume s, care nu este foarte
uor a fi sesizat de sistemul percepiilor umane aa cum este timpul.

162 Filtre recursive
Variabila s se definete ca mrime complex astfel:

s = + j (8.7)

Semnificaia mrimilor ce intervin n relaia de definiie a variabilei s
se poate intui uor datorit faptului c variabila intervine ca exponent al
lui e, i aplicnd formula Euler, avem:

e
st
= e
( + j)t
= e
t
e
jt
= e
t
[cos(t) jsin(t)] (8.8)

Aplicnd deci transformarea Laplace unei funcii x
(t)
, nu facem altceva
dect s integrm rezultatul nmulirii acelei funcii cu o funcie
armonic (sinusoidal) de frecven i a crei amplitudine scade sau
crete exponenial cu timpul n funcie de valoarea . Pentru = 0,
amplitudinea sinusoidei este constant deoarece e
0
= 1.

Se obinuiete ca variabila s s fie reprezintat ntr-un plan complex,
astfel:

> 0
< 0
= 0
j


Figura 8.3. Planul complex al variabilei s.


Referitor la planul variabilei s, este util asocierea valorilor
transformatei X(s) la acest plan i anume ntr-o imagine tridimensional,
se observ c X(s) este definit i ia valori n fiecare punct al acestui
plan, deci ar reprezenta o suprafa n spaiu, un acoperi al acestui
plan.

Aa cum se vede n figura 8.3, planul poate fi privit ca avnd trei
regiuni, n funcie de valoarea variabilei . Dintre toate punctele acestui
plan o importan aparte pentru noi va avea zona axei imaginare ( = 0),
Ioan P. MIHU - Procesarea numeric a semnalelor 163
pentru care variabila s devine s = e
j
, adic. De remarcat c pentru acest
caz particular, transformarea Laplace devine transformarea Fourier,

X(s) = X(j) = F[x
(t)
] =

t
t j
dt e x(t)

(8.9)

j

= 0
= 0


Figura 8.4. Relaia dintre planul s i transformarea Fourier.

Din punct de vedere al relaiei transformatei Fourier cu planul s, aceasta
este rezultatul interseciei suprafeei H(s) cu planul = 0 i este chiar
caracteristica de frecven a sistemului, aa cum se vede din figura 8.4.

Prin aplicarea transformrii Laplace funciei de transfer a unui sistem,
relaiile de tip diferenial sau integral se schimb n simple multiplicri
sau mpriri.

Dac: L{x
(t)
}=X(s) L
dt
x(t) d
= sX(s) (8.10)
L

X(s)
s
1
dt x(t) (8.11)
Aceast ultim observaie este de fapt i unul din rspunsurile la
ntrebarea la ce este util aceast transformare? Transformarea
Laplace este un instrument matematic extrem de util care ne permite
calcularea uoar a rspunsului unui sistem la semnale, indiferent de
forma de variaie n timp a acestora. Uurina este dat de faptul c un
sistem de ecuaii difereniale se transform ntr-unul de simple ecuaii
liniare.

Transformarea se aplic att funciilor (mrimilor) de intrare i de ieire,
ct i funciei de transfer a sistemului. Acest fapt este extrem de
164 Filtre recursive
important, iar figura urmtoare evideniaz felul n care se abordeaz
problema determinrii rspunsului unui sistem la o mrime de intrare
dat:
- Se calculeaz transformata mrimii de intrare i a funciei de
transfer a sistemului.
- Se face produsul acestora dup care se obine transformata
mrimii de ieire.
- Aplicnd transformarea invers se va obine rspunsul sistemului
n domeniul timp, ncepnd de la momentul iniial t=0.

h(t)
x(t)
y(t)
X(s)
Y(s)
L
L
-1
L
L
-1
L
L
-1
x(t) y(t)
H(s)
h(t)



Figura 8.5. Transformarea Laplace direct i invers aplicat sistemului
i mrimilor de intrare / ieire.


Dup toate aceste precizri iat n concluzie cum ar fi artat rezolvarea
sistemului din figura 8.1, cu ajutorul transformrii Laplace:

a. Se determin transformata Laplace a funciei de transfer. Determinarea
ei se face simplu, folosind teoremele Kirchhoff pentru circuitul ales,
considernd un regim armonic, iar impedana condensatorului 1/jC:

Ioan P. MIHU - Procesarea numeric a semnalelor 165

1 1 2
U
C R j 1
1
U
C j
1
R
C j
1
U
+

+
(8.12)

substituind j =s, i generaliznd se obine funcia de transfer,

s RC 1
1

X(s)
Y(s)
H(s)
+
(8.13)

b. Se determin din tabele transformata Laplace a funciei de intrare alese
ca exemplu:

s
U
(s) U
1
(8.14)
c. Din 8.13 se determin mrimea de ieire n s:

RC / 1 s
U
s
U
s) RC s(1
U
Y(s)
+

+
(8.15)

d. Se determin mrimea de ieire n t, utiliznd transformarea invers
pentru Y(s). Aceasta se poate face uor utiliznd tabelele din literatura
de specialitate.
y
(t)
=

,
_


RC
t

2(t)
e - 1 U u (8.16)
Toate cele prezentate n acest paragraf au avut doar menirea de a prezenta n
sintez rolul folosirii unei transformri n rezolvarea unui sistem n timp
continuu. Pentru c suntem n cadrul capitolului Filtre numerice,
obiectivul principal al acestei prezentri trebuie s rspund n final la
urmtoarele ntrebri:
Cum se comport sistemul cruia i se cunoate funcia de transfer n s,
atunci cnd la intrarea sa se aduc semnale sinusoidale de frecvene
diferite?
Cum se comport sistemul cruia i se cunoate funcia de transfer n s,
atunci cnd la intrarea sa se aduce un semnal avnd n componen mai
multe frecvene?
Avnd dat funcia de transfer n s a unui sistem, are aceasta
proprieti selective n domeniul frecven?
Dac da, putem identifica caracterul de filtru al acelui sistem?
Reciproc, putem impune (proiecta) o funcie de transfer n s pornind
de la condiionri asupra formei caracteristicii de frecven?
166 Filtre recursive
Dac da, putem construi fizic sistemul care s aib acea funcie de
transfer?
O parte din rspunsuri vin imediat dac se reia o observaie anterioar, cu
referire la figura 8.4, i anume faptul c pentru = 0, variabila s devine:
s = e
j
, iar transformarea Laplace devine transformarea Fourier, conform
relaiei 8.9. Practic nseamn c prin simpla substituie s jn funcia de
transfer, se obine caracteristica de frecven a sistemului n timp continuu:

j s
) s H(
) X(j
) Y(j
) H(j

(8.17)

Tot din figura 8.4 se observ c prin substituia s j se obine
caracteristica de frecven n sensul propriu al definiiei acesteia, adic acea
care ne arat felul n care se comport sistemul n situaia n care la intrarea
sa s-ar aduce semnale sinusoidale de diferite frecvene.
Evident forma funciei de transfer n s pentru cazul s = j determin o
comportare selectiv, n sensul c pot exista semnale sinusoidale de
frecvene privilegiate care s treac neatenuate, altele care s treac
atenuate, iar unele pot chiar s nu treac deloc prin acel sistem. Un astfel de
sistem, privit prin prisma comportrii sale spectrale, nu este altceva dect un
filtru. Dup forma caracteristicii de frecven acesta poate fi: FTJ, FTS,
FTB, FOB sau poate avea forme particulare, aa cum poate fi spre exemplu,
cel din figura 8.4.

Dac aa stau lucrurile, se poate pune i problema reciproc, anume aceea
de a crea filtre analogice, formate din rezistene, capaciti i inductane,
pornind de la forma dorit a caracteristicii de frecven. Aceast problem
este n parte rezolvat. Nu o vom prezenta aici fiindc obiectivul nostru este
filtrarea semnalelor digitale.



8.2. Rezolvarea numeric a ecuaiilor difereniale


8.2.1. De la analogic la discret

O s vedem n continuare cum se rezolv aceeai problem, dar transferat
n domeniul timp discret. Vom analiza deci cazul n care mrimile de intrare
i ieire sunt procesate numeric, dup ce n prealabil ele sunt achiziionate n
urma unui proces de eantionare avnd perioada de eantionare egal cu Te.

Ioan P. MIHU - Procesarea numeric a semnalelor 167
Pentru momentul t = nTe vom utiliza cteva notaii consacrate:

u
1(nT)
u
1[n]
; u
2(nT)
u
2[n]
; u
2(nTe-Te)
u
2[n-1]
(8.18)

n aceste condiii, n ecuaia 8.2 trebuie s se foloseasc diferene finite n
locul diferenialelor dt i du
2(t)
. Rezult:

'



1] - 2[n
u -
2[n]
u
Te) - 2(nTe
u -
2(nTe)
u
2(t)
du
Te 1)Te - (n - nTe dt
(8.19)

Ecuaia diferenial a sistemului devine o ecuaie cu diferene finite:

2[n]
u
Te
1] - 2[n
u -
2[n]
u
RC
1[n]
u +
(8.20)

Fcnd substituiile:
h
Te RC
Te

+

b
Te RC
RC

+


Se obine:
1] - 2[n
u b
1[n]
u h
2[n]
u +
(8.21)

Relaia 8.21 este cea care permite calcularea valorii eantionului curent u
2[n]

de la ieirea sistemului, atunci cnd se cunosc: elementele sistemului
(constantele R,C i Te), valoarea eantionului curent de la intrare u
1[n]
,
precum i valoarea eantionului anterior u
2[n-1]
de la ieire.
Relaia 8.21 definete un sistem recursiv a crui reprezentare grafic este
cea din figura urmtoare:

+
u
2[ n ]
u
1[ n ]
Te
h
b


Figura 8.6. Implementarea relaiei recursive 8.21.

Cteva observaii utile pentru implementarea soft a algoritmului 8.21.

168 Filtre recursive
Dup cum se poate remarca din figura 8.6, este necesar prezena
unei celule de ntrziere, de fapt a unei variabile special alocate,
care s memoreze eantionul anterior celui curent, pentru mrimea
de ieire.
Pentru ca valorile mrimii de ieire s aproximeze ct mai bine
tensiunea de ieire a sistemului n timp continuu este de dorit ca
mrimea Te s ia valori ct mai mici, respectiv eantionarea s se
fac foarte des. n figura 8.7, este prezentat rezultatul
implementrii algoritmului 8.23, pentru cazul n care mrimea de
intrare este treapt unitar.

U
u
1[n]
u
2[n]
nTe
nTe
U
n=0 Te

Figura 8.7. Rspunsul sistemului n timp discret.


n toate relaiile n care intr n calcul i valori anterioare eantionului u
1[0]
,
fie de la intrare fie de la ieire, trebuie ca acestea s fie setate la valori
prestabilite, de obicei nule. Sensul matematic al acestei operaii este acela de
stabilire a valorilor iniiale. Operaia este util i din punct de vedere al
programrii. Dac secvenei u
1[n]
, i este alocat o variabil de tip vector
u
1dat[i]
de lungime dat, exist dou modaliti de a lucra cu valori anterioare
eantionului iniial:

a. Se atribuie u
1[0]
primei locaii a vectorului u
1dat[i]
, urmnd ca toate
valorile ulterioare n timp u
1[n+1]
, u
1[n+2]
etc. s ocupe locaiile u
1dat[1]
,
u
1dat[2]
etc. De cte ori n relaii vor fi necesare valori u
1[n-1]
, u
1[n-2]

etc. acestea nu vor putea fi citite din u
1dat[i]
fiindc citirea iese n
afara domeniului de definiie. Pentru evitarea erorilor de compilare,
se va testa de fiecare dat dac citirea este anterioar lui u
1dat[0]
i se
vor nlocui aceste valori cu zero.
Ioan P. MIHU - Procesarea numeric a semnalelor 169
b. O a doua soluie ar fi alocarea iniial n variabila u
1dat[i]
a unei zone
pentru calcule cu eantioane anterioare. Pentru aceasta este suficient
ca lui u
1[0]
s i fie alocat u
1dat[N]
, lui u
1[1]
s i fie alocat u
1dat[N+1]
, etc
unde N reprezint ordinul filtrului. Toate eantioanele de la u
1dat[0]
la
u
1dat[N]
, vor fi nule.

Concluzii

Din cele prezentate a rezultat modul n care se pot implementa soft
ecuaiile difereniale care definesc un sistem n timp continuu sau, altfel
spus, cum este transferat un sistem n timp continuu ntr-unul n timp
discret, i cum poate fi acesta rezolvat.
Metoda de rezolvare propus, respectiv integrarea ecuaiei difereniale,
se numete metoda Euler. Ea este cea mai simpl, avnd ca prim merit
pe acela de a fi intuitiv, cu caracter didactic. La o analiz mai atent se
constat c ea introduce erori sistematice, care sunt cu att mai mari cu
ct T este mai mare.
Exist numeroase metode de rezolvare numeric a ecuaiilor difereniale,
care reduc semnificativ erorile de integrare. Dintre acestea foarte
cunoscut este metoda Runge-Kuta.
Rezolvarea sistemelor de ecuaii difereniale are o importan aparte
fiindc orice sistem fizic poate fi descris printr-un sistem de ecuaii
difereniale. Acest mod de descriere a unui sistem fizic poart denumirea
de modelare a sistemului. Modelarea sistemelor i rezolvarea sistemelor
lor de ecuaii sunt instrumentele care permit crearea unei replici a
sistemului fizic n calculator, sunt instrumentele care permit crearea unei
lumi virtuale, aflat n spatele monitorului unui calculator.


8.2.2. Aplicaii

Problema 8.1. Se dau urmtoarele ecuaii difereniale:
a. x k
dt
x d
m
2
2

b.
dt
dx
k
dt
x d
m
v 2
2

c. 0 x k
dt
dx
k
dt
x d
m
v 2
2
+ +
170 Filtre recursive
d. F x k
dt
dx
k
dt
x d
m
v 2
2
+ + (t)
S se determine funcia x
(t)
prin discretizarea timpului, folosind metoda
Euler de integrare numeric.

Problema 8.2. Se d un corp de mas m asupra cruia acioneaz ncepnd
cu momentul t=0, o for constant de valoare F.
a. S se determine ecuaia diferenial a vitezei acelui corp.
b. S se determine relaia recursiv de calcul prin metoda Euler de
integrare numeric.

Problema 8.3. S se determine legea de micare a unui corp asupra cruia
acioneaz o for proporional cu deplasarea sa fa de poziia de echilibru,
dar de semn contrar.

Problema 8.4. S se determine legea de micare a unui corp asupra cruia
acioneaz o for proporional cu viteza instantanee, dar de semn contrar.

Problema 8.5. Un student i-a depus bursa (100 Euro) la o banc, n data de
1 ianuarie 2005. El primete o dobnd anual de 5%. n fiecare moment
valoarea rezultat din dobnd se cumuleaz la valoarea iniial i n
continuare va produce dobnd (dobnd la dobnd). Ci Euro va avea
studentul la 1 august 2005 cnd pleac n vacan la mare?

Problema 8.6. La 1 ianuarie 2005 se aeaz pe o balan cantitatea de 1g de
substan radioactiv, al crei timp de njumtire este 10 ani. Ct va arta
balana la 1 ianuarie 2006 ? Dar 1 ianuarie 2015?

Relaii utile ( ....numai n cazul c le-ai uitat ... J ...)
F = ma;
dt
dv
a ;
dt
dx
v ;
2
0 0 0
) t a(t
2
1
) t - v(t x x + +



8.3. Transformata Z. La ce folosete unui student transformata Z?


8.3.1 Definiia transformatei Z

Similar ca n capitolul precedent, dedicat transformatei Laplace, i n lumea
semnalelor i sistemelor n timp discret se pune problema determinrii
rspunsului sistemului. Dei instinctiv am fi tentai s abordm aceast
Ioan P. MIHU - Procesarea numeric a semnalelor 171
problem prin analiza n domeniul timp, calea cea mai uoar de a
determina rspunsul, este ca i n cazul sistemelor n timp continuu, aceea
de a folosi o transformare.
Transformarta pe care o vom folosi n cadrul sistemelor n timp discret se
numete transformata Z, iar implicarea ei n rezolvarea problemei enunate
anterior, este similar transformatei Laplace pentru sistemele n timp
continuu, aa cum este ilustrat n figura 8.8.

h[n]
x[n] y[n]
X(z) Y(z)
Z
Z
-1
Z
Z
-1
Z
Z
-1
x[n] y[n]
H(z)
h[n]


Figura 8.8. Implicarea transformatei Z n
determinarea rspunsului unui sistem.


Definiia transformatei Z a unui semnal este:
X(z) = Z{x
[n]
} =

n
[n]
z x
n
(8.22)
Pentru sisteme cauzale, rezult:
X(z) =

0 n
[n]
z x
n
(8.23)



8.3.2. De la transformarea Laplace la transformarea Z


Cu dorina expres de a privi transformata Z nu ca pe un scop n sine, ci ca
pe un instrument matematic ce servete la proiectarea filtrelor numerice,
sunt utile cteva precizri pentru nelegerea ulterioar a folosirii ei.
172 Filtre recursive
Transformata Z este de fapt un caz particular al transformatei Laplace,
i anume cazul n care variabila t nu va mai lua valori continue ci valori
discrete, rezultat al eantionrii domeniului timp.

Transformata Laplace Transformata Z
t continuu t discret
t (-; +) t = nTe
X(s) = L{x
(t)
} =

t
t s
(t)
dt e x
X(z) = Z{x
[n]
} =

n
[n]
z x
n


Dac eantionarea se face cu perioada Te, atunci n relaia de definiie
8.5, variabila t se substituie cu nTe, i firesc, integrala de variabil t,
ntre (-; +) devine sum dup n, fiindc produsul x
(t)
e
-st
va exista
doar n punctele nTe. Pentru a da o identitate proprie acestui caz
particular, n final se face substituia:

e
sTe
= z (8.24)

i astfel se ajunge la transformata Z a unui semnal x
[n]
:
X(z) = L {x
[nTe]
} =
Te n t
t
t s
(t)
dt e x

+

n
[n]
z x
n
(8.25)

Despre variabila z trebuie precizat c, la fel ca i s, este o mrime
complex. Pentru a descoperi semnificaia variabilei z, se reia relaia
8.24.
e
(+j)Te
= z (8.26)

dezvoltnd separat cei doi termeni ai egalitii,
z = | z |e
j
= Re
j
(8.27)
e
(+j)Te
= e
Te
e
jTe
(8.28)

modulul lui z este R = e
Te
(8.29)

iar unghiul fcut de vectorul de poziie al punctului asociat lui z
reprezint chiar argumentul variabilei complexe z.

arg(z) = = Te = 2
Fe
F
(8.30)

Rezultatele obinute n relaiile 2.29 i 2.30, sunt prezentate grafic n
figura 8.9, care evideniaz legtura dintre variabilele s i z:
Ioan P. MIHU - Procesarea numeric a semnalelor 173
semiplanul stng ( < 0 ) corespunde interiorului cercului R=1;
semiplanul drept ( > 0 ) corespunde exteriorului cercului R=1;
axa j devine chiar cercul de raz R=1;

> 0
< 0
= 0
Re z
Im z
R=1

R > 1
R < 1
j

Figura 8.9. Corespondena dintre planul s i planul z.

O importan deosebit o are cercul de raz unitar, asociat axei
imaginare din planul s. Pe cerc se gsesc toate semnalele sinusoidale
complexe de amplitudine unitar:
z = e
jTe
= cos
,
_

Fe
f
2 + j sin
,
_

Fe
f
2 . (8.31)

Re z
Im z
f = 0
f =
2
e
F
f =
4
e
F
f =
4
e
F
f =
2
e
F
f = Fe

2



Figura 8.10. Poziia semnalelor sinusoidale n planul z.


Putem determina uor ce frecven a sinusoidei i corespunde un punct
de pe cerc.

F=Fe/2 z = cos () + j sin () = -1
F=Fe/4 z = cos (/2) + j sin (/2) = j (8.32)
174 Filtre recursive

Rezult c pe semicercul superior se gsesc toate frecvenele cuprinse n
intervalul [0 Fe/2] aa cum este artat n figura 8.10. Semicercul
inferior este alocat domeniului frecvenelor negative. Fe reprezint
frecvena de eantionare a semnalului cruia i se aplic transformare Z.

O alt observaie interesant este legat tot de domeniul frecvenelor i
mulimea punctelor cercului. Se cunoate c datorit periodicitii,
fiecrui punct de pe cerc i mai corespund o infinitate de alte frecvene
rezultate din condiia:
p
= + k2, unde reprezint unghiul din
cadranele 1, 2, 3 i 4 pentru k=0. Pentru a elimina confuzia i
problemele ce ar putea apare datorit acestui aspect, este firesc s lum
n considerare doar unghiurile din primele dou cadrane,
corespunztoare frecvenelor pozitive. Aa cum am artat anterior,
primele dou cadrane corespund semicercului superior, adic
intervalului [0 Fe/2]. Iat deci o alt confirmare a necesitii de a
respecta teorema eantionrii, i anume ca frecvena semnalului procesat
numeric s nu depeasc jumtate din frecvena de eantionare.

Dezvoltat, suma ce reprezint transformata Z devine:

X(z) = x
[0]
z
0
+ x
[1]
z
-1
+ x
[2]
z
-2
+ x
[3]
z
-3
+ ....... + x
[n]
z
n
+ ...... (8.33)

Astfel privit transformata Z este n fond o serie de puteri ale numrului
complex z (serie Laurent). O problem imediat ce trebuie luat n
considerare este convergena acestei serii, adic suma 8.33 este sau nu
este finit. Este posibil ca seria s fie convergent n afara cercului
unitar, deoarece n acea regiune modulul lui z este mai mare ca 1, iar la
limit z
n
0 cnd n . Alturi de seria de puteri 8.33, transformata
Z poate fi exprimat i sub alte forme: raportul a dou polinoame (form
numit raional), sum de fracii etc. Toate acestea vor face obiectul
unor analize ulterioare.

Aa cum transformata Laplace lua valori n toate punctele planului s, i
transformata Z ia valori n toate punctele planului z. Dac privim cu
atenie definiia transformatei Z i inem cont de observaia legat de
convergena seriei, rezult c exist zone ale planului z n care
transformata Z ia valori finite i zone n care ia valori infinite. Zona
planului z n care transformata Z ia valori finite se numete zona de
convergen i ea este singura n care trebuie s ne axm interesul pentru
analizele ulterioare. n caz general, zona de convergen a planului z este
o zon definit R1<|z|<R2, aa cum este ilustrat n figura 8.11. n cazuri
Ioan P. MIHU - Procesarea numeric a semnalelor 175
particulare R1 poate fi zero sau R2 poate fi , aa cum este n cazul n
care transformata Z este scris ca serie de puteri.

Re z
Im z
R2
R1
Zona de
convergen

Figura 8.11. Zona de convergen generalizat n planul z.


nainte de a ncheia prezentarea aparatului matematic al transformrilor, este
bine s rmnem cu o imagine de ansamblu a relaiei dintre transformrile
Laplace, Z i Fourier precum cea din figura 8.12. Acest lucru ne va permite
s nelegem mai bine rolul lor n rezolvarea sistemelor n timp continuu sau
n timp discret.

Sisteme i semnale
n timp continuu
Sisteme i semnale
n timp discret
Transformata Laplace
H(s) =

t
t s
dt e h(t)
s = + j
Transformata Z
H(z) =

+

n
n
z h[n]
Transformata Fourier
H(j) =

t
t j
dt e h(t)
Transformata Fourier
Discret
H(e
jTe
) =

+

n
n
Fe
F
2 j
z h[n]
s = j
t = nTe
e
sTe
= z
z = e
jTe

Figura 8.12. Relaia dintre transformrile Laplace, Z i Fourier


176 Filtre recursive
8.3.3. Proprietile transformatei Z

n enumerarea celor cteva proprieti prezentate n continuare, se consider
c secvena x
[n]
are ca transformat Z pe X(z).

a. Liniaritatea. Avnd dou secvene x
1[n]
i x
2[n]
atunci:
Z {ax
1[n]
+ bx
2[n]
} = aX
1
(z) + bX
2
(z) (8.34)

b. ntrzierea (sau deplasarea).
Z{ x
[nm]
} = z
m
X(z) (8.35)

c. Convoluia. Fie o secven x
[n]
aflat la intrarea unui sistem liniar i o
secven y
[n]
aflat la ieirea aceluiai sistem a crui funcie rspuns la
impuls unitar este h
[n]

y
[n]
=

- k
] k - n [ ] n [
x h (8.36)
ntre transformatele z ale celor trei mrimi exist relaia:
Y(z) = H(z) X(z) (8.37)
H(z) se numete funcie de transfer a sistemului. Relaia 8.37. este de o
importan deosebit, n contextul general al aflrii rspunsului
sistemului prin utilizarea transformrii Z, aa cum este el ilustrat n
figura 8.8.
d. Diferenierea.
Z {nx
[n]
} = z
dz
dX(z)
(8.38)
e. Multiplicarea cu o secven exponenial.
Z{a
n
x
[n]
} = X(a
1
z) (8.39)

f. Transformata secvenei simetrice fa de origine.
Z{x
[n]
} = X

,
_

z
1
(8.40)
g. Transformata unei secvene complexe.
Z{x*
[n]
} = X*(z*) (8.41)

h. Transformata prii reale.
Z{Re(x
[n]
)} =
2
1
[X(z)+ X*(z*)] (8.42)
i. Transformata prii imaginare.
Ioan P. MIHU - Procesarea numeric a semnalelor 177
Z{Im(x
[n]
)} =
j 2
1
[X(z) X*(z*)] (8.43)
8.3.4. Determinarea caracteristicii de frecven atunci cnd se cunoate
funcia de transfer Z

Ceea ce urmeaz este o prim parte a rspunsului la ntrebarea: La ce
folosete transformata Z? Consecin a proprietii 8.37, funcia de
transfer Z, este:

) z ( X
) z ( Y
) z ( H (8.44)
S presupunem c la intrare se aduce un semnal sinusoidal complex de
amplitudine unitar.

178 Filtre recursive
i acum ... cteva calcule:
Se identific partea real i partea imaginar a funciei de transfer
H(j) = a + jb
Se determin caracteristica de frecven :
Se cunoate H(z)
Dac este cunoscut sistemul, h
[n]
funcia de transfer se determin cu
relaiile: 8.35, 8.36 i 8.37
H(z) este impus
n datele iniiale ale probleme
Se face substituia: z = e
jTe
= e
j2F/Fe
H(z) = ?
Se calculeaz i se traseaz grafic
caracteristica de amplitudine:
2 2
b a ) H(j +
Se calculeaz i se traseaz grafic
caracteristica de faz:
=
a
b
arctg )} {H(j arg
Se folosete formula Euler: e
jx
= cos (x) + jsin(x).


Figura 8.13. Determinarea caracteristicii de frecven a unui sistem
Definit ca n 8.44 funcia de transfer Z arat, ntr-o prim evaluare, de cte
ori este mai mare sinusoida complex de la ieire fa de cea de la intrare.
Deci funcia de transfer H(z) ascunde n ea caracteristica de frecven a
sistemului, adic felul n care se comport sistemul atunci cnd la intrarea se
aduc sinusoide de frecvene diferite. n concluzie, cunoscnd funcia de
transfer H(z), se cunoate caracteristica de frecven a sistemului.
Pentru determinarea a caracteristicii de frecven (modul i faz), se parcurg
paii din figura 8.13:
Urmnd paii menionai, s considerm urmtorul exemplu: Se d sistemul
discret descris de algoritmul:
Ioan P. MIHU - Procesarea numeric a semnalelor 179
y
[ n]
= x
[n]
+ x
[n-1]
(8.45)

Aplicnd transformata Z, i proprietile 8.36 i 8.35 rezult:

H(z) = 1+ z
-1
(8.46)


b j a ) Te sin(- j ) Te cos(- 1
e z
) z H( ) H(j j + + +


(8.47)

unde: a = 1+ cos(2f / Fe); b = sin(2f / Fe)

,
_

+ +
Fe
f
2 cos 2 2
2
b
2
a ) H(j
(8.48)


f -
a
b
arctg
,
_


(8.49)

|H(j)|
()
f
Fe/2
f


Figura 8.14. Caracteristica de amplitudine i de faz
pentru exemplul 8.42
Din reprezentarea grafic a celor dou mrimi se remarc:
Caracteristica de amplitudine este specific unui filtru trece jos.
Caracteristica de faz arat c defazajul dintre sinusoida de
intrare i cea de ieire scade proporional cu frecvena, aa cum
rezult din relaia 8.49. Filtrele care proprietatea ca defazajul
dintre sinusoida de intrare i cea de ieire, se numesc filtre cu
faz liniar. Toate filtrele nerecursive sunt filtre cu faz liniar.
Zona haurat este zon interzis, din considerente rezultate
din teorema eantionrii.

180 Filtre recursive
Efortul de calcul poate fi mult scurtat, dac se folosesc funciile Matlab:
abs(Hz) pentru calculul modulului i arg(Hz) pentru calculul fazei.



8.3.5. Filtrele recursive. Forme de realizare

Un alt posibil rspuns la ntrebarea La ce folosete transformata Z?, l
constituie faptul c ea reprezint un instrument puternic pentru analiza i
proiectarea filtrelor numerice.

Se numete filtru recursiv sistemul numeric descris n domeniul timp de
urmtorul algoritm liniar:


i] - [n
y
[i]
b
k] - [n
x
[k]
h
[n]
y
M
1 i
N
0 k



(8.50)
unde: x[n] reprezint o secven de date de intrare;
y[n] reprezint o secven de date de ieire;
h[k] i b[i] reprezint coeficienii filtrului (sistemului).


Din definiia filtrului rezult c eantionul curent y
[n]
al secvenei de ieire,
se obine printr-o combinaie liniar ntre ultimele N+1 eantioane din
secvena de intrare x
[n]
i ultimele M eantioane din secvena de ieire.
Privind algoritmul 8.50 ca i filtru numeric, ne intereseaz studiul
proprietilor sale spectrale, adic a modului n care algoritmul se comport
fa de semnale sinusoidale de frecvene diferite aduse la intrarea sa. Pentru
aceasta vom folosi transformata Z. n acest scop rescriem relaia 8.50 astfel:

y
[n]
+b
1
y
[n-1]
+...+b
M
y
[n-M]
= h
0
x
[n]
+h
1
x
[n-1]
+...+h
N
x
[n-N]
(8.51)

Aplicnd transformata Z relaiei 8.51 i innd cont de proprietile 8.36 i
8.35, rezult:
Y(z) [1+b
1
z
-1
+.....+ b
M
z
-M
] = X(z) [h
0
z
-0
+h
1
z
-1
+.....+h
N
z
-N
] (8.52)

Funcia de transfer a sistemului descris n domeniul timp de relaia 8.50
devine:

M -
M
2 -
2
1 -
1
-N
N
-2
2
-1
1
-0
0
z b .... z b z b 1
z h ..... z h z h z h
X(z)
Y(z)
H(z)
+ + + +
+ + + +
(8.53)

Relaia (8.53) se numete forma raional a funciei de transfer Z a unui
sistem recursiv. n cazul n care toi coeficienii b
[k]
sunt nuli, sistemul este
Ioan P. MIHU - Procesarea numeric a semnalelor 181
nerecursiv, iar funcia sa de transfer devine o serie de puteri ale lui z, cea
aflat la numrtorul relaiei 8.53.

Modul de implementare al algoritmului recursiv (8.50), avnd funcia de
transfer (8.53), este sugestiv reprezentat n figura 8.15, care se numete n
literatura de specialitate forma de realizare direct I (forma transversal).

z
1
+
h0 h1
y
[n]
x
[n]
z
1
z
1
h N
h2
x
[n1]
x
[n2]
x
[nN]
- b 0 - b 1 -b M
z
1
z
1
z
1
y
[n2]
y
[n1]
y
[nM]


Figura 8.15. Realizarea filtrului recursiv. Forma direct I.


n aceast form de realizare:
Blocurile notate cu z
-1
reprezint celule de ntrziere. Prezena lor n
schem implic folosirea unor locaii de memorie pentru a reine
valoarea eantioanelor anterioare eantioanelor curente x
[n]
i y
[n]
.
n consecin, dac prin x
[n]
notm eantionul curent, atunci x
[n-1]

reprezint eantionul anterior.
Mai exist i alte forme de realizare ale filtrului recursiv. Pentru a gsi o alt
form de realizare, vom reaeza forma din figura 8.15 ca n figura 8.16,
aezare bazat pe distribuirea blocului sumator.

182 Filtre recursive
z
1
y
[n]
x
[n]
z
1
x
[n1]
x
[nN]
y
[n2]
y
[n1]
y
[nM]
z
1
+
+
h[1]
+
h[2]
h[N]
h[0]
x
[n2]
+
+
+
z
1
z
1
z
1
- b[1]
- b[2]
- b[M]


Figura 8.16. Forma direct I reaezat.


Cele dou structuri marcate cu linie punctat sunt comutative, aa c le
putem inversa. n noua aezare se observ c jumtate din celulele de
ntrziere sunt redundante, i prin urmare ele pot fi reunite. Se ajunge astfel
la forma direct II, reprezentat n figura 8.17.

z
1
y
[n]
x
[n]
z
1
z
1
+
+
h[1]
+
h[2]
h[N]
h[0]
+
+
+
- b[1]
- b[2]
- b[M]
v
[n]


Figura 8.17. Realizarea filtrului recursiv. Forma direct II.


Forma direct II are avantajul unui numr njumtit de celule de ntrziere
fa de forma direct I. Pentru implementarea algoritmului avem nevoie ns
de introducerea unei noi variabile notat cu v
[n]
, definit astfel:

Ioan P. MIHU - Procesarea numeric a semnalelor 183

'

+

+ + + v h v h v h v y
v b .... v b v b x v
N] - [n [N]
. ..........
1] - [n [1]

[n] [0] [n] [n]

M] - [n [M] 2] - [n [2] 1] - [n [1] [n] [n]
(8.54)

Matematic funcia de transfer (8.53) mai poate fi scris i n alte forme
echivalente, forme care vor genera modaliti de realizare diferit a filtrului.
Astfel, dac se cunosc cele N rdcini ale numitorului, raportul celor dou
polinoame n z
-1
, mai poate fi scris astfel:

+ +
+
+
2 / N
1 k
2 -
k 2
1 -
k 1
1 -
k 1 k 0
z b z b 1
z a a
C ) z ( H (8.55)

Alegerea de polinoame de gradul doi la numitorul factorilor din relaia 8.55
este justificat de faptul c este n acest fel, rdcinile complexe ale
numitorului vor genera coeficieni pozitivi. Forma de realizare este cea din
figura 8.18, i poart denumirea de form canonic paralel. n cazul n
care N este impar, atunci primul termen al sumei are numitorul de gradul I,
iar primul bloc al formei de realizare va o singur celul de ntrziere.

y
[n]
x
[n]
+
+
z
1
a11
+
z
1
a01
- b11
- b21
+
z
1
a1q
+
z
1
a0q
- b1q
- b2q
C


Figura 8.18. Forma canonic paralel.
184 Filtre recursive
Cunoscnd cele N rdcini ale numitorului i cele M rdcini ale
numitorului, funcia de transfer (8.53) mai poate fi scris ca produs de
factori:

+ +
+ +

Q
1 k
2 -
k 2
1 -
k 1
2 -
k 2
1 -
k 1 k 0
z b z b 1
z a z a a
K ) z ( H (8.56)
Numrul factorilor din descompunere depinde de gradul polinoamelor de la
numrtorul i numitorul funciei de transfer 8.53. n acest caz realizarea
filtrului se numete forma canonic n cascad.

y
[n]
x
[n]
+ +
z
1
a11
z
1
a21
- b11
- b21
+ +
z
1
a1q
z
1
a2q
- b1q
- b2q

Figura 8.19. Forma canonic n cascad



8.3.6. Transformata Z i rezolvarea ecuaiilor cu diferene finite

Tot transformata Z este folosit pentru rezolvarea ecuaiilor cu diferene
finite de ordin superior. Am vzut n exemplul din figura 8.1, cum mrimile
de intrare i de ieire sunt legate ntre ele printr-o ecuaie diferenial,
ecuaie care n cazul unui sistem n timp discret devine ecuaie cu diferene
finite. Generaliznd, n ecuaie pot interveni si derivate de ordin superior ale
mrimii de ieire precum i derivate de ordin superior ale mrimii de intrare,
ceea ce n timp discret devine o relaie ntre ultimele N+1 eantioane din
secvena de intrare i ultimele M eantioane din secvena de ieire. Relaia
dintre intrare i ieire, se poate scrie pentru un caz general astfel:

y
[n]
+b
1
y
[n-1]
+...+b
M
y
[n-M]
= h
0
x
[n]
+h
1
x
[n-1]
+...+h
N
x
[n-N]
(8.54)

Se observ c se ajunge la aceiai relaie ca i (8.51), care duce la aceleai
relaii ca i n cazul filtrului recursiv. n felul n care am pus problema,
relaia 8.54, permite implementarea software a algoritmului de calcul a
mrimii de ieire a unui sistem n timp discret, sistem descris de o ecuaie
Ioan P. MIHU - Procesarea numeric a semnalelor 185
diferenial de ordin superior. Rezolvarea sistemului se face folosind tot
transformata Z.


8.4. Poli i zerouri


8.4.1. Ce sunt polii i zerourile ?

Transformata H(z) poate avea mai multe forme:
Forma de baz, rezultat din definiia transformatei Z, este cea descris
ca serie de puteri ale lui z
1
, i prezentat n relaia 8.33.
A doua form numit forma raional este 8.53, adic raportul a dou
polinoame de variabil z. Exist i o alt versiune a formei raionale i
anume cea n care polinoamele conin puteri pozitive ale lui z, versiune
simplu de obinut dac se foreaz z
N
factor comun la numrtor i z
M

factor comun la numitor.

0
M
1 - M
1
M
0
N
1 - N
1
N
0 N - M
z b .... z b z 1
z h ..... z h z h
z H(z)
+ + +
+ + +
(8.54)

A treia form important a transformatei Z este cea n care att
numitorul ct i numrtorul sunt exprimate ca produse de factori de
gradul I sau II, lucru simplu de fcut dac se cunosc rdcinile
numrtorului i numitorului din forma raional. n acest caz, dac
numrtorul este de ordinul N, atunci acesta se poate exprima ca
produsul a N factori de forma (z

z
k
), unde z
k
sunt cele N rdcini ale
polinomului de la numrtorul formei 8.54. Similar, dac ordinul
numitorului este M, vom gsi tot atia factori la numitorul funciei de
transfer.

) p (z ) p (z ) p (z
) z (z ) z (z ) z (z
z h H(z)
M 2 1
N 2 1 N M
0




(8.55)

Exist posibilitatea ca n forma factorial 8.55, unele rdcini s fie
complex conjugate, caz n care vor apare i factori de ordinul doi.
De asemenea pot exista poli sau zerouri multiple, caz n care factori din
descompunere apar la o putere egal cu numrul de rdcini multiple.
Dac M-N 0, atunci factorul z
M-N
, i aduce contribuia cu rdcini
nule, fie ca zerouri, fie ca poli, n funcie de valorile lui M i N.

Exist i alte forme derivate din 8.53, acestea fcnd obiectul unor
investigaii ulterioare.

186 Filtre recursive
Legat de forma 8.55, prin definiie:

rdcinile numrtorului se numesc zerouri ale funciei de transfer,
rdcinile numitorului se numesc poli ai funciei de transfer.


Cele N zerouri i cei M poli, i gsesc o reprezentare geometric n
planul z. Pentru a ilustra acest lucru iat un exemplu numeric:

) j 5 , 0 5 , 0 (z ) j 5 , 0 5 , 0 (z ) 5 , 0 (z
) 0,8j (z ) 0,8j (z ) 3 , 0 (z
) 5 , 0 z (z ) 3 , 0 (z
) 0,4 (z ) 5 , 0 (z
H(z)
2
2
+ +
+

+ +
+
(8.56)

Funcia de transfer 8.56 are: un zerou real, dou zerouri complexe, un
pol real i doi poli compleci. Toate acestea i gsesc un loc n planul
z. Amplasarea lor n planul z este artat n figura 8.16.

Re Z
Im Z
0
Fe/2
Zerouri
complexe
Zero real Pol real
Poli
compleci


Figura 8.16. Planul Z cu polii i zerourile funciei 8.56.


Tuturor punctelor aflate pe cercul de raz unitar, le corespund semnale
sinusoidale de amplitudine unitar. Astfel punctului de pe cerc avnd:
- = 0, i corespunde o sinusoid de frecven nul (semnal continuu);
- = /2, i corespunde o sinusoid de frecven F = Fe / 4;
- = , i corespunde o sinusoid de frecven F=Fe / 2.
- oarecare, frecvena i se determin cu regula de trei simpl, tiind c
semicercului (), i corespunde jumtate din frecvena de eantionare:
Fe/2........................... ..
F............................... .
Rezult:
Fe
F
2 (8.57)
Ioan P. MIHU - Procesarea numeric a semnalelor 187
Nu trebuie s ne punem problema frecvenelor mai mari dect Fe / 2. Ele nu
vor exista n semnal, fiindc acesta trebuie s respecte teorema eantionrii.
Deci de interes pentru analiza ce o vom face n continuare este doar
semicercul de sus al cercului unitar, care corespunde intervalului de
frecven [0, Fe/2].


8.4.2. Ce efect au polii i zerourile ?

Aa cum am mai precizat, transformata Z ne permite calculul
caracteristicii de frecven a sistemului. De aceast dat ne intereseaz
care este efectul spectral al polilor i zerourilor, respectiv cum
influeneaz ele semnale sinusoidale de anumite frecvene. Exemplul
care urmeaz este util pentru o nelegere simpl a modului n care
transformata Z reflect comportarea unui sistem atunci cnd la
intrarea sa se aduc semnale armonice de frecvene diferite, comportare
analizat de aceast dat cu ajutorul zerourilor i polilor. Pentru
aceasta s considerm un sistem definit astfel:

y
[ n]
= x
[n]
+ x
[n-1]
(8.58)

Funcia sa de transfer n z este:

H(z) = 1+ z
-1
(8.59)

Fiindc vom face o analiz n planul variabilei z, este util s aducem funcia
de transfer la o form n care s apar z n loc de z
1
.

z
z 1
z
1
1
1 -
z 1 H(z)
+
+ +
(8.60)

Modulul acestei funcii de transfer, cel care definete caracteristica de
amplitudine este:


0 - z
1) ( z
z
1 z
z
1 z
H(z)

(8.61)

Relaia 8.61 poate fi privit din punct de vedere geometric foarte
intuitiv n planul z aa cum este prezentat n figura 8.17.

188 Filtre recursive
Z
Im Z
Re Z
-1
" Zero " " Pol "
| Z-(0) | | Z-(-1) |

Figura 8.17. Estimarea grafic a modulului funciei de transfer
n planul Z, pentru exemplul 8.58


Legat de reprezentarea geometric a modulului funciei de transfer,
sunt utile urmtoarele observaii:

Conform definiiei, variabila z din funcia H(z), se afl n
permanen pe cercul de raz unitar.
Pentru un semnal de intrare a crui frecven crete de la 0 la Fe/2,
punctul z se deplaseaz pe semicercul corespunztor cadranelor I i
II din punctul (1,0) n sens direct trigonometric, pn n (-1,0);
Conform definiiei, pentru exemplul ales, avem un zero n
punctul (-1,0) i un pol n punctul (0,0);
Observm acum c factorul de la numrtorul relaiei 8.60
reprezint distana geometric dintre punctul z de pe cerc i zeroul
funciei de transfer, iar factorul de la numitor reprezint distana
dintre punctul z de pe cerc i polul funciei de transfer.
Urmare a observaiei anterioare, marele beneficiu al transformatei
Z n forma poli zerouri, este acela c modulul funciei de transfer
poate fi privit ca raport al lungimii celor dou segmente
menionate.
Modulul funciei de transfer |H(z)| se poate evalua intuitiv i n
situaia n care semnalul de intrare este de frecven variabil. n
acest caz se evalueaz raportul celor dou segmente fcnd punctul
z de pe cerc s se plimbe ntre limitele 0 i Fe/2.
n cazul exemplului nostru se observ c segmentul datorat polului
din origine rmne mereu constant, iar segmentul datorat zeroului
Ioan P. MIHU - Procesarea numeric a semnalelor 189
variaz ntre valoarea "2", atunci cnd f=0, i valoarea "0", atunci
cnd f=Fe/2 (frecvena Nyquist).
Deci la frecven nul modulul funciei de transfer numit i
amplificare are valoare maxim. Pentru f=Fe/2 amplificarea este
nul, deci semnalele de aceast frecven nu mai trec (nu se mai
propag) prin sistem.

Constatm deci c sistemul avnd funcia de transfer 8.60 are o
comportare de filtru, n sensul c permite trecerea frecvenelor joase i
nu permite trecerea frecvenelor nalte. Acest filtru are o caracteristic
de frecven de tip "trece jos" (FTJ).

Urmare a concluziilor ce se desprind n urma analizei fcute pe
exemplul dat, se poate spune simplu c polii amplific sinusoidele de
anumite frecvene iar zerourile le atenueaz. Cu ct o sinusoid de pe
cercul unitar este mai aproape de un pol, cu att acea frecven va fi
amplificat mai mult. Cu ct o sinusoid de pe cercul unitar va fi mai
aproape de un zero, cu att mai mult acea frecven va fi mai
atenuat. Un zero plasat pe cercul unitar va atenua complet acea
frecven!

Iat cteva reguli care merit reinute:

Polii trebuie s fie ntotdeauna n interiorul cercului unitar.
Zerourile pot fi plasate oriunde.
Se poate utiliza orice numr de poli sau zerouri, dar acestea trebuie
s fie perechi, simetrice fa de axa real (axa orizontal), pentru
ca n formula filtrului s nu avem dect coeficieni reali. De
exemplu, plasnd un pol n punctul (0,2; 0,5i), automat va trebui
plasat un al doilea n punctul (0,2; -0,5i). Un caz particular este cel
al punctelor plasate pe axa real care n urma regulii enunate vor fi
neperechi.

n ipoteza c am avea un singur pol, cea mai amplificat frecven ar
fi cea corespunztoare punctului de pe cerc, cel mai apropiat de pol,
adic punctul ce are acelai unghi ca i polul ales. n ipoteza c un pol
ar fi plasat chiar pe cerc, atunci pentru frecvena corespunztoare
acelui pol, amplificarea devine infinit. n acest caz sistemul devine
instabil, de aceea polii trebuie plasai doar n interiorul cercului unitar.

190 Filtre recursive
Im Z Im Z Im Z
Re Z Re Z
Re Z
Fe/8 Fe/8 Fe/8
|H(j)|
f
Fe/2 Fe/8
|H(j)|
f
Fe/2 Fe/8
|H(j)|
f
Fe/2 Fe/8

Figura 8.18. Efectul distanei unui pol fa de origine.

Aa cum am mai spus, polii trebuie s fie doar perechi, i n acest caz
pentru a determina care este frecvena cea mai amplificat, trebuie s
estimm, care va fi punctul de pe cerc n care efectul nsumat al celor doi
poli este maxim. Printr-o estimare geometric sumar, se observ c
punctele pentru care este cel mai uor de estimat acest efect sunt =0 i
=, fiindc acestea sunt singulare nu perechi. De asemenea pentru polii
perechi aflai foarte aproape de aceste dou puncte, se poate intui efectul
cumulat al celor doi poli conjugai
Unghiul polilor determin valoarea frecvenelor amplificate din banda de
frecven . Legat de modulul polului ales, efectul acestuia este ilustrat de
figura urmtoare. Cu ct un pol se afl mai aproape de cerc, cu att mai
selectiv (mai ngust) va fi caracteristica de frecven n dreptul acelei
frecvene, aa cum este ilustrat n figura 8.18.



8.5. Proiectarea filtrelor recursive utiliznd polii i zerourile
transformatei Z (metoda poli-zerouri)


Din cele prezentate n paragraful anterior, a rezultat legtura evident dintre
poziia polilor i zerourilor funciei de transfer H(z) i caracteristica de
Ioan P. MIHU - Procesarea numeric a semnalelor 191
frecven a sistemului. Aceast legtur este foarte intuitiv, ea permind
realizarea unor forme diverse ale caracteristicii de frecven, prin simpla
amplasare corespunztoare a polilor i zerourilor. Este cea mai simpl
metod de proiectare a filtrelor recursive. O dat stpnit, ea v permite
construcia i implementarea rapid a acestui tip de filtre.

8.5.1. Proiectarea unui filtru trece band
S se proiecteze un filtru recursiv trece band, cruia i se impun urmtoarele
cerine:

funcia de transfer s aib doi poli complex conjugai, plasai ca n
figura 8.19;
Fe = frecven de eantionare;
Fp = frecvena central a benzii de trecere a filtrului.

Coordonatele polilor sunt: p
1
= p
x
+ jp
y

p
2
= p
x
jp
y
(8.62)
Funcia de transfer, va avea n acest caz forma general:


2 1 2 1
2
0
2 1
0
p p z ) p (p - z
a
) p - )(z p - (z
a
H(z)
+ +
(8.63)


Re Z
Im Z
0
Fe/2
p
1
p
2
p
x
p
y



Figura 8.19. Proiectarea unui filtru trece band recursiv, utiliznd
amplasarea corespunztoare a polilor.

Coeficientul a
0
se introduce doar pentru a normaliza modulul caracteristicii
de frecven, adic pentru a obine |H(z)|=1, n dreptul frecvenei centrale a
filtrului. innd cont de faptul c p
1
i p
2
sunt complex conjugate, rezult:
192 Filtre recursive

+ + + +

) p j p )( p j p ( z ) p j p p j (p - z
a
H(z)
y x y x y x y x
2
0


) p p ( z p 2 z
a
2
y
2
x x
2
0
+ +


Dac form factor comun pe z
2
de la numitor, se obine:

z ) p p ( z p 2 1
z a
X(z)
Y(z)
H(z)
2 2
y
2
x
1
x
2
0

+ +

(8.64)

Acum se exprim Y(z) n funcie de X(z):

[ ]
2
0
2 2
y
2
x
1
x
z a X(z) z ) p p ( z p 2 1 Y(z)

+ + (8.65)
X(z) z a Y(z) z ) p p ( Y(z) z p 2 Y(z)
2
0
2 2
y
2
x
1
x
+ +

(8.66)

Dac se revine la domeniul discret, vom avea:

y ) p p ( y p 2 x a y
] 2 [n ] 1 [n ] 2 [n [n]
2
y
2
x x 0

+ + (8.67)

Care este corespondena dintre coordonatele polilor i elementele
caracteristicii de frecven? Rspunsul la ntrebare este simplu dac
exprimm cei doi poli impui, nu n coordonate carteziene, ci n coordonate
polare.
p
1
= p
x
+ jp
y
= Rcos + jRsin
p
2
= p
x
jp
y
= Rcos jRsin (8.68)

Unde = 2 Fp / Fe

nlocuind n 8.66, se obine:

y
[n]
= a
0
x
[n-2]
+ 2Rcos() y
[n-1]
R
2
y
[n-2]
(8.69)

Pentru determinarea lui a
0
, vom pune condiia ca n dreptul frecvenei Fp,
valoarea modulului caracteristicii de frecven s fie unitar.

1
) p - )(z p - (z
a
H(z)
z(Fp) z
2 1
0
z(Fp) z

(8.70)

Rezult: a
0
= | (z-p1) (z-p2) |
z=z(Fp)
(8.71)

Ioan P. MIHU - Procesarea numeric a semnalelor 193
Re z
Im z
0
Fe/2
p
1
p
2
p
x
p
y

z
z-p
2
z-p
1
R


Figura 8.20. Pentru determinarea coeficientului a
0
.


Condiia 8.59 nu garanteaz c n dreptul frecvenei Fp valoarea |H(j)| este
maxim. Oricum dac polul p
1
este apropiat de cerc, atunci maximul se
atinge ntr-o zon foarte apropiat de Fp.
n finalul acestui exemplu, un exerciiu util este trasarea exact a
caracteristicii de frecven conform cu 8.3.4. n felul acesta se poate verifica
efectul alegerii unui R mai apropiat de 1,


8.5.2. Filtru trece band cu caliti mbuntite
Se poate mbunti calitatea filtrului trece band proiectat anterior prin
amplasarea unui zerou z
1
, ntre cei doi poli chiar pe axa real, de coordonate
(z
x
, 0), ca n figura 8.21. Acesta va compensa n parte efectul nedorit al
polului conjugat.
Re Z
Im Z
0
Fe/2
p
1
p
2
p
x
p
y
z
1

Figura 8.21. Filtru trece band cu doi poli i un zero.


194 Filtre recursive
De aceast dat funcia de transfer va fi:

) p (z ) p (z
) z (z a
X(z)
Y(z)
H(z)
2 1
1 0


(8.72)

Urmnd exact aceeai cale ca n exemplul precedent se ajunge la urmtoarea
structur a filtrului recursiv:

y
[n]
= a
0
x
[n]
+ a
0
z
1
x
[n-1]
+ b
1
y
[n-1]
+ b
2
y
[n-2]
(8.73)

Coeficienii b
1
i b
2
vor fi exact cei din exemplul precedent, iar z1 poate fi,
spre exemplu, egal chiar cu p
x
= R cos().


8.5.3. Exemple de filtre simple

a. Filtru pentru rejecia total a unei frecvene F (notch filter).

Pentru nlturarea total a unei frecvene, ideea de baz este aceea de a plasa
un zerou z
1
pe cerc, chiar n dreptul frecvenei dorite, ca n figura 8.22. n
acest caz datorit factorului (z-z
1
) aflat la numrtor, se va anula modulul
caracteristicii de frecven pentru frecvena corespunztoare lui z
1
. Pentru a
putea implementa filtrul cu coeficieni reali, este nevoie s lum dou
zerouri conjugate, dintre care cel din primul cadran este cel care va
corespunde frecvenei F rejectate. Coordonatele celor dou zerouri sunt:

z
1
= cos(2F/Fe) + jsin(2F/Fe)
z
2
= cos(2F/Fe) jsin(2F/Fe) (8.74)

Re z
Im z
0
Fe/2
p
1
p
2

z
1
z
2
R

Figura. 8.22. Dou zerouri i doi poli pentru un notch filter.

Un filtru numeric nu poate rejecta complet o singur frecven, fr a le
afecta i pe cele din jur. De aceea, un astfel de filtru poate fi inclus n
Ioan P. MIHU - Procesarea numeric a semnalelor 195
categoria filtrelor oprete band, adic filtrul va atenua complet frecvena F,
dar va atenua ntr-o anume msur i frecvenele apropiate de frecvena F,
aa cum se vede n figura 8.23.
R=0,88
R=0,98
f
f
Fe/2
|H(j)|
(j)

Figura. 8.23. Caracteristica de frecven a filtrului notch

O idee de a reduce efectul celor dou zerouri asupra frecvenelor apropiate
de frecvena F este s introducem doi poli conjugai, chiar n dreptul
frecvenei F, care s amplifice ceea ce atenueaz zerourile. Introducerea
celor doi poli va avea ca efect ngustarea benzii de oprire.
p
1
= R[cos(2F/Fe) + jsin(2F/Fe)]
p
2
= R [cos(2F/Fe) jsin(2F/Fe)] (8.75)
Chiar i n lipsa polilor p
1
i p
2
, filtrul va rejecta complet frecvena F (notch
filter). Cu ct R este mai aproape de 1, cu att banda de frecvene oprit va
fi mai ngust n jurul frecvenei rejectate.


b. Filtru recursiv trece jos (FTJ)

Un astfel de filtru poate fi realizat n mai multe feluri. Cel mai simplu filtru
recursiv, de tip FTJ, este cel care are un singur pol, plasat pe axa real,
avnd 0 < r < 1. Filtre cu o caracteristic mai apropiat de caracteristica unui
FTJ ideal se pot obine plasnd unul sau mai multe zerouri n (1, 0), i o
pereche de poli conjugai corespunztori unor frecvene F < Fe/4, ca n
figura 8.24. Cu ct raza polilor este mai mare, cu att mai mult va exista o
amplificare mai mare n jurul acelei frecvene, deci caracteristica nu va mai
fi plat n banda de trecere.
196 Filtre recursive
Re Z
Im Z
0
p1
p2
z
1,2

Figura. 8.24. Dou zerouri i doi poli pentru un filtru trece jos.


c. Filtrul recursiv trece sus (FTS)

Problema este similar cu sinteza filtrului trece jos, cu deosebirea c zeroul
va fi plasat n (1,0) iar polii vor fi plasai n cadranul 2.

Re Z
Im Z
p
1
p
2
z
1,2
Fe/2

Figura. 8.25. Dou zerouri i doi poli pentru un filtru trece sus.


d. Filtrul trece tot

Acest tip de filtru are o pereche de poli conjugai i o pereche de zerouri
conjugate, ca n figura 8.26. Un pol i un zerou au aceeai frecven, dar au
razele invers proporionale, iar cellalt pol i cellalt zerou sunt complex
conjugai cu primii.
p
1,2
= R[cos(2F/Fe) t jsin(2F/Fe)]
z
1,2
= (1/R)[cos(2F/Fe) t jsin(2F/Fe)] (8.76)

Un zero plasat la acelai unghi cu un pol, dar avnd raza egal cu inversul
razei polului, va neutraliza efectul acestuia, din punct de vedere al
amplificrii.
Ioan P. MIHU - Procesarea numeric a semnalelor 197
Re Z
Im Z
p
1
p2
z
1
Fe/2
z2
0

Figura. 8.26. Dou zerouri i doi poli pentru un filtru trece tot.


Un astfel de filtru are o caracteristic de frecven a crei amplitudine este
constant, egal cu 1 pentru orice frecven. Deci semnale sinusoidale aduse
la intrarea filtrului vor trece fr a-i modifica amplitudinea, indiferent de
frecvena lor. n schimb, caracteristica de faz a acestui filtru nu va fi mai fi
una constant. Semnalele de frecven diferit vor avea aceeai amplitudine,
dar vor fi diferit ntrziate. Cu ct R este mai aproape de 1, cu att mai
neliniar va fi caracteristica de faz.

La ce bun un astfel de filtru? Am amintit c filtrele nerecursive se mai
numesc i filtre cu faz liniar, adic ntrzierea unei sinusoide ce trece
printr-un filtru nerecursiv este proporional cu frecvena acelui semnal. n
schimb, filtrele recursive au o caracteristic de faz neliniar, acest fapt
fiind un dezavantaj al acestui tip de filtre. Pentru a corecta acest neajuns se
poate utiliza un filtru trece tot, care poate fi pus n serie cu un filtru recursiv,
pentru a-i corecta acestuia caracteristica de faz i a o face liniar.


8.5.4. Concluzii i sfaturi utile referitoare la metoda poli i zerouri


Dac se plaseaz un pol peste un zero, ele i neutralizeaz reciproc
efectele. Lucrul este evident i din faptul c n funcia de transfer, cei doi
termeni se vor simplifica.

Dac se plaseaz un nou zero sau un nou pol peste unul existent, atunci
acetia vor ajunge soluii multiple ale numrtorului, respectiv
numitorului. Efectul este o accentuare a caracterului dorit al tipului
caracteristicii. Spre exemplu, dac n exemplul anterior, n care s-a
proiectat un filtru trece band, se va impune un pol multiplu n locul
unuia simplu, caracteristica obinut va avea o selectivitate mai bun.
198 Filtre recursive
Deci ideea folosirii polilor i zerourilor multiple este una prin care se pot
obine foarte simplu caracteristici de frecven performante.

Dac nu se plaseaz nici un pol, atunci filtrul obinut va fi unul
nerecursiv.

Un zero plasat pe cercul unitar face ca respectiva frecven s nu treac
deloc prin filtru. Acest gen de filtre poart denumirea de notch filter.

Un pol plasat pe cercul unitar, face ca sistemul s devin un oscilator.
Un pol n afara cercului unitar, face ca sistemul s devin instabil.

Zerourile afecteaz coeficienii implicai n secvena x
[n]
de intrare, iar
polii afecteaz coeficienii implicai n secvena y
[n]
de ieire.

Pentru ca coeficienii filtrului s aib valori reale, trebuie ca polii i
zerourile s fie reali, sau perechi complex conjugate.

Pentru valori mici ale distanei fa de origine, cea mai amplificat
frecven nu este ntotdeauna cea care are acelai unghi cu al polului,
datorit efectului valorii perechii complex conjugate.

Cu ct crete numrul de zerouri, cu att mai muli coeficieni va avea
filtrul i n consecin, vor fi implicate mai multe valori x
[n-k]
din
secvena de intrare, anterioare valorii curente x
[n]
.

Cu ct crete numrul de poli, cu att va crete numrul coeficienilor
filtrului i vor fi implicate mai multe valori y
[n-k]
din secvena de ieire
anterioare valorii curente y
[n]
. n ambele cazuri, filtrul va fi mai lung,
i va necesita un timp de calcul mai mare.

Se adaug n origine poli sau zerouri dup caz. Numrul i felul lor
trebuie s fie corespunztor factorului z
M-N
din relaia. Regul simpl: la
fiecare pol n plus fa de numrul zerourilor, se adaug un zerou n
origine, i reciproc la fiecare zerou n plus fa de numrul polilor se
adaug un pol n origine. Dac numrul polilor este egal cu al polilor, nu
exist poli sau zerouri n origine.

Cele menionate pn acum, cu referire la realizarea filtrelor recursive cu
ajutorul proprietilor polilor i zerourilor, sunt sintetizate n figura 8.27, sub
forma unor pai, pe care-i vom reine mai uor dac poart i un nume:
metoda poli-zerouri.



Ioan P. MIHU - Procesarea numeric a semnalelor 199
Se pleac de la cerine impuse caracteristicii de frecven dorite.
Acestea pot fi sub forma unor specificaii, sau sub form grafic.
Se sintetizeaz grafic caracteristica de frecven dorit
prin amplasarea (adugarea) de poli i zerouri,
n poziii convenabile ale planului z, n acord cu concluziile 8.5.4.
Se transform forma raional 8.54, cu polinoame n z
n forma raional 8.53 cu polinoame n z
-1
.
Se determin caracteristica de frecven,
pe baza funciei de transfer H(z) ca n capitolul 8.3.4.
Dac rezultatul este nemulumitor, se reia construcia caracteristicii
folosind ali poli i alte zerouri dup caz
Polii i zerourile plasate grafic trebuie trecui n funcia H(z) 8.55
Se transform funcia din forma factorial 8.55
n forma raional 8.54, cu polinoame n z la numrtor i numitor
Se folosesc cei N coeficieni h
[k]
i cei M-1 coeficieni b
[k]
pentru a filtra semnale x
[n]
dorite:

] i - 1 - [n
y
] i [
b -
k] - [n
x
[k]
h
[n]
y
1 M
0 i
1 N
0 k


Din 8.53 se identific cei N coeficieni h
[k]
i cei M-1 coeficieni b
[k]


Figura 8.27. Realizarea filtrelor recursive cu metoda poli-zerouri



8.6. Proiectarea filtrelor recursive folosind transformata Z

Fiindc proiectarea prin aceast metod este mai laborioas din punct
de vedere al aparatului matematic, este bine ca de la nceput s avem o
imagine de ansamblu a pailor ce trebuie fcui, pentru atingerea
obiectivului.
200 Filtre recursive
8.6.1. Etapele proiectrii
Etapele proiectrii filtrelor recursive folosind transformata Z sunt sintetic
prezentate n figura urmtoare:

Se trece n domeniul timp discret.
Construcie i analiz n timp continuu
Se determin transformata Z:
H(s) H(z)
Alegerea funciei de aproximare dorit: Butterworth, Cebev, etc
Se folosesc cei N coeficieni h
[k]
i cei M-1 coeficieni b
[k]
pentru a filtra semnale x
[n]
dorite:

] i - 1 - [n
y
] i [
b -
k] - [n
x
[k]
h
[n]
y
1 M
0 i
1 N
0 k


Sinteza schemei filtrului recursiv, (algoritmul) corespunztor lui H(z)
Stabilirea ordinului funciei de aproximare (ordinul filtrului)
Determinarea exact a funciei de aproximare H(s)
Determinarea coeficienilor algoritmului de filtrare
Stabilirea gabaritului caracteristicii de frecven a filtrului dorit


Figura 8.28. Etapele proiectrii unui filtru recursiv, folosind
transformata Z.


O prim observaie important este faptul c pentru proiectarea acestui
filtru numeric se pornete de la proiectarea unui filtru din domeniul
semnalelor n timp continuu (semnale analogice). Dup determinarea
Ioan P. MIHU - Procesarea numeric a semnalelor 201
funciei de transfer a acestui filtru analogic (Hs), se trece la realizarea
propriu-zis a filtrului numeric prin obinerea transformatei H(z).
Vom detalia n continuare etapele menionate.


8.6.2. Stabilirea gabaritului caracteristicii de frecven.

Este firesc faptul c dac se dorete proiectarea unui filtru numeric,
trebuie pornit de la cerinele impuse acestui filtru, aa cum am
procedat i la proiectarea filtrelor nerecursive. Cerinele impuse
filtrului se concretizeaz prin a stabili (a impune) forma i
dimensiunile caracteristicii de frecven H(j) pentru un filtru
analogic, similar cu viitorul filtru numeric. Caracteristica de
frecven presupune cunoaterea att a caracteristicii de amplitudine
|H(j)|, ct i a caracteristicii de faz arg[H(j)]. n cazul filtrului de
fa vom impune doar dimensiunea (forma) caracteristicii de
amplitudine.
n cazul de fa stabilirea formei i dimensiunilor caracteristicii de
frecven a filtrului (gabaritului filtrului) nseamn stabilirea unui
domeniu n interiorul cruia s se afle caracteristica de frecven, aa
cum se exemplific n figura 8.29.

|H(j)|
f
F
T
F
A
A
max
A
min
1
Exemplu de caracteristic
ce se ncadreaz n
gabaritul impus

Figura 8.29. Definirea gabaritului unui FTJ

n figura 8.29 este ilustrat modul n care se poate defini gabaritul unui
FTJ. Caracteristicii de frecven (amplitudine) i se impune s fie
cuprins n zona haurat. Zona haurat i implicit caracteristica de
frecven este definit cu ajutorul urmtorilor parametrii:
202 Filtre recursive
F
T
reprezint frecvena de trecere a filtrului trece jos, definit
ca fiind frecvena la care amplificarea scade cu 3 dB fa de
valoarea maxim avut n zona de trecere.
F
A
reprezint o frecven aleas din afara benzii de trecere,
frecven la care se va impune o anumit amplificare A min,
Amax reprezint atenuarea n interiorul benzii de trecere.
Conform definiiei frecvenei de trecere aceasta este de 3dB. ,
i pentru toate aplicaiile care vor urma se va considera aceast
valoare.
Amin Atenuarea n dB, pentru semnalele sinusoidale de
frecvena F
A
. Fiindc sunt atenuri, trebuie ca: Amin > Amax.

|H(j)|
f
F
T1
F
A1
A
max
A
min
1
F
A2
F
T2

Figura 8.30. Definirea gabaritului unui FTB.

Un filtru trece sus, se definete similar, folosind tot cei 4 parametri.
Pentru un filtru trece band, definirea gabaritului se face conform
figurii 8.30, impunnd pentru ambele capete ale benzii aceeai valoare
Amin, urmat de precizarea valorii frecvenelor F
A1
i F
A2
. Se
precizeaz de asemenea valorile frecvenelor de trecere F
T1
i F
T2
la
care atenuarea este de 3dB. Similar se definete i filtrul oprete
band.


8.6.3. Alegerea unei funcii de aproximare
n continuare se pune problema alegerii funciilor de exprimare cele
mai adecvate. n literatur se cunosc mai multe astfel de funcii, toate
avnd specific faptul c se ncadreaz n zona haurat i c permit
apoi obinerea transformatei Z. Iat o clasificare a principalelor funcii
de aproximare a caracteristicii de frecven

Ioan P. MIHU - Procesarea numeric a semnalelor 203
funcii polinomiale:
- Butterworth
- Cebiev
- Bessel
- Legendre etc.
funcii fracii raionale: Zolotarev

Dintre cele amintite vom alege pentru exemplificarea principiului,
funcia de tip Butterworth. n literatura de specialitate acest tip de
funcie se gsete date tabelar. Altfel spus exist tabele cu polinoame
Butterworth ncepnd de la gradul I pn la gradul VII-VIII n care se
dau valorile coeficienilor acestor polinoame. n continuare se prezint
un algoritm de determinare a funciei de aproximare Butterworth de
orice ordin, pornind de la gabaritul caracteristicii de frecven.
Forma general a funciei de aproximare este dat de relaia 8.77.
Numrul de factori de la numitorul funciei depinde de alura
caracteristicii de frecven impuse. Cu ct se impune o caracteristic
mai selectiv, deci cu o pant accentuat ntre frecvenele F
T
i F
A
din
figura 8.29, cu att mai muli factori vor fi necesari.

( )

+
1
]
1


,
_

Q
1 i
1
N 2
1 i 2 sin s 2
2
s
1
s
2
N
Frac 2 1
1
H(s)
(8.77)

unde N reprezint ordinul filtrului, iar Q reprezint numrul de factori
de gradul II din expresia funciei de aproximare. Ordinul filtrului se
determin cu relaia:

( )

) /F lg(F
1 e lg
2
1
> N
T A
10
ln(10) Amin

(8.78)
Dac N se alege impar n expresia lui H(s) va exista i un factor de
ordinul nti la numitor, iar dac N este par, nu vor exista dect factori
de ordinul doi. Numrul de factori de ordinul doi se calculeaz astfel:
Q=

,
_

2
N
Int
(8.79)

Relaia 8.66 este valabil numai n ipoteza c Amax = -3dB. Se poate
uor constata c ordinul filtrului i implicit numrul de factori din
expresia funciei de aproximare crete o dat cu impunerea unei forme
mai selective caracteristicii de frecven. Astfel cu ct F
A
este mai
apropiat de F
T
, i Amin de valoare mai mic, caracteristica va avea o
204 Filtre recursive
nclinare mai mare n dreptul zonei frecvenei de trecere, deci va fi
mai selectiv.
Determinarea funciilor de transfer pentru alte forme ale gabaritului
propus, ca de exemplu filtre trece sus (FTS), filtre trece band (FTB)
sau filtre oprete band (FOB) se procedeaz de fiecare dat n felul
urmtor:
a) se determin funcia Butterworth corespunztoare filtrului
FTJ, cu relaiile 8.77, 8.78, 8.79.
b) dac se dorete un FTS cu aceiai frecven de tiere ca i
FTJ, acesta se obine simplu fcnd, n funcia FTJ,
substituia urmtoare:

s
1
s (8.80)
c) pentru FTB se face substituia:
( ) B 1/s + s s (8.81)

d) pentru FOB:

1/s + s
B
s (8.82)
unde B se definete cu ajutorul limitelor impuse benzii de
trecere: fp1, fp2:

fp2 fp1
fp2 - fp1
= B

(8.83)


8.6.4 Aflarea transformatei Z a funciei Butterworth determinate

Firul rou al rezolvrii problemei l reprezint descompunerea funciei
din forma iniial ntr-o sum de fracii crora li se poate determina
transformata Z. Paii de urmat sunt sintetizai n figura 8.30.
Pentru aceste situaii transformata Z este diferit i se calculeaz
conform tabelelor cunoscute n literatur, fie pentru numitor de gradul
I, fie pentru numitor de gradul II. n concluzie transformata Z
obinut este o sum de forma:

H(z) =
h
i
h
i
z
1+ b
i
z b
i
z

0 1
- 1
1
- 1
2
- 2
i = 1
Q
+
+
(8.84)

pentru termenul de gradul I vom avea h
1 i
= b
2 i
= 0

Ioan P. MIHU - Procesarea numeric a semnalelor 205


Determinarea transformatei Z pe baza aproximrii Butterworth.

+ +
+
+
,
_

Q
1 i
1 s
x(i)
p
2
s
i
b s
i
a
2
N
2Frac
1 s
2
k
1
k
H(s)

+ +
+

Q
i
z
1
2
i 2
1
i 1
1
i 1 i 0
z b z b 1
h h
H(z)
0
0
2 - n
2 - n
1 - n
1 - n
n
n
s C ....... s C s C s C
1
H(s)
+ + + +

+
,
_


+
,
_


Q
1 i 1
2N
1) - (2i
sin s 2
2
s
1


2
N
Frac s 2 + 1
1
= H(s)


Figura 8.30. Determinarea transformatei Z folosind funcii de
aproximare Butterworth.



8.6.5. Sinteza schemei filtrului recursiv


Pe baza relaiei obinute pentru transformata Z se poate sintetiza o
arhitectur de filtrul "paralel". Astfel fiecare modul generat de
termenii sumei de funcii de gradul II la numitor se va conecta cu
intrarea sa la intrarea filtrului i cu ieirea sa la ieirea filtrului ca n
figura 8.19.
Cu ajutorul coeficienilor i utiliznd relaia de recursivitate pentru un
filtru, implementarea algoritmului de filtrare se face astfel:

( )
Y(n) = X(n) h
i
+ X(n - 1) h
i
Y(n - 1) b
i
Y(n - 2) b
i

i = 1
Q
0 1 1 2

(8.85)

206 Filtre recursive
Avantajul utilizrii unui astfel de filtru fa de unul cu o structur
transversal este acela c n ciuda unor variabile i a unor calcule n
plus, filtrul de fa nu folosete informaie veche, deoarece aceast
structur nu are dect celule de ntrziere de cel mult ordinul 2.



8.4 TRANSFORMAREA BILINIAR


La aceast transformare modul de punere a problemei este identic cu
cel de la transformata Z pn la momentul obineri funciei de transfer
F(s). n acest moment se face substituia:


1 z
1 z
Fe
Fp
tg
1
s
+

,
_

(8.86)

Acest fapt duce la obinerea transformatei H(z) sub form de produse
de factori de forma:

+ +
+

Q
1 i
2 - 1
1i
-1
0i 0i
z b2i z b 1
z h h
H(z) (8.87)

Arhitectura rezultat are forma canonic n cascad, i este
prezentat n figura 8.19.



8.8. Proiectarea filtrelor recursive n MATLAB


Realizarea filtrelor recursive n mediul Matlab, se face n acelai mod
cu filtrele nerecursive (vezi cap 7.4). Aceasta deoarece din punct de
vedere al construciei caracteristicii de frecven nu exist nici o
diferen de principiu ntre cele dou categorii de filtre.
Pentru realizarea filtrelor recursive exist dou funcii speciale:
iirlpnormi iirgrpdelay coninute n Filter Design Toolbox.
Funciile returneaz doi vectori unidimensionali: h i b coninnd
coeficieni pentru forma transversal a filtrului recursiv.




Ioan P. MIHU - Procesarea numeric a semnalelor 207
8.9 Aplicaii

Problema 8.1. Se d filtrul: y
[n]
=2 x
[n]
x
[n1]
+ 0,8y
[n1]
.
S se precizeze:
Care este ordinul filtrului?
Filtrul este recursiv sau nerecursiv?
Filtrul este de tip FIR sau IIR?
Care este funcia de transfer a filtrului.
Care este caracteristica de frecven a filtrului.
S se determine primele 5 eantioane ale secvenei de ieire dac
secvena de intrare este urmtoarea: x
[n]
=1; x
[n1]
=8; x
[n2]
= 3;
toate celelalte eantioane fiind nule.
Cum se determin caracteristica de frecven n Matlab?

Problema 8.2. Funciile de transfer a dou filtre sunt:
a. H(z) = 1+ 0,5z
b. H(z) = z / (1+ 0,5z)
S se calculeze secvenele de ieire, dac secvena de intrare este aceeai:
x
[n]
= {0, 1, 0, -1, 0, 2, 0 2}

Problema 8.3. Un filtru numeric are o transformat Z cu 3 poli i 3 zerouri.
Aezai polii i zerourile de aa fel n planul z nct filtrul s s aib o
caracteristic de frecven de forma:
a. FTJ;
b. FTB;
c. Notch filter;
d. Filtru Trece Tot.
Argumentai rspunsurile.

Problema 8.4. Transformata Z a unui filtru numeric este:
a.
z
5 , 0 z
) z ( H


b.
5 , 0 z
z
) z ( H


Pentru fiecare caz n parte, plasai polii i zerourile n planul Z i apoi trasai
caracteristica de amplitudine i de faz a filtrului.

Problema 8.5. Un filtru are funcia de transfer:
H(z) = 1+0,5z
1
z
2
.
S se precizeze:
Care este ordinul filtrului?
208 Filtre recursive
Desenai schema bloc a filtrului, cu celule de ntrziere
Trasai caracteristica de frecven a filtrului

Problema 8.6. Un filtru are funcia de transfer:
1 -
1
z 1
z 1
H(z)


Care este ordinul filtrului?
Desenai schema bloc a filtrului, cu celule de ntrziere
Trasai caracteristica de frecven a filtrului

Problema 8.7. Un filtru are funcia de transfer:
2 - 1 -
1
z z 1
z 1
H(z)

+


S se precizeze:
Care este ordinul filtrului?
Desenai schema bloc a filtrului, cu celule de ntrziere
Trasai caracteristica de frecven a filtrului




8.10. Bibliografie


[8.1] Antoniou, A., Digital Filters: Analysis, Design, and Applications,
McGraw-Hill, Inc. 1993.

[8.2] Porat, B, A Course in Digital Signal Processing, John Wiley and
Sons, 1997

[8.3] Ifeachor, E.C., Jervis, B.W., Digital Signal Processing A Practical
Approach, Addison/Wesley, 1999

[8.4] *** http://www.dspguide.com/ch33.htm

[8.5] *** http://www.bores.com/courses/intro/iir/index.htm

[8.6] *** http://www.dsptutor.freeuk.com/digfilt.pdf

S-ar putea să vă placă și