Sunteți pe pagina 1din 26

Arhitectura calculatoarelor Lucrarea de laborator Nr. 4.

1
CIRCUITE LOGICE SECVEN!IALE
". Scopul lucr#rii
Lucrarea are ca scop cunoa!terea func"ion#rii unor circuite secven"iale importante !i utilizarea
acestor circuite n proiectarea unor ma!ini de stare. Sunt prezentate diferite circuite basculante bista-
bile (RS asincron, RS sincron, JK sincron, D sincron, T sincron), registre (de memorare, de deplasare,
combinate, universale) !i num#r#toare (asincrone !i sincrone).
2. Considera$ii teoretice
2.". Prezentare general# a circuitelor secven$iale
Circuitele combina"ionale implementeaz# func"iile esen"iale ale unui calculator numeric.
Aceste circuite se caracterizeaz# prin faptul c# starea ie!irilor depinde numai de starea intr#rilor, !i nu
depinde de timp. Deci, cu excep"ia memoriilor ROM, circuitele combina"ionale nu furnizeaz# infor-
ma"ii de memorie sau de stare, care sunt de asemenea elemente esen"iale pentru func"ionarea unui cal-
culator numeric. n acest scop se utilizeaz# circuitele secven"iale. Un circuit secven"ial are memorie,
adic# ie!irile curente ale circuitului nu depind numai de intr#rile curente, ci !i de intr#rile anterioare.
Un alt mod de caracterizare a unui circuit secven"ial este c# ie!irile curente ale circuitului depind de
intr#rile curente !i de starea curent# a circuitului.
Structura general# a unui circuit secven"ial este prezentat# n Figura 4.1.
Figura 4.1. Structura general# a unui circuit secven"ial.
Circuitul secven"ial se compune dintr-un circuit combina"ional, o parte a ie!irilor acestuia fi-
ind conectate la intr#rile circuitului prin intermediul unor elemente de memorie (elemente de ntrzie-
re)
1
, ,
p
. Semnalele aplicate pe cele n intr#ri formeaz# mul"imea X = {x
1
, x
2
, , x
n
} a variabilelor
de intrare, numite !i variabile de intrare principale. Mul"imea format# din 2
n
intr#ri distincte se nu-
me!te alfabet de intrare I, } ,..., , {
2
2 1
n
i i i I = . De exemplu, pentru dou# variabile de intrare alfabetul de
intrare este I = {00, 01, 10, 11}. O combina"ie a intr#rilor se nume!te simbol al alfabetului.
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 2
Semnalele de ie!ire formeaz# mul"imea Z = {z
1
, z
2
, , z
m
} a variabilelor de ie!ire, numite !i
variabile de ie!ire principale. Mul"imea format# din 2
m
ie!iri distincte se nume!te alfabet de ie!ire O,
} ,..., , {
2
2 1
m
O O O O = .
Ie!irile secundare
' '
2
'
1
,..., ,
p
y y y sunt conectate la intrare prin leg#turi inverse !i elementele de
ntrziere
1
, ,
p
, formnd intr"rile secundare y
1
, y
2
, , y
p
ale circuitului secven"ial. Valorile intr#-
rilor secundare (y
1
, y
2
, , y
p
) la un moment de timp t definesc starea intern" prezent" a circuitului.
Mul"imea st#rilor prezente este }. ..., , , {
2
2 1
p
q q q Q = Valorile ie!irilor secundare (
' '
2
'
1
,..., ,
p
y y y ) defi-
nesc starea intern" urm"toare a circuitului. Mul"imea st#rilor urm#toare este }. ..., , , {
'
2
'
2
'
1
'
p
q q q Q =
Considernd elemente de memorie ideale, a c#ror intrare prezent# constituie ie!irea la un mo-
ment de timp urm#tor, !i presupunnd pentru simplificare
1
=
2
= =
p
= , starea urm#toare de-
vine stare prezent# dup# intervalul de timp :
) ( ) (

) ( ) (
) ( ) (
'
'
2 2
'
1 1
t y t y
t y t y
t y t y
p p
= +
= +
= +
!
(4.1)
Intervalul de timp

este determinat de ntrzierile produse de elementele de ntrziere special
introduse pe leg#turile inverse sau de ntrzierile de propagare ale semnalelor de la intr#ri la ie!iri.
Circuitele secven"iale de forma celui din Figura 4.1, la care starea urm#toare devine stare prezent#
numai dup# un timp , determinat de ntrzierile interne ale circuitului combina"ional, f#r# aplicarea
unui semnal din exterior, se numesc circuite secven"iale asincrone.
Pentru a se descrie comportarea unui circuit secven"ial, trebuie s# se indice coresponden"a
dintre starea prezent# pentru un anumit cuvnt de intrare !i starea urm#toare. Presupunnd c# circuitul
are o comportare determinist#, adic# pentru un anumit cuvnt de intrare x
1
, x
2
, , x
n
!i o anumit# stare
prezent# y
1
, y
2
, , y
p
exist# o singur# tranzi"ie posibil# ntr-o stare
' '
2
'
1
,..., ,
p
y y y , rezult# ecua#iile st"rii
urm"toare (tranzi"ia st#rilor):
) ..., , , , ..., , , (
) ..., , , , ..., , , (
) ..., , , , ..., , , (
2 1 2 1
'
2 1 2 1 2
'
2
2 1 2 1 1
'
1
p n p p
p n
p n
y y y x x x f y
y y y x x x f y
y y y x x x f y
=
=
=
!
(4.2)
Pentru descrierea complet# a circuitului trebuie s# se exprime ie!irile acestuia ca func"ii de
intr#ri !i de starea prezent#, prin ecua#iile ie!irilor (tranzi"ia ie!irilor):
) ..., , , , ..., , , (
) ..., , , , ..., , , (
) ..., , , , ..., , , (
2 1 2 1
2 1 2 1 2 2
2 1 2 1 1 1
p n m m
p n
p n
y y y x x x g z
y y y x x x g z
y y y x x x g z
=
=
=
!
(4.3)
Deoarece alfabetul de intrare !i cel de ie!ire sunt mul"imi finite, formate din cel mult 2
n
, res-
pectiv 2
m
simboluri, iar num#rul de st#ri interne este finit, circuitul secven"ial se nume!te cu st"ri fini-
te, sau ma!in" cu st"ri finite, sau ma!in" de stare. Circuitele secven"iale descrise prin ecua"ii de forma
(4.2) !i (4.3) se numesc circuite secven"iale de tip Mealy, iar modelul lor matematic se nume!te auto-
mat finit de tip Mealy.
Exist# circuite secven"iale la care ie!irile nu depind de intr#rile principale, ci numai de cele
secundare, adic# de starea prezent#. n acest caz, ecua"iile ie!irilor devin:
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 3
) ..., , , (
) ..., , , (
) ..., , , (
2 1
2 1 2 2
2 1 1 1
p m m
p
p
y y y g z
y y y g z
y y y g z
=
=
=
!
(4.4)
Circuitele secven"iale descrise prin ecua"iile ie!irilor de forma (4.4) !i ecua"iile st#rii urm#toa-
re de forma (4.2) se numesc circuite secven"iale de tip Moore, iar modelul lor matematic se nume!te
automat finit de tip Moore.
n cazul circuitelor combina"ionale reale, ie!irile nu se modific# simultan cu intr#rile, ci dup#
o anumit# ntrziere numit# timp de propagare (t
p
). Vectorul de ie!ire va fi corect numai dup# acest
timp de propagare. n intervalul de timp (0 .. t
p
) pot apare mai mul"i vectori de ie!ire, diferi"i de cel
corect. Acest fenomen se nume!te hazard combina#ional. Hazardul se poate elimina prin ad#ugarea
unei memorii la ie!irea circuitului combina"ional, care preia datele numai la momente discrete de timp,
mai mari dect t
p
: t = kT (k = 1, 2, 3, ). Aceasta se realizeaz# prin comanda memoriei cu impulsuri
de la un generator de tact, cu perioada T. Circuitele secven"iale la care starea urm#toare devine stare
prezent# numai n momente determinate prin impulsuri de tact se numesc circuite secven"iale sincrone.
Schema unui asemenea circuit este prezentat# n Figura 4.2.
Figura 4.2. Circuit secven"ial sincron.
Elementele de ntrziere s-au nlocuit cu elemente de memorie, care p#streaz# starea pre-
zent# a circuitului. Leg#turile inverse sunt ntrerupte n lipsa impulsurilor de tact, fiind stabilite numai
n prezen"a tactului. Durata impulsului de tact trebuie s# fie suficient de scurt#, astfel nct leg#turile
inverse s# fie ntrerupte nainte ca ie!irile secundare ale circuitului s#-!i modifice starea conform cu
valoarea intr#rilor !i starea n care a trecut circuitul n timpul impulsului de tact considerat. Astfel,
toate st#rile circuitului secven"ial sincron sunt stabile. Circuitul combina"ional este divizat n dou#
p#r"i: prima corespunde func"iei de ie!ire, iar a doua corespunde func"iei st#rii urm#toare.
Descrierea func"ion#rii unui circuit secven"ial se poate realiza n mai multe moduri: prin tabele
de tranzi"ii, grafuri de tranzi"ii sau organigrame. Uneori se mai utilizeaz# diagrame de timp ale sem-
nalelor.
Tabelul de tranzi#ii (sau tabelul st#rilor) exprim# starea urm#toare !i ie!irile n func"ie de fie-
care combina"ie a st#rilor prezente !i a simbolurilor de intrare, sub form# tabelar#. Se reprezint# pe
coloane simbolurile de intrare (2
n
coloane) !i pe linii st#rile prezente (2
p
linii). La intersec"ia unei co-
loane corespunz#toare simbolului X
i
cu linia corespunz#toare st#rii q
j
se nscrie starea urm#toare dat#
de func"ia f, !i ie!irea dat# de func"ia g. n cazul unui automat Moore, la care ie!irile nu depind de in-
tr#rile principale, acestea se trec ntr-o coloan# separat#. St#rile sunt notate simbolic, prin litere (A, B,
C, D, ), cifre, sau numere binare. Pentru 2
p
st#ri sunt necesari p bi"i pentru codificare.
Presupunem un circuit secven"ial cu patru st#ri, o variabil# de intrare principal# !i o ie!ire.
Circuitul este descris prin tabelul de tranzi"ii din Tabelul 4.1.
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 4
Tabelul 4.1. Tabelul de tranzi"ii al unui circuit secven"ial (automat Mealy).
Q
Q X = 0 X = "
00 00 / 0 01 / 0
01 10 / 0 11 / 0
10 00 / 1 01 / 1
11 10 / 1 11 / 1
Deoarece ie!irile nu depind de intr#ri, circuitul corespunde unui automat Moore, iar tabelul de
tranzi"ii devine cel din Tabelul 4.2.
Tabelul 4.2. Tabelul de tranzi"ii al unui automat Moore.
Q
Q X = 0 X = "
Z
00 00 01 0
01 10 11 0
10 00 01 1
11 10 11 1
Graful de tranzi#ii (sau diagrama de stare) este o reprezentare grafic# a modelului matematic.
n nodurile grafului se reprezint# st#rile circuitului. ntre dou# st#ri q
j
!i q
k
exist# o linie marcat# cu
s#geat# de la q
j
la q
k
, dac#, atunci cnd circuitul se afl# n starea q
j
, exist# un simbol de intrare X
i
pen-
tru care circuitul trece n starea q
k
!i genereaz# o ie!ire z
j
. X
i
!i z
j
se noteaz# pe linia de leg#tur#. Repre-
zentarea unei tranzi"ii ntr-o diagram# de stare pentru un automat Mealy !i un automat Moore este
ilustrat# n Figura 4.3.
Figura 4.3. Reprezentarea unei tranzi"ii ntr-o diagram# de stare.
Pentru exemplul precedent, diagramele de stare corespunz#toare modelelor Mealy !i Moore
sunt ilustrate n Figura 4.4.
Figura 4.4. Diagrame de stare: (a) automatul Mealy din Tabelul 4.1; (b) automatul Moore din Tabelul 4.2.
Organigrama este o alt# reprezentare grafic# a comport#rii unui circuit secven"ial. O por"iune
dintr-o organigram# este ilustrat# n Figura 4.5. Dac# circuitul se afl# n starea q
j
!i se aplic# la intrare
simbolul X
i
, circuitul trece n starea q
k
!i genereaz# ie!irea z
k
.
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 5
Figura 4.5. Fragment dintr-o organigram#.
Organigrama corespunz#toare automatului din exemplul precedent este prezentat# n Figura
4.6.
Figura 4.6. Organigrama automatului din Tabelul 4.2.
2.2. Circuite basculante bistabile
Cele mai simple circuite secven"iale sunt circuitele basculante bistabile. Exist# diferite tipuri
de asemenea circuite, fiecare din acestea avnd dou# propriet#"i importante:
Un circuit basculant bistabil are numai dou# st#ri stabile. St#rile stabile sunt st#rile n care cir-
cuitul poate r#mne un timp nedefinit dac# nu se modific# intr#rile. Astfel, un bistabil poate
func"iona ca o memorie de 1 bit.
Un bistabil are dou# ie!iri, care sunt ntotdeauna complementare una fa"# de cealalt#. Acestea
se noteaz# de obicei prin Q !i Q .
2.2.". Bistabilul RS asincron
Bistabilul RS asincron const# din dou# por"i SAU-NU conectate n modul indicat n Figura
4.7 a. Circuitul are dou# intr#ri, R (Reset) !i S (Set), !i dou# ie!iri, Q !i Q . Simbolul circuitului este indi-
cat n Figura 4.7 b.
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 6
Figura 4.7. Bistabilul RS asincron realizat cu por"i SAU-NU: (a) schema logic#; (b) simbolul.
Pentru a ar#ta c# circuitul are dou# st#ri stabile, presupunem c# att R ct !i S sunt 0, iar Q este
0. Intr#rile por"ii SAU-NU de jos sunt Q = 0 !i S = 0. Ie!irea acestei por"i fiind Q = 1, intr#rile por"ii
SAU-NU de sus sunt R = 0 !i Q = 1, ie!irea fiind Q = 0. Astfel, starea circuitului r#mne stabil# ct timp
R = S = 0. n mod similar se poate ar#ta c# starea Q = 1, Q = 0 este de asemenea stabil# pentru R = S = 0.
Acest circuit poate func"iona deci ca o memorie de 1 bit, iar ie!irea Q poate fi considerat# ca valoare a
bitului. Intr#rile S !i R au rolul de a nscrie valoarea 1, respectiv 0, n memorie. Consider#m starea Q = 0, Q = 1,
R = 0, S = 0. Presupunem c# S se modific# la valoarea 1. Intr#rile por"ii SAU-NU de jos vor fi atunci Q = 0,
S = 1. Dup# o anumit# ntrziere , ie!irea por"ii SAU-NU de jos va fi Q = 0. n acest moment, intr#rile por"ii
SAU-NU de sus devin R = 0, Q = 0. Dup# o alt# ntrziere , ie!irea Q devine 1. Aceasta este de asemenea
o stare stabil#. Intr#rile por"ii SAU-NU de jos devin acum Q = 1, S = 1, ceea ce men"ine ie!irea Q = 0.
Ct timp R = 0 !i S = 1, ie!irile r#mn Q = 1, Q = 0. Mai mult, dac# S revine la 0, ie!irile r#mn neschimbate.
Atunci cnd R devine 1, ie!irile vor fi for"ate la Q = 0, Q = 1, indiferent de starea precedent#.
Din nou, este necesar# o ntrziere de 2 nainte ca starea circuitului s# devin# stabil#.
Deci, intrarea S are rolul de a aduce circuitul n starea stabil# 1, iar intrarea R are rolul de a
aduce circuitul n starea stabil# 0. Timpul ct S, respectiv R trebuie men"inute la nivelul 1 logic pentru
ca circuitul s# poat# fi adus n starea 0, respectiv 1, trebuie s# fie cel pu"in egal cu ntrzierea 2. Intr#-
rile R !i S sunt asincrone, deoarece ele nu ac"ioneaz# condi"ionat de un semnal de sincronizare. De
aceea, acest bistabil este un bistabil RS asincron.
Combina"ia RS = 11 nu este permis#, pe de o parte pentru c# ie!irile sunt n acest caz ambele 0
(nu sunt complementare), iar pe de alt# parte nu se poate determina starea n care va comuta bistabilul
la modificarea urm#toare a unei intr#ri.
Notnd cu Q
n
starea actual# a bistabilului !i cu Q
n+1
starea sa urm#toare, determinat# de sem-
nalele de pe intr#ri, se poate ntocmi un tabel de adev#r, care define!te starea urm#toare Q
n+1
n func"ie
de starea actual# Q
n
!i de intr#ri. Acest tabel se nume!te tabel caracteristic (Tabelul 4.3).
Tabelul 4.3. Tabelul caracteristic al bistabilului RS realizat cu por"i SAU-NU.
R S Qn Qn+"
0 0 0 0
0 0 1 1
0 1 0 1
0 1 1 1
1 0 0 0
1 0 1 0
1 1 0 ?
1 1 1 ?
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 7
Prin ? s-a indicat o stare nedefinit#. Acest tabel poate fi exprimat ntr-un mod mai compact.
Tabelul caracteristic simplificat este prezentat n Tabelul 4.4.
Tabelul 4.4. Tabelul caracteristic simplificat al bistabilului RS realizat cu por"i SAU-NU.
R S Qn+"
0 0 Qn
0 1 1
1 0 0
1 1 ?
Dac# se impune condi"ia RS 11, sau RS = 0, nedetermin#rile nu pot apare, deci combina"ia
RS = 11 se poate considera ca interzis# (redundant#). Rezult# diagrama Karnaugh din Figura 4.8 a, !i
sub form# simplificat#, diagrama din Figura 4.8 b.
Figura 4.8. Diagramele Karnaugh ale bistabilului RS realizat cu por"i SAU-NU.
Pe baza diagramei Karnaugh rezult# urm#toarea ecua"ie de stare, numit# !i ecua"ie caracteris-
tic#:
n n
Q R S Q
1
+ =
+
(4.5)
cu condi"ia:
0 = S R (4.6)
Tabelul excita#iilor define!te combina"iile care trebuie aplicate la intr#rile bistabilului pentru
ca acesta s# treac# dintr-o anumit# stare ntr-o alt# stare precizat#. Acest tabel este prezentat n Tabelul
4.5.
Tabelul 4.5. Tabelul excita"iilor pentru bistabilul RS realizat cu por"i SAU-NU.
Qn Qn+" R S
0 0 X 0
0 1 0 1
1 0 1 0
1 1 0 X
n Tabelul 4.5, pe coloana corespunz#toare intr#rilor R !i S s-a nscris valoarea 0 sau 1, atunci
cnd una din aceste valori este obligatorie pentru tranzi"ia respectiv#, sau X, atunci cnd valoarea este
indiferent#. De exemplu, atunci cnd starea prezent# a bistabilului este 0 !i starea urm#toare trebuie s#
fie tot 0, este obligatoriu ca S s# fie 0, dar R poate fi 0 sau 1.
n mod similar se poate realiza un bistabil RS cu por"i $I-NU. n acest caz, intr#rile de date
sunt active n starea logic# 0. Schema logic# a acestui bistabil este prezentat# n Figura 4.9.
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 8
Figura 4.9. Schema logic# a bistabilului RS asincron realizat cu por"i $I-NU.
Combina"ia de intrare S R = 11 nu produce comutarea circuitului. Dac# S R = 01 (se aplic#
nivelul logic 0 pe intrarea R ), bistabilul trece n starea 0 (Q = 0), iar dac# S R = 10 (se aplic# nivelul
logic 0 pe intrarea S ), bistabilul trece n starea 1 (Q = 1). Combina"ia de intrare S R = 00 produce tre-
cerea ntr-o stare nepermis# Q = Q = 1, !i o nedeterminare la modific#rile ulterioare ale intr#rilor. De
aici rezult# tabelul caracteristic (Tabelul 4.6).
Tabelul 4.6. Tabelul caracteristic al bistabilului RS realizat cu por"i $I-NU.
R S Qn Qn+"
0 0 0 ?
0 0 1 ?
0 1 0 0
0 1 1 0
1 0 0 1
1 0 1 1
1 1 0 0
1 1 1 1
$i n acest caz se poate ntocmi un tabel caracteristic simplificat (Tabelul 4.7).
Tabelul 4.7. Tabelul caracteristic simplificat al bistabilului RS realizat cu por"i $I-NU.
R S Qn+"
0 0 ?
0 1 0
1 0 1
1 1 Qn
Dac# se "ine cont de combina"ia interzis# S R = 00, rezult# diagrama Karnaugh din Figura
4.10 a, !i sub form# simplificat#, diagrama din Figura 4.10 b.
Figura 4.10. Diagramele Karnaugh ale bistabilului RS realizat cu por"i $I-NU.
Din diagramele Karnaugh rezult# ecua"ia:
n n n
Q R S Q R S Q
1
+ = + =
+
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 9
care este aceea!i cu ecua"ia (4.5), cu condi"ia R + S = 1, sau RS = 0.
2.2.2. Bistabilul RS sincron
n practic#, este necesar s# se realizeze bistabile la care comutarea se realizeaz# numai dup# ce
semnalele de intrare au devenit stabile, evitndu-se astfel comutarea gre!it# datorit# unor tranzi"ii ale
acestor semnale care nu pot fi controlate (de exemplu, din cauza unor zgomote). n acest scop, trebuie
ca semnalele care determin# modul de comutare al circuitului s# fie distincte de cele care determin#
momentul comut#rii, spre deosebire de bistabilele asincrone, la care att modul de comutare, ct !i
momentul acesteia sunt determinate de semnalele de intrare. Asemenea circuite sunt bistabilele sin-
crone, la care intr#rile de date sunt condi"ionate de o intrare de tact (ceas).
Pentru a ob"ine un bistabil RS sincron dintr-unul asincron, realizat, de exemplu, cu por"i
$I-NU, se pune condi"ia ca intr#rile circuitului asincron, notate cu R
1
!i S
1
, s# fie active numai n pre-
zen"a unui impuls de tact CLK:
CLK S S
CLK R R
=
=
1
1
(4.7)
unde R, S sunt intr#rile circuitului sincron.
Deoarece n cazul bistabilului RS asincron intr#rile sunt active pe nivelul logic 0,
complementnd ecua"iile (4.7) rezult#:
CLK S S
CLK R R
=
=
1
1
(4.8)
Schema logic# a circuitului rezultat este prezentat# n Figura 4.11 a, iar simbolul bistabilului
este prezentat n Figura 4.11 b.
Figura 4.11. Bistabilul RS sincron realizat cu por"i $I-NU: (a) schema logic#; (b) simbolul.
Ct timp CLK = 0, semnalele
1 1
, S R de la intrarea bistabilului de baz# nu pot deveni active.
Dac# apare un impuls de tact CLK = 1, semnalele R, S ac"ioneaz# pe intr#rile bistabilului de baz#,
efectul lor fiind similar cu cel al semnalelor R , S de la bistabilul asincron (R = 0, S = 1 determin#
Q = 1, iar R = 1, S = 0 determin# Q = 0).
Deci, semnalul CLK delimiteaz# intervalul n care semnalele R !i S pot modifica starea bista-
bilului. Dac# se impune condi"ia ca pe durata impulsului de tact (CLK = 1) semnalele R !i S s# fie sta-
bile, tranzi"ia lor fiind permis# numai atunci cnd CLK = 0, comutarea bistabilului va fi condi"ionat#
numai de apari"ia impulsului de tact. Acesta este cazul circuitelor bistabile, care comut# n timpul
tranzi"iei tactului de la 0 la 1 (pe frontul anterior, numit !i front cresc#tor sau pozitiv), sau de la 1 la 0
(pe frontul posterior, numit !i front descresc#tor sau negativ). Exist# !i circuite care !i modific# ie!i-
rea n perioada n care semnalul de tact este 1. Acestea se numesc circuite latch. Deci, bistabilele co-
mut# pe front, n timp ce circuitele latch comut# pe nivel.
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 10
Func"ionarea bistabilului RS sincron poate fi descris# prin tabelul caracteristic al bistabilului
de baz# realizat cu por"i SAU-NU, ecua"ia caracteristic# fiind aceea!i (4.5). Q
n
este starea naintea
aplic#rii impulsului de tact, iar Q
n+1
este starea dup# aplicarea acestuia.
n mod similar se poate realiza un bistabil RS sincron cu por"i SAU-NU, func"ionarea fiind
aceea!i, cu deosebirea c# intr#rile de date R , S sunt active pe nivelul 0 logic, iar comutarea se produ-
ce la trecerea semnalului de tact din 1 n 0 logic.
n general, bistabilele sincrone sunt prev#zute !i cu intr#ri prioritare asincrone, care comut#
starea bistabilului indiferent de intr#rile de date !i de tact: PRESET are rolul de a aduce bistabilul n
starea 1, iar CLEAR are rolul de a aduce bistabilul n starea 0.
2.2.3. Bistabilul JK sincron
Bistabilul JK sincron se ob"ine din bistabilul RS sincron prin modificarea structurii acestuia,
astfel nct s# se elimine starea de nedeterminare ce apare datorit# combina"iei de intrare RS = 11.
Bistabilul JK este realizat astfel nct pentru aceast# combina"ie a intr#rilor va comuta n starea com-
plementar#, la apari"ia impulsului de tact. Intr#rile acestui bistabil se noteaz# cu J !i K, acestea cores-
punznd intr#rilor S, respectiv R ale bistabilului RS din care se ob"ine.
Tabelul caracteristic simplificat este prezentat n Tabelul 4.8.
Tabelul 4.8. Tabelul caracteristic simplificat al bistabilului JK.
J K Qn+"
0 0 Qn
0 1 0
1 0 1
1 1
Q n
Diagrama Karnaugh corespunz#toare este prezentat# n Figura 4.12.
Figura 4.12. Diagrama Karnaugh simplificat# a bistabilului JK.
Rezult# ecua"ia de stare:
n n n
Q K Q J Q
1
+ =
+
(4.9)
Tabelul 4.9. Tabelul de adev#r pentru determinarea expresiilor R, S n func"ie de J, K, Q
n
.
J K Qn Qn+" R S
0 0 0 0 X 0
0 0 1 1 0 X
0 1 0 0 X 0
0 1 1 0 1 0
1 0 0 1 0 1
1 0 1 1 0 X
1 1 0 1 0 1
1 1 1 0 1 0
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 11
Pentru ca starea urm#toare s# corespund# acestei ecua"ii, la intr#rile R, S ale bistabilului RS
sincron trebuie s# se aplice anumite semnale. n continuare determin#m expresiile acestor semnale
(ecua"iile intr#rilor bistabilului RS) n func"ie de intr#rile J, K !i starea Q
n
. Tabelul de adev#r n care se
exprim# Q
n+1
n func"ie de J, K !i Q
n
se completeaz# cu dou# coloane corespunz#toare intr#rilor R, S,
care se completeaz# pe baza tabelei de excita"ie a bistabilului RS (Tabelul 4.9).
Diagramele Karnaugh pentru semnalele R !i S sunt prezentate n Figura 4.13.
Figura 4.13. Diagramele Karnaugh pentru determinarea semnalelor R, S de la intrarea
bistabilului RS din care se ob"ine bistabilul JK.
Ecua"iile pentru R !i S sunt urm#toarele:
n
n
Q J S
Q K R


=
=
(4.10)
De aici rezult# prima form# a circuitului (Figura 4.14).
Figura 4.14. Prima form# a schemei bistabilului JK sincron.
%innd cont de proprietatea de asociativitate a func"iei $I logic, se poate scrie:
CLK KQ CLK KQ
CLK Q J CLK Q J
=
=
) (
) (
(4.11)
Rezult# schema logic# final# a bistabilului JK din Figura 4.15 a, simbolul bistabilului fiind
indicat n Figura 4.15 b.
Figura 4.15. Bistabilul JK sincron: (a) schema logic#; (b) simbolul.
Tabelul excita"iilor este prezentat n Tabelul 4.10.
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 12
Tabelul 4.10. Tabelul excita"iilor pentru bistabilul JK.
Qn Qn+" J K
0 0 0 X
0 1 1 X
1 0 X 1
1 1 X 0
Dac# ambele intr#ri sunt 1 logic, JK = 11, presupunnd c# bistabilul se afl# n starea Q = 1, va
trece n starea Q = 0. Dac# semnalul de tact persist#, S devine 0, iar R devine 1, ceea ce va determi-
na, din nou, comutarea bistabilului, n starea Q = 1. Deci, pe durata semnalului de tact (CLK = 1), !i cu
intr#rile JK = 11, circuitul oscileaz#. Pentru a exista o singur# comutare, durata impulsului de tact tre-
buie s# fie mai mic# dect timpul de propagare a semnalului prin dou# por"i, !i mai mare dect timpul
de propagare a semnalului printr-o poart#. Aceast# deficien"# este eliminat# la bistabilul JK Master-
Slave.
Utilizarea bistabilului JK sincron la proiectarea circuitelor secven"iale este avantajoas# dato-
rit# numeroaselor situa"ii redundante pentru circuitul combina"ional care determin# condi"ionarea in-
tr#rilor (dup# cum rezult# din tabelul excita"iilor), ceea ce conduce la solu"ii mai economice.
2.2.4. Bistabilul D sincron
Este un bistabil cu o singur# intrare de date, notat# cu D, !i o intrare de tact. Starea urm#toare
a bistabilului este aceea!i cu cea a intr#rii D, indiferent de starea prezent#. Deci, valoarea logic# apli-
cat# la intrare se transfer# la ie!ire doar la aplicarea semnalului de tact, adic# cu o ntrziere de o pe-
rioad# de tact. De aceea, bistabilul D se mai nume!te circuit elementar de ntrziere (D Delay).
Tabelul caracteristic este prezentat n Tabelul 4.11.
Tabelul 4.11. Tabelul caracteristic al bistabilului D.
D Qn Qn+"
0 0 0
0 1 0
1 0 1
1 1 1
Rezult# diagrama Karnaugh din Figura 4.16.
Figura 4.16. Diagrama Karnaugh a bistabilului D.
Ecua"ia de stare este:
Q
n+1
= D (4.12)
Tabelul 4.12 este tabelul de excita"ie al bistabilului D.
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 13
Tabelul 4.12. Tabelul excita"iilor pentru bistabilul D.
Qn Qn+" D
0 0 0
0 1 1
1 0 0
1 1 1
Pe durata impulsului de tact, ie!irea bistabilului este egal# cu intrarea (deci, ie!irea urm#re!te
intrarea). La trecerea semnalului de tact n 0 logic, se men"ine starea existent# n acel moment. De
aceea, acesta este un circuit latch.
Exist# !i bistabile D care comut# pe frontul pozitiv sau negativ al semnalului de tact.
2.2.5. Bistabilul T sincron
Este un bistabil cu o singur# intrare de date, care comut# n starea complementar# la aplicarea
unui impuls de tact, dac# intrarea T (Trigger a declan!a) se afl# la nivelul 1 logic. Tabelul caracteris-
tic este prezentat n Tabelul 4.13.
Tabelul 4.13. Tabelul caracteristic al bistabilului T.
T Qn+"
0 Qn
1
Q n
Ecua"ia caracteristic# este:
T Q T Q T Q Q
n n n n
= + =
+

1
(4.13)
Tabelul excita"ilor este prezentat n Tabelul 4.14.
Tabelul 4.14. Tabelul excita"iilor pentru bistabilul T.
Qn Qn+" T
0 0 0
0 1 1
1 0 1
1 1 0
2.2.6. Bistabile de tip Master-Slave
Circuitele bistabile sincrone care comut# pe durata impulsului de tact (pe nivel) au dezavan-
tajul c# ele func"ioneaz# asincron pe durata impulsului de tact, deci comutarea este condi"ionat# nu
numai de acest impuls, ci !i de modificarea intr#rilor de date. Pentru a se realiza o comutare sincroni-
zat# de c#tre semnalul de tact, trebuie ca intr#rile de date s# se modifice n afara palierului activ al
tactului.
Pentru un bistabil sincron, timpul de propagare trebuie s# fie mai mare dect durata impulsului
de tact, dup# cum s-a ar#tat la bistabilul JK sincron. Este necesar s# se realizeze o func"ionare corect#,
independent de durata impulsului de tact !i de timpul de propagare, elemente care sunt greu de con-
trolat. Reducerea duratei impulsului de tact n func"ie de timpul de propagare nu este o solu"ie accep-
tabil#. De aceea, trebuie s# se modifice schema bistabilului astfel nct nscrierea informa"iei s# se
realizeze la apari"ia impulsului de tact, dar ea s# nu apar# la ie!ire dect dup# dispari"ia impulsului,
deci dup# frontul posterior al acestuia.
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 14
Pentru a determina o comutare pe frontul posterior, se poate utiliza o structur# de tip Master-
Slave (M/S). Un bistabil M/S este format din dou# bistabile, dintre care primul, numit Master, memo-
reaz# informa"ia de la intrare la frontul anterior al impulsului de tact, iar bistabilul al doilea, numit
Slave, memoreaz# starea prezent# pe durata impulsului de tact, iar la frontul posterior trece n starea n
care se afl# bistabilul Master care l comand#.
Toate bistabilele se pot realiza n structura M/S. De exemplu, schema de principiu al unui
bistabil RS de tip M/S este prezentat# n Figura 4.17.
Figura 4.17. Schema de principiu a unui bistabil RS Master-Slave.
La apari"ia unui impuls de tact (CLK = 1), bistabilul M comut# conform cu intr#rile R, S, ori-
cnd pe durata impulsului de tact. n acest timp, CLK
S
= 0, deci starea bistabilului S nu se poate modi-
fica, bistabilul S fiind izolat de bistabilul M. La frontul posterior al impulsului de tact, bistabilul M se
blocheaz#, !i se deschide accesul la bistabilul S (CLK
S
= 1), acesta comutnd conform st#rii bistabilu-
lui M din acel moment.
Pe toat# perioada n care CLK = 0, starea bistabilului S nu se poate modifica, deoarece ie!irile
Q
M
,
M
Q ale bistabilului M sunt stabile (CLK
M
= 0). Comutarea ie!irilor nu se produce dect imediat
dup# frontul negativ al impulsului de tact, deci ntr-un moment determinat exclusiv de acest impuls.
Deci, ie!irea este izolat# fa"# de tranzi"iile intr#rilor R !i S.
Circuitele bistabile de tip M/S au avantajul c# func"ioneaz# corect pentru orice form# a impul-
sului de tact, deci durata fronturilor acestui semnal poate fi orict de mare.
2.2.7. Circuite basculante bistabile integrate
n Figura 4.18 se prezint# unele circuite bistabile integrate.
Figura 4.18. Exemple de circuite basculante bistabile integrate: (a) 7472; (b) 7473; (c) 7476; (d) 7474.
7472: Un bistabil JK Master/Slave, care comut# pe frontul posterior (Figura 4.18 a). Intr#rile J
!i K au ecua"iile: J = J1 J2 J3, K = K1 K2 K3. Intr#rile S (Set) !i R (Reset) sunt intr#ri
asincrone pentru aducerea n starea 1, respectiv n starea 0.
7473: Dou# bistabile JK Master/Slave, cu comutare pe frontul posterior (Figura 4.18 b).
7476: Dou# bistabile JK Master/Slave, cu comutare pe frontul posterior, cu intr#ri separate
pentru setare !i resetare ( 1 , 1 R S , respectiv 2 , 2 R S ) (Figura 4.18 c).
7474: Dou# bistabile D ac"ionate pe frontul anterior (Figura 4.18 d).
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 15
2.3. Sinteza circuitelor secven$iale
Sinteza unui circuit secven"ial const# n construirea schemei logice care s# realizeze comporta-
rea cerut# a circuitului. Etapele principale care trebuie parcurse pentru aceast# sintez# sunt urm#toare-
le:
1. Pe baza descrierii circuitului se construie!te o diagram# de stare sau un tabel al st#rilor. n
aceast# etap#, st#rile sunt notate de obicei prin litere sau cifre zecimale.
2. Se elimin# eventualele st#ri redundante din diagrama de stare sau tabelul st#rilor. Aceast#
etap# const# n determinarea unor st#ri echivalente !i nlocuirea lor cu o singur# stare. Do-
u# st#ri sunt echivalente dac# au acelea!i simboluri de intrare, le corespund acelea!i sim-
boluri de ie!ire, !i ambele au pentru fiecare simbol de intrare acelea!i st#ri urm#toare.
3. Se determin# num#rul de bistabile necesare, n func"ie de num#rul st#rilor. Dac# s este
num#rul st#rilor, num#rul de bistabile necesare va fi:

s n
2
log = . Se codific# apoi st#rile,
prin asignarea unui num#r binar unic fiec#rei st#ri.
4. Se alege un tip de bistabil pentru implementare, !i pe baza tabelului de excita"ie al bista-
bilului ales, se ntocme!te un tabel pentru determinarea func"iilor de intrare ale bistabilelor
!i a ie!irilor circuitului secven"ial. Bistabilele vor implementa func"ia st#rii urm#toare,
atunci cnd pe intr#rile acestora se aplic# func"iile de intrare determinate.
5. Se determin# ecua"iile intr#rilor bistabilelor !i ecua"iile ie!irilor circuitului. Se realizeaz#
minimizarea ecua"iilor utiliznd diagramele Karnaugh, n func"ie de intr#rile circuitului !i
de starea prezent#.
6. Pe baza ecua"iilor determinate, se ntocme!te schema logic# a circuitului secven"ial.
Exemplu
Se va exemplifica procesul de sintez# a circuitelor secven"iale prin proiectarea unui circuit
pentru complementarea fa"# de 2 a unui !ir de bi"i. Circuitul are o intrare serial# la care recep"ioneaz#
un !ir de bi"i, primul fiind bitul c.m.p.s., !i o ie!ire serial#, ie!irea fiind complementul fa"# de 2 al !i-
rului de bi"i de la intrare. Implementarea se va realiza cu bistabile JK.
n prima etap# se ntocme!te diagrama de stare a circuitului. Complementul fa"# de 2 se poate
genera prin copierea bi"ilor de la intrare, ncepnd cu bitul c.m.p.s. pn# la primul bit de 1 inclusiv, !i
complementarea urm#torilor bi"i. De exemplu:
intrare x 1 0 1 1 0 | 1 0 0
ie!ire z 0 1 0 0 1 | 1 0 0
Pentru acest circuit secven"ial, sunt necesare dou# st#ri. n prima stare, notat# cu A, bi"ii de la
intrare sunt copia"i nemodifica"i, pn# la ntlnirea primului bit de 1. n acest moment se trece n starea
a doua, notat# cu B, n care bi"ii de la intrare sunt complementa"i. Diagrama de stare este prezentat# n
Figura 4.19.
Figura 4.19. Diagrama de stare pentru circuitul de complementare fa"# de 2.
Eliminarea st#rilor redundante nefiind necesar#, se trece la etapa urm#toare, n care se deter-
min# num#rul de bistabile !i se codific# st#rile. Deoarece exist# numai dou# st#ri, este necesar un sin-
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 16
gur bistabil. Ie!irea acestui bistabil reprezint# cele dou# st#ri. Aceste st#ri se pot codifica printr-un sin-
gur bit. Se asigneaz# valoarea 0 pentru starea A !i valoarea 1 pentru starea B.
n etapa urm#toare se ntocme!te tabelul pentru determinarea func"iilor de intrare ale bistabi-
lelor !i a ie!irilor circuitului, considernd toate combina"iile posibile ale intr#rilor !i ale st#rilor. Pe
baza tabelului de excita"ie a bistabilului JK, se poate ntocmi Tabelul 4.15. Prin SP s-a notat starea
prezent#, iar prin SU starea urm#toare.
Tabelul 4.15. Tabelul pentru determinarea func"iilor de intrare ale bistabilului !i a ie!irii circuitului.
Intrare
X
SP
Q
SU
Q
Intr. bistab.
J K
Ie%ire
Z
0 0 0 0 X 0
0 1 1 X 0 1
1 0 1 1 X 1
1 1 1 X 0 0
n etapa urm#toare se determin# ecua"iile pentru intr#rile bistabilului !i pentru ie!irea circui-
tului. Diagramele Karnaugh sunt prezentate n Figura 4.20.
Figura 4.20. Diagramele Karnaugh pentru circuitul de complementare fa"# de 2.
Rezult# ecua"iile urm#toare:
Q X Q X Q X Z
K
X J
= + =
=
=
0 (4.14)
n etapa final#, se deseneaz# schema logic# a circuitului (Figura 4.21).
Figura 4.21. Schema logic# a circuitului de complementare fa"# de 2.
2.4. Registre
Registrele sunt circuite secven"iale destinate memor#rii temporare sau deplas#rii informa"iei
binare, de obicei cu lungimea unui cuvnt. Un registru este format din elemente de memorie binar#, n
particular din bistabile, c#rora li se ata!eaz# circuite logice care permit efectuarea unor opera"ii asupra
informa"iei: nc#rcarea, deplasarea, citirea, nscrierea. Fiecare bistabil memoreaz# un bit al cuvntului,
deci capacitatea registrului este egal# cu num#rul de bistabile din care este format. Tipul bistabilelor
din registru determin#, prin modul de comutare a acestora, felul n care informa"ia este nscris# n re-
gistru.
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 17
Exist# diferite tipuri de registre: registre de memorare (de tip paralel), registre de deplasare (de
tip serie), registre combinate (de memorare !i deplasare, de tip serie-paralel), !i registre universale.
Acestea sunt prezentate n continuare.
2.4.". Registre de memorare
Se utilizeaz# pentru p#strarea informa"iei care trebuie transferat# c#tre o anumit# destina"ie.
Func"ioneaz# ca un tampon de ie!ire. Un exemplu de asemenea registru de 4 bi"i, format din 4 bista-
bile de tip D (latch), comandate de acela!i semnal de tact, se prezint# n Figura 4.22.
Figura 4.22. Registru de memorare de 4 bi"i realizat cu bistabile D.
La tranzi"ia din 0 n 1 a semnalului de tact, informa"ia de pe intr#rile D
0
, D
1
, D
2
, D
3
este citit#
n registru, iar dup# un timp de propagare ea apare la ie!irile Q ale bistabilelor. Pe durata palierului
impulsului de tact, ie!irile urm#resc modificarea semnalelor de pe intr#ri. La tranzi"ia din 1 n 0 a
semnalului de tact, ultima informa"ie prezent# la intr#rile bistabilelor este re"inut# n registru.
Semnalul de tact are rolul de a valida informa"ia prezent# la intr#rile bistabilelor. Datele sunt
nc#rcate n registru n paralel, la acela!i impuls de tact.
Dac# registrul este realizat cu bistabile D care comut# pe frontul anterior al impulsului de tact,
se memoreaz# informa"ia existent# n momentul tranzi"iei din 0 n 1 a semnalului de tact. Dac# se uti-
lizeaz# bistabile de tip M/S, con"inutul acestora poate fi citit simultan cu nscrierea unei noi informa"ii,
m#rind astfel viteza de lucru.
Exemple de registre integrate:
7475: Registru de 4 bi"i, format din 4 bistabile D de tip latch;
74118: Registru format din 6 bistabile RS;
74279: Registru format din 4 bistabile RS.
2.4.2. Registre de deplasare
Aceste registre !i deplaseaz# con"inutul cu o pozi"ie la dreapta sau la stnga, la fiecare impuls
de tact. Se pot realiza cu bistabile de tip M/S sau cu bistabile care comut# pe frontul anterior al impul-
sului de tact.
Un exemplu de registru de deplasare la dreapta realizat cu bistabile de tip JK M/S, conectate
pentru a func"iona ca bistabile de tip D, se prezint# n Figura 4.23.
Figura 4.23. Registru de deplasare la dreapta realizat cu bistabile JK Master-Slave.
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 18
La fiecare impuls de tact, pe frontul posterior al acestuia, con"inutul bistabilului Q
i
(slave) se
transfer# n bistabilul Q
i+1
(master). n bistabilul Q
0
se introduce informa"ia din exterior, iar informa"ia
din bistabilul Q
3
se pierde. Se pot scrie ecua"iile:
) ( ) 1 (
) ( ) 1 (
) ( ) 1 (
) ( ) 1 (
2 3
1 2
0 1
0
t Q t Q
t Q t Q
t Q t Q
t D t Q
IN
= +
= +
= +
= +
(4.15)
Similar se poate realiza un registru de deplasare la stnga, dac# se conecteaz# ie!irea bistabi-
lului Q
i+1
la intrarea bistabilului Q
i
. Dac# se adaug# circuite suplimentare, se pot realiza registre de
deplasare la dreapta sau la stnga, n func"ie de un semnal de comand#.
2.4.3. Registre serie-paralel (combinate)
Registrele anterioare permiteau fie numai accesul paralel (registrul de memorare), fie numai
accesul serie (registrul de deplasare) la date, att la intrare, ct !i la ie!ire. De multe ori este util s#
existe att accesul serie, ct !i accesul paralel la date. Un asemenea registru poate realiza att func"ia
de memorare, ct !i cea de deplasare, fiind numit registru combinat.
Deoarece informa"ia poate fi introdus# n modul paralel !i extras# n modul serie, sau introdu-
s# n modul serie !i extras# n modul paralel, aceste registre permit conversia paralel-serie, respectiv
serie-paralel a datelor.
Un exemplu de registru serie-paralel de 4 bi"i este circuitul 7495, care permite nc#rcarea pa-
ralel# a datelor !i deplasarea la dreapta, cu posibilitatea realiz#rii deplas#rii la stnga prin conexiuni
externe. Registrul este format din 4 bistabile RS de tip M/S comandate pe frontul posterior. Dispune
de intr#rile paralele A, B, C, D, ie!irile paralele Q
A
, Q
B
, Q
C
, Q
D
, !i intrarea serie SI (Serial Input). Sem-
nalul MC (Mode Control) realizeaz# controlul modului de lucru.
Bistabilele RS, conectate ca bistabile D, primesc datele la intrare din dou# surse: de la intrarea
serial# sau bistabilul anterior (pentru deplasarea serie), respectiv intr#rile paralele (pentru nc#rcarea
paralel#). Cele dou# surse trebuie multiplexate la intrarea fiec#rui bistabil, motiv pentru care fiec#rui
bistabil i s-a ata!at o structur# combina"ional# format# din dou# por"i $I, !i o poart# SAU-NU, structu-
r# echivalent# cu un multiplexor 2:1.
Dac# MC = 0, se conecteaz# intrarea serial# sau ie!irea fiec#rui bistabil la intrarea urm#torului,
ceea ce permite efectuarea deplas#rii la dreapta, prin aplicarea impulsului de tact la intrarea C
1
. Infor-
ma"ia se introduce la intrarea SI !i se ob"ine la ie!irea Q
D
. Dac# MC = 1, se nscriu datele n registru de
la intr#rile paralele A, B, C, D, prin aplicarea impulsului de tact la intrarea C
2
.
Deplasarea la stnga se poate ob"ine prin urm#toarele conexiuni exterioare: C = Q
D
, B = Q
C
,
A = Q
B
. Intrarea serial# se aplic# la D, iar ie!irea serial# se ob"ine la Q
A
. Modul de lucru este conform
cu nc#rcarea paralel#: MC = 1, cu impulsul de tact aplicat la intrarea C
2
. Aceste conexiuni sunt indi-
cate n Figura 4.24.
Figura 4.24. Conexiunile necesare pentru utilizarea circuitului 7495 ca registru de deplasare la stnga.
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 19
2.4.4. Registre universale
Permit opera"ii de deplasare la stnga !i la dreapta, nc#rcare paralel#, citire serial# sau para-
lel# a datelor. De men"ionat c# acelea!i opera"ii se pot realiza !i cu registrul 7495 prezentat anterior,
dar cu conexiuni externe pentru deplasarea la stnga.
Figura 4.25. Registrul universal 74194.
Un exemplu de registru universal este circuitul 74194 (Figura 4.25). Este un registru bidirec"i-
onal de 4 bi"i, prev#zut cu o intrare de !tergere asincron# CL . Comutarea bistabilelor are loc la frontul
anterior al impulsului de tact. Circuitul dispune de intr#rile paralele A, B, C, D !i ie!irile paralele Q
A
,
Q
B
, Q
C
, Q
D
. Exist# de asemenea dou# intr#ri serie pentru deplasarea la dreapta, RI (Right Input), res-
pectiv la stnga, LI (Left Input). Modul de lucru este determinat de semnalele S
1
, S
0
, astfel:
S
1
S
0
= 00: stare nemodificat#
S
1
S
0
= 01: deplasare la dreapta
S
1
S
0
= 10: deplasare la stnga
S
1
S
0
= 11: nc#rcare paralel#
Circuitul 74198 func"ioneaz# n mod asem#n#tor, fiind un registru universal de 8 bi"i.
2.5. Num#r#toare
Num#r#toarele sunt circuite secven"iale utilizate pentru contorizarea impulsurilor aplicate la
intrarea acestora. Nu au intr#ri de date, deci tranzi"iile se efectueaz# dup# o anumit# regul#, numai pe
baza st#rii prezente. Pentru fiecare num#r din gama de num#rare, exist# cte o stare distinct# a num#-
r#torului. Capacitatea de num#rare este dat# de num#rul st#rilor distincte pe care le are num#r#torul.
Num#r#toarele se pot realiza cu ajutorul bistabilelor !i a por"ilor logice, cele din urm# avnd
rolul de a stabili modul corect n care num#r#torul !i schimb# st#rile n procesul de num#rare. Num#-
rul st#rilor distincte ale unui num#r#tor format din n bistabile este 2
n
, deci num#r#torul este modulo 2
n
.
Fiec#rei st#ri i se poate asocia cte un cuvnt de cod binar de lungime n, reprezentnd ie!irile celor n
bistabile pentru starea dat# a num#r#torului.
Codul de num#rare este dat de succesiunea cuvintelor de cod asociate st#rilor num#r#torului.
Clasificarea num#r#toarelor se poate face dup# mai multe criterii.
1) Dup# codul de num"rare exist# num#r#toare binare !i num#r#toare binar-zecimale, de exem-
plu n cod BCD, n cod Gray etc.
2) Dup# modul de comutare a bistabilelor exist# num#r#toare asincrone !i sincrone.
3) Dup# sensul de num"rare exist# num#r#toare directe, inverse !i reversibile.
Exist# num#r#toare care dispun de anumite facilit#"i suplimentare, ca de exemplu posibilitatea
nc#rc#rii cu o anumit# valoare, programarea sensului de num#rare, ini"ializarea sincron# sau asincro-
n#.
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 20
2.5.". Num#r#toare asincrone
n cazul num#r#toarelor asincrone, bistabilele nu comut# simultan sub ac"iunea unui semnal de
tact comun, ci ie!irea unui bistabil va determina comutarea unui alt bistabil.
Consider#m realizarea unui num#r#tor binar de 4 bi"i. Pentru aceasta ntocmim un tabel cu
succesiunea numerelor binare cresc#toare de 4 bi"i, care constituie ie!irile celor 4 bistabile. Fiecare
cuvnt de ie!ire corespunde unei st#ri a num#r#torului (Tabelul 4.16).
Tabelul 4.16. Tabelul de succesiune a st#rilor pentru num#r#torul binar de 4 bi"i.
Stare Q3 Q2 Q" Q0
0 0 0 0 0
1 0 0 0 1
2 0 0 1 0
3 0 0 1 1
4 0 1 0 0
5 0 1 0 1
6 0 1 1 0
7 0 1 1 1
8 1 0 0 0
9 1 0 0 1
10 1 0 1 0
11 1 0 1 1
12 1 1 0 0
13 1 1 0 1
14 1 1 1 0
15 1 1 1 1
0 0 0 0 0
Deoarece starea num#r#torului se schimb# la fiecare impuls de tact, se observ# c# ie!irea
bistabilului corespunz#tor bitului de ordin inferior Q
0
se modific# la fiecare impuls de tact. Bistabilul
asociat bitului Q
1
comut# atunci cnd are loc o tranzi"ie de la 1 la 0 a ie!irii Q
0
. Bistabilul asociat bi-
tului Q
2
comut# atunci cnd Q
1
trece din 1 n 0, iar cel asociat bitului Q
3
comut# atunci cnd Q
2
trece
din 1 n 0.
Folosind proprietatea bistabilului JK cu intr#rile J = K = 1 de a trece n starea complementar#
la fiecare impuls de tact, pentru realizarea num#r#torului se aplic# impulsurile de tact bistabilului aso-
ciat bitului de rang inferior (Q
0
). La fiecare comutare din 1 n 0 a acestui bistabil se ob"ine un front
negativ care se utilizeaz# pentru comanda bistabilului asociat bitului urm#tor, Q
1
. Se ob"ine circuitul
din Figura 4.26.
Figura 4.26. Schema logic# a num#r#torului binar asincron de 4 bi"i, cu num#rare n sens direct.
Dac#, de exemplu, num#r#torul se afl# n starea 3 (Q
3
Q
2
Q
1
Q
0
= 0011), la apari"ia impulsului
de tact bistabilul Q
0
comut# din 1 n 0, ceea ce determin# comutarea bistabilului Q
1
din 1 n 0, iar ie!i-
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 21
rea acestuia determin# comutarea bistabilului Q
2
din 1 n 0. Deoarece bistabilele comut# pe frontul
negativ, Q
3
r#mne n aceea!i stare. Ie!irile vor fi deci Q
3
Q
2
Q
1
Q
0
= 0100.
Caracterul asincron este dat de faptul c# starea final# nu se stabile!te sincron, ci prin comuta-
rea succesiv# a mai multor bistabile. Neglijnd ntrzierile introduse de comutarea bistabilelor, se ob-
"ine diagrama de timp din Figura 4.27. Din aceast# diagram# se observ# c# num#r#torul func"ioneaz#
ca un divizor de frecven"#. De exemplu, dac# tactul are frecven"a f, Q
0
are frecven"a f /2, iar Q
1
are
frecven"a f /4.
Figura 4.27. Diagrama de timp a num#r#torului binar de 4 bi"i.
Schema num#r#torului anterior se poate modifica pentru a ob"ine un num#r#tor invers, dac# se
utilizeaz# ie!irile Q ale fiec#rui bistabil (Figura 4.28).
Figura 4.28. Schema logic# a num#r#torului binar asincron de 4 bi"i, cu num#rare n sens invers.
Dezavantajul num#r#toarelor asincrone este dat de timpul de comutare ridicat (n cazul cel mai
defavorabil, suma timpilor de comutare ale tuturor bistabilelor). De aceea, ele nu se pot utiliza la frec-
ven"e nalte. Avantajul const# n simplitatea schemei logice, bistabilele interconectndu-se f#r# circu-
ite suplimentare.
Figura 4.29. Schema logic# a num#r#torului binar asincron de 4 bi"i 7493.
Un exemplu de num#r#tor binar asincron de 4 bi"i este circuitul 7493 (Figura 4.29). Este for-
mat dintr-un num#r#tor de 1 bit (Q
A
, cu intrarea de tact A), deci divizor prin 2, !i un num#r#tor de 3
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 22
bi"i (Q
D
, Q
C
, Q
B
, cu intrarea de tact B), divizor prin 8. Exist# dou# intr#ri de !tergere, R
01
!i R
02
, care
aduc num#r#torul la 0 atunci cnd R
01
= R
02
= 1.
Pentru a ob"ine un num#r#tor divizor cu 16, trebuie s# se conecteze ie!irea Q
A
la intrarea B
(Figura 4.30).
Figura 4.30. Realizarea unui num#r#tor divizor cu 16 utiliznd circuitul 7493.
Pentru a se realiza diviz#ri prin valori N care nu sunt puteri ale lui 2, se poate for"a num#r#to-
rul la 0 (prin intr#rile R
01
, R
02
) atunci cnd se ajunge n starea N. De exemplu, pentru a se ob"ine un
divizor cu 13 (1101), se efectueaz# conexiunile R
01
= Q
D
Q
C
, R
02
= Q
A
(Figura 4.31).
Figura 4.31. Realizarea unui num#r#tor divizor cu 13 utiliznd circuitul 7493.
Extinderea domeniului de num#rare se poate realiza prin conectarea mai multor num#r#toare
n cascad#.
Un exemplu de num#r#tor zecimal asincron de 4 bi"i este circuitul 7490, care con"ine un divi-
zor prin 2 (Q
A
, cu intrarea de tact A), !i un divizor prin 5 (Q
D
, Q
C
, Q
B
, cu intrarea de tact B). Sunt pre-
v#zute intr#rile de !tergere R
01
!i R
02
, !i intr#rile R
91
!i R
92
pentru aducerea num#r#torului n starea 9
(ini"ializare pentru num#rare invers#). Intr#rile R
91
!i R
92
sunt prioritare fa"# de R
01
!i R
02
. Pentru adu-
cerea la 0 trebuie ca una din intr#rile R
91
, R
92
s# fie pe nivelul 0 logic.
Pentru num#rarea n codul BCD, trebuie s# se conecteze ie!irea Q
A
la intrarea B (Figura 4.32).
Figura 4.32. Realizarea unui num#r#tor n cod BCD utiliznd circuitul 7490.
n mod similar se poate realiza divizarea cu o valoare mai mic# dect 10.
2.5.2. Num#r#toare sincrone
n cazul num#r#toarelor sincrone, impulsurile de tact sunt aplicate simultan la toate bistabilele,
care vor comuta n acela!i timp, deci nu succesiv ca n cazul num#r#toarelor asincrone. Se elimin# ast-
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 23
fel ntrzierile cumulative datorit# bistabilelor, frecven"a de lucru nefiind limitat# dect de ntrzierea
datorat# unui singur bistabil !i de ntrzierea introdus# de por"ile logice ad#ugate.
Consider#m un num#r#tor binar de 4 bi"i (modulo 16). Pentru realizarea acestuia n varianta
sincron# cu bistabile JK M/S conectate ca bistabile T, consult#m tabelul de succesiune a st#rilor (Ta-
belul 4.16). Se poate observa c# un anumit bistabil din num#r#tor, cu excep"ia bistabilului Q
0
, care
comut# la fiecare impuls de tact, comut# numai atunci cnd toate bistabilele de ordin inferior au ie!irea
1 logic n starea anterioar#. De exemplu, Q
3
comut# atunci cnd Q
2
, Q
1
, Q
0
sunt la 1 logic n starea
anterioar#.
Din aceast# observa"ie, rezult# ecua"iile intr#rilor bistabilelor JK:
0 1 2 3 3
0 1 2 2
0 1 1
0 0

1
Q Q Q K J
Q Q K J
Q K J
K J
= =
= =
= =
= =
(4.16)
care se mai pot scrie sub forma:
2 2 3 3
1 1 2 2
0 1 1
0 0

1
J Q K J
J Q K J
Q K J
K J
= =
= =
= =
= =
(4.17)
Figura 4.33. Schema logic# a num#r#torului binar sincron de 4 bi"i, cu num#rare n sens direct.
Rezult# schema din Figura 4.33. CL este un semnal de !tergere asincron#. Presupunnd starea
Q
3
Q
2
Q
1
Q
0
= 1011, la primul impuls de tact bistabilul Q
0
comut#, devenind Q
0
= 0, bistabilul Q
1
co-
mut# de asemenea (deoarece n starea anterioar# Q
0
a fost 1), deci Q
1
devine 0. Deoarece n starea an-
terioar# Q
1
Q
0
a fost 11, bistabilul Q
2
comut#, devenind Q
2
= 1, iar Q
3
nu !i modific# starea deoarece
anterior Q
2
a fost 0. Rezult# starea Q
3
Q
2
Q
1
Q
0
= 1100.
n cazul num#r#toarelor sincrone, condi"ia de comutare a bistabilelor nu este att de riguroas#
ca la cele asincrone (comutarea nu trebuie s# se realizeze neap#rat pe frontul posterior).
Pentru proiectarea unui num#r#tor cu lungimea ciclului de num#rare mai mic# dect 2
n
(unde
n este num#rul de bistabile), sau pentru num#rarea ntr-un alt cod, se pot utiliza diagramele Karnaugh
!i tabelele de excita"ie ale bistabilelor pentru determinarea ecua"iilor intr#rilor bistabilelor. Modul de
func"ionare al num#r#torului este complet specificat prin secven"a de num#rare, care reprezint# succe-
siunea de st#ri ale acestuia. Din secven"a de num#rare se pot ntocmi tabelele de excita"ie ale
bistabilelor, de unde rezult# func"iile de excita"ie (ecua"iile intr#rilor).
Consider#m ca exemplu proiectarea unui num#r#tor n codul BCD cu bistabile JK. Pentru
acest num#r#tor, secven"a de num#rare este:
0 1 2 3 4 5 6 7 8 9 0
Succesiunea st#rilor este prezentat# n Tabelul 4.17.
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 24
Tabelul 4.17. Tabelul de succesiune a st#rilor pentru num#r#torul n cod BCD.
Stare Q3 Q2 Q" Q0
0 0 0 0 0
1 0 0 0 1
2 0 0 1 0
3 0 0 1 1
4 0 1 0 0
5 0 1 0 1
6 0 1 1 0
7 0 1 1 1
8 1 0 0 0
9 1 0 0 1
0 0 0 0 0
Deoarece starea urm#toare este ntotdeauna rndul urm#tor din secven"a de num#rare, tabelul
excita"iilor se ntocme!te f#r# coloanele corespunz#toare st#rii urm#toare, intr#rile bistabilelor pentru
un anumit rnd scriindu-se prin compararea st#rii unui anumit bistabil de pe rndul respectiv cu starea
aceluia!i bistabil de pe rndul urm#tor (Tabelul 4.18).
Tabelul 4.18. Func"iile de intrare ale bistabilelor pentru num#r#torul n cod BCD.
Secv. de num. Intr#rile bistabilelor
Q3 Q2 Q" Q0 J3 K3 J2 K2 J" K" J0 K0
0 0 0 0 0 X 0 X 0 X 1 X
0 0 0 1 0 X 0 X 1 X X 1
0 0 1 0 0 X 0 X X 0 1 X
0 0 1 1 0 X 1 X X 1 X 1
0 1 0 0 0 X X 0 0 X 1 X
0 1 0 1 0 X X 0 1 X X 1
0 1 1 0 0 X X 0 X 0 1 X
0 1 1 1 1 X X 1 X 1 X 1
1 0 0 0 X 0 0 X 0 X 1 X
1 0 0 1 X 1 0 X 0 X X 1
Figura 4.34. Diagramele Karnaugh pentru proiectarea unui num#r#tor sincron n cod BCD.
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 25
Se ntocmesc diagramele Karnaugh pentru bistabile, reprezentnd intr#rile J !i K pe aceea!i
diagram# (Figura 4.34). Deoarece st#rile 10-15 nu sunt utilizate, ele se consider# redundante.
Rezult# urm#toarele ecua"ii de intrare ale bistabilelor:
1 1



0 0
0 3 1 0 3 1
0 1 2 0 1 2
0 3 0 1 2 3
= =
= =
= =
= =
K J
Q Q K Q Q J
Q Q K Q Q J
Q K Q Q Q J
(4.18)
Un exemplu de num#r#tor sincron este circuitul 74193. Acesta este un num#r#tor binar rever-
sibil de 4 bi"i, cu posibilitatea de nc#rcare paralel# (Figura 4.35).
Figura 4.35. Num#r#torul binar reversibil de 4 bi"i 74193.
Exist# dou# intr#ri de tact, pentru cele dou# sensuri de num#rare: CU (Count Up), pentru nu-
m#rarea direct#, !i CD (Count Down), pentru num#rarea invers#. Num#rarea are loc pe frontul anterior
al semnalului de tact. Intrarea de tact neutilizat# se conecteaz# la nivelul 1 logic. Intrarea LD (Load)
se folose!te pentru nc#rcare paralel#, iar CL (Clear) se folose!te pentru !tergere. Dac# LD = 0, se
valideaz# opera"ia de nc#rcare paralel#, independent de semnalul de tact !i de starea num#r#torului.
Pentru num#rare LD trebuie s# fie 1 logic. Pentru !tergere se aplic# 1 logic pe intrarea CL.
Pentru conectarea mai multor num#r#toare sunt prev#zute ie!irile CR (Carry) !i BR
(Borrow). CR se activeaz# atunci cnd se ajunge la num#rul maxim !i CU = 0 (la num#rare direct#),
iar BR se activeaz# atunci cnd se ajunge la 0 !i CD = 0 (la num#rare invers#).
O secven"# de num#rare mai scurt# se poate ob"ine conectnd la intrarea LD ie!irea de
transport CR sau cea de mprumut BR , dup# cum num#rarea se realizeaz# n sens direct sau n sens
invers. La intr#rile D, C, B, A se aplic# valorile corespunz#toare st#rii n care trebuie s# se realizeze
tranzi"ia atunci cnd se ajunge la num#rul maxim, respectiv la 0.
3. Desf#%urarea lucr#rii
3.1. Se va realiza un bistabil D utiliznd:
a) un bistabil RS;
b) un bistabil JK.
3.2. Se va realiza un bistabil T pornind de la un bistabil D.
3.3. Se va proiecta un circuit secven"ial pentru detectarea unei secven"e de bi"i. Circuitul va
genera la ie!ire valoarea 1 de fiecare dat# cnd detecteaz# secven"a de intrare 1010:
intrare x = 0 1 0 1 0 1 0
ie!ire z = 0 0 0 0 1 0 1
Implementarea se va realiza:
a) cu bistabile D;
b) cu bistabile JK.
Arhitectura calculatoarelor Lucrarea de laborator Nr. 4. 26
3.4. Se va desena schema unui registru de deplasare la dreapta de 4 bi"i cu posibilitatea nc#r-
c#rii paralele, realizat cu bistabile D. Registrul dispune de o intrare serial# de date SI !i de intr#rile de
control SHIFT !i LOAD. Func"ionarea este definit# prin Tabelul 4.19.
Tabelul 4.19. Tabelul de func"ionare al registrului de deplasare cu nc#rcare paralel#.
SHIFT LOAD Opera$ie
0 0 Stare nemodificat!
0 1 nc!rcare paralel!
1 X Deplasare de la Q0 la Q3
3.5. Se va proiecta cu bistabile D un num#r#tor binar care num#r# n sens direct, de la 0 la 15.
3.6. Se va proiecta cu bistabile T un num#r#tor BCD n sens direct.
3.7. Se va proiecta cu bistabile JK un num#r#tor binar cu urm#toarea secven"# de num#rare: 0,
1, 2, 4, 5, 6, 0.
3.8. Se va proiecta cu bistabile D un num#r#tor binar cu urm#toarea secven"# de num#rare: 0,
1, 3, 2, 4, 6.
3.9. Se vor conecta n cascad# dou# circuite 74193, !i se va realiza controlul sensului de nu-
m#rare cu ajutorul unei intr#ri MC, astfel:
MC = 0: sens direct;
MC = 1: sens invers.
Se va indica modul n care se pot ob"ine secven"e mai scurte de num#rare.

S-ar putea să vă placă și