Sunteți pe pagina 1din 110

1.

MICROCONTROLERUL ELEMENT DE BAZ N ECHIPAMENTELE NUMERICE


Utilizarea microprocesoarelor (a logicii programate) n echipamentele de comand, survenit dup anii 70, a adus avantaje majore n raport cu soluiile existente: flexibilitate funcional ridicat, consumuri de energie reduse, volum i greutate minime, fiabilitate mrit i - nu n ultimul rnd - posibilitatea implementrii unor strategii de comand performante. Soluiile de comand n logic programat implic utilizarea de structuri digitale complexe ca circuite specializate (ASIC - Application Special Integrated Circuit), microprocesoare (P) de uz general sau cu funcii speciale, microcontrolere (C), procesoare numerice de semnal (Digital Signal Processor - DSP) dar i echipamente numerice precum automate programabile (PLC - Programmable Logic Controller), regulatoare digitale, sisteme CNC pentru maini - unelte (Computerized Numerical Control), calculatoate industriale etc. Microcontrolere se constituie n prezent ca cel mai bun compromis ntre structuri numerice de comand de uz general i cele specializate; ele ofer o alocare optim a task-urilor la resurse hardware i software precum i faciliti pentru conducerea n timp real. Raportul pre - performan este foarte convingtor, chiar circuite din clasa economic pe 8 bii putnd suporta prelucrri complexe, cu precizie bun i vitez de procesare ridicat. 1.1 Structura sistemelor microprogramabile Microcontrolerul este un circuit integrat de tip microprocesor, fiind orientat structural si funcional spre lucrul cu intrri i ieiri. Dac se nglobeaz intr-un circuit integrat pe lng microprocesor, memorie ROM , memoria RAM i elementele de intrare/ieire necesare unei anumite aplicaii se obine cel mai complex circuit integrat numit microcontroler. Un microcontroler este similar unui microprocesor. Ambele conin o unitate centrala de prelucrare sau UCP (Central Processing Unit). CPU execut instruciuni care ndeplinesc operaiile de baza logice, matematice i de curgere a informaiei. Pentru a construi un calculator complet, microprocesorul necesit memorie pentru pstrarea datelor i a programelor i interfee de intrare-ieire (I/O) pentru conectarea dispozitivelor externe cum ar fi tastatura sau monitorul. Spre diferena de microprocesor, microcontrolerul este un calculator pe un chip deoarece el conine i memorie i interfee de intrare-ieire pe lng CPU. Deoarece memoria i interfeele care ncap pe un chip sunt limitate, microcontrolerele tind s fie utilizate n sisteme mai mici care necesit doar un microcontroler i cteva elemente adiionale, [30]. Pentru a alege un microcontroler din marea varietate de microcontrolere existente pe pia trebuie inut cont de cteva caracteristici importante ale acestora: numrul de bii pe care l poate procesa simultan, numrul mai mare de bii indicnd un chip mai rapid i mai puternic. Circuitele de 8 bii sunt foarte populare, dar exist i circuite de 4, 16, 32 bii. consumul de putere este important mai ales n cazul sistemelor alimentate de la baterie. Circuitele realizate n tehnologia CMOS au un consum redus de putere. Multe din dispozitivele CMOS au un mod special de "ateptare" (stand-bye sau sleep) care limiteaz consumul de curent la civa miliamperi cnd un circuit este inactiv. un alt considerent n proiectarea circuitelor cu microcontrolere este modul de memorare a programului. Dintre modalitile de memorare amintim memoriile EPROM, EEPROM, ROM, NVRAM (nonvolatile RAM) i FLASH EPROM. opiuni de intrare-ieire. Majoritatea sistemelor necesit interfee cu senzori, tastatura, comutatoare, relee, monitoare etc. n general microcontrolerele au porturi pentru interfaarea cu lumea exterioar.

Pe piaa naionala i internaional se gsesc microcontrolere de 4 bii, de 8 bii, de 16 bii, de 32 de bii. Cele mai folosite microcontrolere sunt cele de 8 i 16 bii. n al doilea caz avem microcontrolere cu arhitectura Von Neuman, avnd magistrala intern unic la care comunic toate elementele, sau microcontrolere cu arhitectura Harvard, avnd dou magistrale, una pentru memoria ROM de programe i alta pentru memoria RAM de date. n acest caz cele dou tipuri de memorii utilizeaz acelai spaiu de adrese, ele nu se confund datorita existenei unor instruciuni adecvate lucrului cu memoriile. n tabelul 1, se prezint cteva exemple de microcontrolere de la 4 la 32 de bii, ncepnd de la cel mai simplu, microcontrolerul COPS400 care este foarte rar utilizat, continund cu cteva microcontrolere foarte populare, cum sunt 87C752, 68HC11F1 i 80C166/167 i terminnd cu microcontrolerul de 32 de bii foarte performant TriCore TC1775,[35]. Tabelul 1.Caracteristicile ctorva microcontrolere semnificative
Microcontroler (nume) National Semicond. COPS400 (4 bii) Hitachi HD44780 (4 bii) Philips 87C752 (8 bii) Motorola 68HC11FI (8 bii) CYPRESS CY8Cxxxxx (8biti) Siemens 80C166/167 (16 bii) SiemensTCI775 (32 bii) RAM (B) 32 ROM (kB) 0.256 CLOCK (MHz) 2.5 PPI (nr.) 2x4 1x8 SPI (nr.) 1 PIT (nr.) ADC (nr.) PWM (nr.) -

80 64

1.2 2

2 12

1x16 1x40 1x5 2x8 1x6 6x8 2x8 2x14 2x24 5x20 1x2 1x10 4x16 1x32 11x16

I2C

512

2048

32

24

I2C UART

IrDA LCD

16

1024 72k

32 20

20 40

2 4

2 3

10 2

Microcontrolerele de 4 i 8 bii au fost cele mai rspndite dispozitive inteligente, n principal datorit simplitii fa de microcontrolerele de 16 si 32 de bii. n ciuda acestei simpliti, microcontrolere de 8 bii nc domin o parte important a pieei de microcontrolere. Dei au structura relativ simpl, aceste microcontrolere sunt capabile s controleze multe dispozitive ncepnd cu mainile de splat i aparatele video pn la automatele programabile. Dei prezint limitri de procesare datorit dimensiunii reduse a cuvntului i a vitezei mici, totui costul sczut i prezena elementelor interne pentru periferice (drivere de display, interfee paralele i seriale, convertoare A/D etc) le face foarte atractive. Aceste dispozitive inteligente sunt foarte potrivite pentru un numr de aplicaii de producie n serie n care o soluie de cost sczut necesit un numr minim de cip-uri. n tabelul 2 sunt prezentate cele mai populare microcontrolere de 4 si 8 bii. Dintre cele de 4 bii, microcontrolerul HD44780 este dedicat unei sarcini speciale, i anume driver de afiaj LCD.

Nr crt. 1 2

Tip TMS1000 NEC7500

Productor Texas Instruments Nippon Electrinics Hitachi

Tabelul 2. Exemple de microcontrolere de 4 i 8 bii Biti Caracteristici 4 4 4kB ROM; 128x4 bii RAM; 16 linii de ieire; 8bii ADC; 12 grupuri de instruciuni 6kB ROM; 256x4 bits RAM; 35 linii I/O individuale; 4 canale ADC de 8 bii; port serial I/O de 8 bii; 2 grupuri de instruciuni 1.2kB ROM; 80x8bii display RAM; 64x8 RAM generator de caractere; 40 linii segment;16 linii uzuale; 7 grupuri de instruciuni 8kB ROM;256x8 bits RAM; 6 porturi de 8 bii; 8 canale ADC; USART; 5 grupuri de instruciuni 12kB ROM; 0.5kB EEPROM; 1kB RAM; 6 porturi de 8 bii; 1 port de 6 bii; 8 canale ADC; USART; SPI; 5 grupuri de instruciuni 16kB ROM; 0.5kB EEPROM; 0.5kB RAM; 5 porturi I/O de 8 bii; 4 porturi de 3 bii; 8 canale; ADC; USART; SPI; 14 moduri de adresare 26 porturi I/O; 16 blocuri digitale; 12 blocuri analogice; Memoria 32KB Flash; Viteza CPU 24 MHz; 9 functii SROM; 3 tipuri de instructiuni; Arhitectura 4xMIPS care cuprinde memoria SROM si memoria Flash

HD44780

80C552

Phillips

68HC11

Motorola

TMS370

Texas Instruments

M8C

CYPRESS

Microcontrolerul difer de un microprocesor n multe feluri. n primul rnd i cel mai important este funcionalitatea sa deoarece pentru a putea fi utilizat unui microprocesor trebuie s i se adauge alte componente ca memorie, sau componente pentru primirea i trimiterea de date. Pe scurt, aceasta nseamn c microprocesorul este inima calculatorului. Pe de alt parte, microcontrolerul este proiectat s fie toate acestea ntr-unul singur. Nu sunt necesare alte componente externe pentru aplicarea sa pentru c toate perifericele necesare sunt deja incluse n el. Astfel, economisim timpul i spaiul necesare pentru construirea de aparate. 1. 1.1 Unitatea de memorie Memoria este o parte a microcontrolerului a crei funcie este de a nmagazina date. Cel mai uor mod de a explica este de a-l descrie ca un dulap mare cu multe sertare. Dac presupunem c am marcat sertarele ntr-un asemenea fel nct s nu fie confundate, oricare din coninutul lor va fi atunci uor accesibil. Este suficient s se tie desemnarea sertarului i astfel coninutul lui ne va fi cunoscut n mod sigur. Dou noi concepte ne sunt aduse: adresarea i locaia de memorie. Memoria const din toate locaiile de memorie, i adresarea nu este altceva dect selectarea uneia din ele. Aceasta nseamn c noi trebuie s selectm locaia de memorie la un capt, i la cellalt capt trebuie s ateptm coninutul acelei locaii. n afar de citirea dintr-o locaie de memorie, memoria trebuie de asemenea s permit scrierea n ea. Aceasta se face prin asigurarea unei linii adiionale numit

linie de control. Vom desemna aceast linie ca R/W (citete /scrie). Linia de control este folosit n urmtorul fel: dac r/w=1, se face citirea, i dac opusul este adevrat atunci se face scrierea n locaia de memorie. Memoria este primul element, dar avem nevoie i de altele pentru ca microcontrolerul nostru s funcioneze. 1.1.2 Unitatea Central de Procesare S adugm alte 3 locaii de memorie pentru un bloc specific ce va avea o capabilitate incorporat de nmulire, mprire, scdere i s-i mutm coninutul dintr-o locaie de memorie n alta. Partea pe care tocmai am adugat-o este numit "unitatea de procesare central" (CPU). Locaiile ei de memorie sunt numite regitrii. Regitrii sunt deci locaii de memorie al cror rol este de a ajuta prin executarea a variate operaii matematice sau a altor operaii cu date oriunde se vor fi gsit datele. S privim la situaia curent. Avem dou entiti independente (memoria i CPU) ce sunt interconectate, i astfel orice schimb de informaii este ascuns, ca i funcionalitatea sa. Dac, de exemplu, dorim s adugm coninutul a dou locaii de memorie i ntoarcem rezultatul napoi n memorie, vom avea nevoie de o conexiune ntre memorie i CPU. Mai simplu formulat, trebuie s avem o anumit "cale" prin care datele circul de la un bloc la altul. 1.1.3 Bus-ul Calea este numit "bus"- magistral. Fizic, el reprezint un grup de 8, 16, sau mai multe fire. Sunt dou tipuri de bus-uri: bus de adres i bus de date. Primul const din attea linii ct este cantitatea de memorie ce dorim s o adresm, iar cellalt este att de lat ct sunt datele, n cazul nostru 8 bii sau linia de conectare. Primul servete la transmiterea adreselor de la CPU la memorie, iar cel de al doilea la conectarea tuturor blocurilor din interiorul microcontrolerului. n ceea ce privete funcionalitatea, situaia s-a mbuntit, dar o nou problem a aprut de asemenea: avem o unitate ce este capabil s lucreze singur, dar ce nu are nici un contact cu lumea de afar. Pentru a nltura aceast deficien, s adugm un bloc ce conine cteva locaii de memorie al cror singur capt este conectat la bus-ul de date, iar cellalt are conexiune cu liniile de ieire la microcontroler ce pot fi vzute cu ochiul liber ca pini la componenta electronic. 1.1.4 Unitatea Intrare-ieire Aceste locaii ce tocmai le-am adugat sunt numite "porturi". Sunt diferite tipuri de porturi: intrare , ieire sau porturi pe dou-ci. Cnd se lucreaz cu porturi, mai nti de toate este necesar s se aleag cu ce port urmeaz s se lucreze, i apoi s se trimit date la, sau s se ia date de la port. Cnd se lucreaz cu el portul se comport ca o locaie de memorie. Ceva este pur i simplu scris n sau citit din el, i este posibil de a remarca uor aceasta la pinii microcontrolerului.

Fig.1 Schema bloc general a unui microcontroler cu elementele de baza si conexiunile interne Astfel microcontrolerul este acum terminat, i tot ce mai rmne de fcut este de a-l pune ntr-o component electronic unde va accesa blocurile interioare prin pinii exteriori. n figura 1 este explicitat schema logic general a unui microcontroler, liniile subiri ce merg din interior ctre prile laterale ale microcontrolerului reprezint fire conectnd blocurile interioare cu pinii capsulei microcontrolerului. 1.1.5 Comunicaia serial Cu aceasta am adugat la unitatea deja existent posibilitatea comunicrii cu lumea de afar. Totui, acest mod de comunicare are neajunsurile lui. Unul din neajunsurile de baz este numrul de linii ce trebuie s fie folosite pentru a transfera datele. O alternativ ar fi s reducem numrul de linii ntr-un aa fel nct s nu scdem funcionalitatea. S presupunem c lucrm doar cu 3 linii, i c o linie este folosit pentru trimiterea de date, alta pentru recepie i a treia este folosit ca o linie de referin att pentru partea de intrare ct i pentru partea de ieire. Pentru ca aceasta s funcioneze, trebuie s stabilim regulile de schimb ale datelor. Aceste reguli sunt numite protocol. Protocolul este de aceea definit n avans ca s nu fie nici o nenelegere ntre prile ce comunic una cu alta. Pentru c avem linii separate de recepie i de transmitere, este posibil s recepionm i s transmitem date (informaii) n acelai timp. Blocul aa numit full-duplex mode ce permite acest

mod de comunicare este numit blocul de comunicare serial. Spre deosebire de transmisia paralel, datele sunt mutate aici bit cu bit, sau ntr-o serie de bii, de unde vine i numele de comunicaie serial. Dup recepia de date trebuie s le citim din locaia de transmisie i s le nmagazinm n memorie n mod opus transmiterii unde procesul este invers. Datele circul din memorie prin bus ctre locaia de trimitere, i de acolo ctre unitatea de recepie conform protocolului. 1.1.6 Unitatea Timer Pentru a putea fi folosit n industrie mai are nevoie de cteva blocuri. Unul din acestea este blocul timer care este important, pentru c ne d informaia de timp, durat, protocol etc., [30]. Unitatea de baz a timer-ului este un contor liber (free-run) care este de fapt un registru a crui valoare numeric crete cu unu la intervale egale, aa nct lundu-i valoarea dup intervalele T1 i T2 i pe baza diferenei lor s putem determina ct timp a trecut. Acesta este o parte foarte important a microcontrolerului al crui control cere cea mai mare parte a timpului nostru. 1.1.7 Watchdog-ul nc un aspect foarte important este funcionarea fr defecte a microcontrolerului n timpul funcionrii. S presupunem c urmare a unei anumite interferene (ce adesea se ntmpl n industrie) microcontrolerul nostru se oprete din executarea programului, sau i mai ru, ncepe s funcioneze incorect. Bineneles, cnd aceasta se ntmpl cu un calculator, l resetm pur i simplu i va continua s lucreze. Totui, nu exist buton de resetare pe care s-l apsm n cazul microcontrolerului care s rezolve astfel problema. Pentru a depi acest obstacol, este nevoie de a introduce nc un bloc numit watchdog cinele de paz. Acest bloc este de fapt un alt contor liber (free-run) unde programul trebuie s scrie un zero ori de cte ori se execut corect. n caz c programul se "nepenete", nu se va mai scrie zero, iar contorul se va reseta singur la atingerea valorii sale maxime. Aceasta va duce la rularea programului din nou, i corect de aceast dat pe toat durata. Acesta este un element important al fiecrui program ce trebuie s fie fiabil fr supravegherea omului. 1.1.8 Convertorul Analog-Digital Pentru c semnalele periferice sunt substanial diferite de cele pe care le poate nelege microcontrolerul (zero i unu), ele trebuie convertite ntr-un mod care s fie neles de microcontroler. Aceast sarcin este ndeplinit de un bloc pentru conversia analog-digital sau de un convertor AD. Acest bloc este responsabil pentru convertirea unei informaii despre o anumit valoare analogic ntr-un numr binar i pentru a o urmri pe tot parcursul la un bloc CPU aa ca blocul CPU s o poat procesa. Pentru o aplicaie real, un microcontroler singur nu este de ajuns. n afar de microcontroler, avem nevoie de un program pe care s-l execute, i alte cteva elemente ce constituie o interfa logic ctre elementele de stabilizare. 1.1.9 Analiza sistemelor microprogramabile Firmele cele mai cunoscute care produc microcontrolere sunt: SIEMENS i PHILIPS n Europa; INTEL, MOTOROLA, TEXAS INSTRUMENTS, MICROCHIP i CYPRESS n SUA; HITACHI i MITSUBISHI n Japonia. n continuare se prezint cteva familiile de microcontrolere care sunt cele mai reprezentative, punndu-se accent pe familia de microcontrolere de tip Cypress deoarece acest tip de microcontrolere a fost utilizat la

modernizarea circuitelor de comand i protecie ale echipamentelor electrice pentru atmosfere potenial explozive. 80C196 (Intel MCS-96) Este un microcontroler pe 16 bii fcnd parte din generaia treia de microcontrolere a firmei Intel. Destinat iniial unor aplicaii din industria de automobile, are o arhitectur von Neumann, cu un spaiu de adresare de 64KBytes, o unitate de intrri/ieiri numerice de mare vitez (destinat iniial controlului injeciei la un motor cu ardere intern), ieiri PWM, convertor analog numeric, timer watchdog. Exist multe variante, ultimele cronologic aprute, fiind mult superioare variantei iniiale. Exist i o dezvoltare recent sub forma familiei MCS-296 (80C296) 80C186, 80C188 (Intel, AMD, .a.) Derivate din clasicele 8086/88 prin includerea pe acelai microcircuit a 2 canale DMA, 2 numrtoare/timere, un sistem de ntreruperi i un controler pentru DRAM. Marele avantaj al acestor cvasi(aproape) microcontrolere (ele nu au memorie integrat!) este legat de utilizarea ca mediu de dezvoltare a unor platforme de calcul tip IBM-PC, compatibile 80x86, cu tot softul aferent. 68HC05 (Freescale - www.freescale.com, ex Motorola ) Un microcontroler de 8 bii derivat din microprocesorul M6800 i care prezint multe asemnri cu un alt microprocesor rspndit, la timpul su, 6502. Are un spaiu de memorie unic (64Kbytes) n care sunt plasate i registrele perifericelor (I/O, timere) cu un indicator de stiv (SP) hard pe 5bii (stiv de maxim 32 octei !). Exist variante cu memorie EEPROM, CAN, port serial, etc. Este unul din cele mai rspndite microcontrolere (comparabil cu 8051). Varianta evoluat a acestei familii este seria 68HC08 bazat pe o nou unitate central de 8 bii numit CPU08, cu cea mai recent dezvoltare sub forma seriei 68HCS08 destinat n mod special unor aplicaii din industria automobilului. 68HC11, 68HC12, 68HC16 (Freescale) 68HC11 a fost unul din cele mai puternice microcontrolere pe 8 bii, foarte rspndit n ciuda faptului c Motorola a fost un timp singurul productor. Are un set de instruciuni asemntor cu alte produse ale firmei (6801, 6805, 6809). Are un spaiu de adrese unic de 64K. Nenumrate variante ca resurse: EEPROM, OTP, CAN, PWM, etc. Prezint ca particularitate existena unui program de ncrcare rezident (bootstrap loader n ROM intern) cu care, la reset, un segment din memoria RAM extern poate fi ncrcat cu cod program prin intermediul portului serial. Variantele evoluate sunt de fapt microcontrolere de 16 bii: un "super 68HC11", numit 68HC12 bazat pe o nou unitate central numit CPU12, care reprezint extensia la 16 bii a arhitecturii HC11 un 68HC16, mai puin rspndit, bazat pe o unitate central numit CPU16 PIC (Microchip- www.microchip.com ) Primul microcontroler din aceast familie (PIC1650) a aprut acum mai bine de 20 de ani pe vremea cnd firma era proprietatea General Instruments. Este o familie de microcontrolere care, n ultimii ani, a cunoscut o dezvoltare exploziv. Sunt disponibile actualmente sub forma a 6 serii: PIC10, PIC12, PIC14, PIC16, PIC17 i PIC18. In seriile respective exist variante cu memorie de program de tip OTP(C) sau FLASH (F). Au fost primele microcontrolere de 8 bii cu arhitectur RISC: PIC16C5x avea un set de doar 33 instruciuni (Intel 8048 avea 90). Arhitectura este de tip Harvard i, ca o particularitate, dimensiunea cuvntului pentru program este de 12, 14 sau 16 bii, cuvntul de date fiind tot de 8 bii. Exist foarte multe variante pentru cele ase serii, unele din ele fiind caracterizate printr-un numr mic de conexiuni exterioare (pini) i n consecin dimensiuni mici, consum foarte mic, ideea de baz fiind costul redus. Cronologic, ultimul produs al firmei Microchip este seria dsPIC30F, de fapt un procesor numeric de semnal, de 16 bii, cu o periferie specific optimizat pentru controlul acionrilor electrice (motoare electrice). Firma Ubicom (ex Scenix, www.ubicom.com) produce nite clone ale familiei PIC, mult mai rapide dect originalele. Modulele Basic Stamp ale firmei Parallax (www.parallax.com) sunt bazate si pe astfel de microcontrolere (sunt foarte utilizate, i nu numai de profesioniti n robotic).

AVR (Atmel- www.atmel.com ) Un concurent puternic al seriei PIC este familia numit AVR, a firmei ATMEL, familie aprut n ultimii ani, care ofer variante de microcontrolere oarecum asemntoare ca resurse cu familia PIC, la performane similare sau mai bune. Sunt bazate pe o arhitectur diferit, dar unitatea central este tot de tip RISC, cu cuvntul de date de 8 bii. La fel ca la PIC dimensiunea cuvntului de program este mai mare, fiind de 16 bii. Exist cel puin 3 sub familii mari, n ordinea complexitii resurselor, acestea fiind: AT Tiny, AT90 i ATMega. COP4(00) i COP8(00) (NS -National Semiconductors - www.national.com ) COP4 este un microcontroler pe 4 bii, categorie de microcontrolere care, n general, departe de a fi nvechite, ocup un segment relativ important al pieii. Printre caracteristici: pn la 2K ROM local, 32x4 pn la 160x4 RAM local, Microwire, numrtoare/timere, tensiune de alimentare 2.3-6V, numr mic de pini. COP8 reprezint o serie de microcontrolere pe 8 bii, versatil, cu pre sczut, disponibil n multe variante. Arhitectura este similar lui 8051, dar setul de instruciuni este similar lui Z80. Z8 (Zilog, - www.zilog.com ) Un derivat al microprocesorului Z80, reprezint un compozit al mai multor arhitecturi diferite. Nu este compatibil cu setul de instruciuni i nici cu perifericele standard Z80. Are trei spaii de adrese: program, date i un masiv de registre. Resurse locale tipice: UART, timere, DMA, sistem de ntreruperi cu pn la 37 de surse. Exist o variant cu un interpreter Tiny Basic n ROM-ul local (analog 8052AH Basic de la Intel) precum i o variant cu resurse mbuntite numit Super-8. Z180(Zilog), Rabbit ( Rabbit Semiconductors- www.rabbitsemiconductor.com ) Z180 -ul firmei Zilog are un CPU similar cu Z80 dar mbuntit, cu resurse locale cum ar fi: management de memorie (memorie paginat de maxim 1MB), USART (numit SIO), 2 canale DMA, timere, sistem de ntreruperi, eventual PIO. Instruciuni suplimentare fa de setul standard Z80, printre care i nmulirea. Diversele sale variante nu includ memorie local. Rabbit 2000 sau 3000 este un microcontroler bazat pe un nucleu de Z180, deosebit de versatil ca resurse periferice disponibile i foarte uor de integrat n aplicaii. Sunt disponibile module realizate pe baza acestui microcontroler, module care adaug i memorie de tip ROM FLASH i RAM. Utilizarea unui mediu de programare foarte productiv numit Dynamic C precum i a facilitailor de programare i depanare In-System au fcut ca acest microcontroler sa cunoasc o rspndire destul de larga. TMS370 (Texas Instruments- www.ti.com ) Microcontrolerul standard pe 8 bii al firmei TI realizat n multe variante (de ordinul zecilor), prezint unele asemnri cu 8051 (memoria de date local, stiva, modurile de adresare). O varietate extrem de mare a resurselor locale. 80386EX (Intel) Un 80386 destinat aplicaiilor de tip controler. Resurse locale: I/O seriale, timere/numrtoare, DMA, optimizarea consumului, controler de ntreruperi, controler pentru RAM dinamic. Nu au memorie local. Marele avantaj al unui astfel de microcontroler este c se poate utiliza ca platform de dezvoltare un sistem de tip IBM PC mpreun cu tot mediul de programare aferent. MSP430 (Texas Instruments) Firma TI ofer i o familie de microcontrolere de 16 bii cu arhitectura RISC, cu posibilitatea controlului compromisului vitez de calcul/consum propriu, destinat aplicaiilor portabile (i nu numai), denumit MSP 430. Cu un spaiu de adresare de 64KBytes, are diverse variante de realizare a memoriei interne de program (OTP, FLASH), resurse diverse (printre care i o interfaa pentru un sistem de afiare LCD). CYPRESS (Cypress Semiconductors www.cypress.com ). Proiectarea unor produse embedded, utile si ieftine poate fi o provocare chiar si pentru cei mai experimentai ingineri. In prezent, proiectele embedded necesita optimizarea numrului de componente, a dimensiunii fizice, a consumului de putere sau a costului, sau a tuturor celor de mai sus. Produsele embedded complet optimizate folosesc foarte puine resurse care se irosesc. Aceasta foreaz adesea proiectanii sa aleag componente mai puin costisitoare care cred ei ca vor funciona acolo unde componente mai scumpe tiu ca vor funciona. M refer la aceasta situaie numind-o "Constrngerea dezvoltrii embedded".

Constrngerea dezvoltrii embedded este acel sentiment ngrozitor care apare cnd ii dai seama ca partea pe care ai pus bazele proiectului tu se dovedete a fi insuficienta. Aceasta se ntmpla de obicei in mijlocul unui proiect si provine din micorarea anumitor resurse importante - determinnd oprirea procesului de dezvoltare. Deoarece microcontrolerul pe care operatorul l utilizeaz include o varietate de resurse incluse intr-un chip, exista din abundenta oportuniti pentru a experimenta constrngerea. Probleme comune MCU cnd se folosesc uniti de microcontroler tradiionale, exista trei tipuri majore de resurse care, in mod obinuit, sunt insuficiente: spaiul memoriei program; conexiunile I/O; setul periferic disponibil. In continuare sunt prezentate cteva tehnici comune de proiectare care ajuta la oprirea constrngerii nainte de a ncepe. Cnd se proiecteaz un sistem mbuntit, codul ales pare totdeauna ca umple tot spaiul disponibil si apoi el creste mai mult. Daca este utilizat un nivel mai ridicat al limbajului de proiectare, cum ar fi C, se poate rezolva problema spaiului codului prin cumprarea unui compilator mai eficient. Preul unui compilator este in mod obinuit legat de abilitatea sa de a genera cod care este eficient att in ceea ce privete spaiul cat si in ceea ce privete timpul de funcionare. In timp ce costul uneltei de dezvoltare este ntotdeauna un punct important, o doza suplimentara de eficienta reduce costul, daca aceasta permite finalizarea proiectului fr optimizri suplimentare pe aplicaia conceput. De asemenea, se poate optimiza software-ul pentru a reduce spaiul codului - fie prin codificarea in limbaj de asamblare, fie in C. Totui, acesta este un proces care necesita timp care produce rspunsuri diminuate cu cat se lucreaz mai mult la el. O alta cale este utilizarea de intrri/ieiri necesare realizrii a tot ceea ce necesita proiectul. In aceasta situaie, se pot multiplexa funciile pe acelai pin (folosirea aceluiai pin att ca intrare cat si ca ieire) sau chiar se poate folosi ieirea pentru doua funcii diferite (implementnd att starea sus cat si starea jos a pinului). Setul periferic disponibil al unui anumit microcontroler este o alta caracteristica ce cauzeaz probleme pentru un proiectant. La jumtatea drumului proiectului, se descoper c mai este nevoie de un periferic care nu a fost luat n considerare la nceputul proiectului. Adesea se poate substitui implementarea unui software al unui periferic hardware care lipsete. Un exemplu in acest sens este o rutina software pentru a face comunicaie asincrona pe un port pin fr periferic hardware. Aceasta poate fi o buna soluie atta timp cat exist suficient spaiu CPU disponibil pentru a manevra volumul de lucru suplimentar. Adesea, proiectanii experimenteaz "Constrngerea dezvoltrii embedded" la proiecte reuite ale lor. In ciuda tehnicilor descrise mai nainte, "Constrngerea" primejduiete cutrile de a finaliza un proiect la timp si cu un anumit buget. Cauze fundamentale ale "Constrngerii" sunt lipsa flexibilitii si volumul total de microcontrolere ce se afla pe piaa. Cnd este selectat un microcontroler, utilizatorul trebuie s caute prin grmada de informaii pana gsete setul complet de caracteristici de care are nevoie pentru proiectul su. De cele mai multe ori, cerinele si caracteristicile sistemului se modifica pe la jumtatea drumului fiind necesar o re-configurare a resurselor sau de a modifica microcontrolerul la jumtatea procesului de proiectare. Cele mai multe MCU nu furnizeaz o cale de upgradare clara pentru a gsi un nou dispozitiv atunci cnd cel curent nu mai este util pentru aplicaia dorit. Deoarece exista att de multe microcontrolere disponibile (si caracteristicile lor variaz att de mult), gsirea piesei upgradate care are tocmai resursele necesare crescute este extrem de rara. De aceea, chiar cea mai mica modificare in mijlocul ciclului de proiectare poate conduce la eecuri majore. Pentru a rezolva aceasta problema si a uura "Constrngerea", Cypress MicroSystems, a dezvoltat o linie de sisteme semnal mixat care se caracterizeaz prin controler pe placa. Aceste dispozitive PSoC permit personalizarea setului periferic in aa fel nct sa se adapteze cel mai bine la aplicaia dorit - in orice moment pe durata procesului de dezvoltare. Fiecare parte

conine blocuri de circuite analogice si blocuri de circuite digitale care pot fi configurate in perifericele care se potrivesc cel mai bine aplicaiei. n cazul n care circuitele de comand i protecie, spre exemplu, necesit o modificare n setul de caracteristici al sistemului se poate modifica simplu configuraia iniial fr a mai fi nevoie de alegerea unei noi piese. Blocurile analogice si digitale incorporate sunt folosite pentru a crea periferice pe aceste dispozitive. Blocurile PSoC digitale pot implementa o varietate de funcii microcontroler standard, cum ar fi timere, PWMe (Pulse Width Modulators) si canale de comunicaie seriala. Ele pot, de asemenea, sa implementeze funcii care nu sunt in mod normal disponibile in hardware pe microcontrolere cum ar fi PRS (Pseudo Random Sequence generators) si CRC (Cyclical Redundancy Checkers). Fiecare din blocurile digitale servete ca o resursa de 8 bii, nsemnnd ca se poate face un timer de 8 bii de la un bloc digital. Blocurile digitale pot fi, de asemenea, instruite mpreuna daca este nevoie de limi bit mai mari - fcnd un PWM de 16 bii din doua blocuri digitale ce lucreaz mpreuna. Pe de alta parte, blocurile analogice ale acestei noi arhitecturi sunt construite in jurul amplificatoarelor operaionale. Trei tipuri de blocuri analogice suporta o larga varietate de funcii. Unul din aceste blocuri analogice are mai multe multiplexoare analogice care se pot seta pentru a controla topologia caii de rspuns si o matrice rezistor programabil de precizie. Celelalte doua tipuri de blocuri analogice sunt, de asemenea, construite in jurul amplificatoarelor operaionale, dar au reele de condensatoare pentru a fixa caracteristicile blocului. Se pot folosi blocurile analogice singure pentru a crea filtre si stadii de amplificare, sau mpreuna cu blocurile digitale pentru a crea funcii cum ar fi convertoare A/D si convertoare D/A. Setul periferic este ales de ctre utilizator, aa ca nu trebuie recurs la a face periferice software care consuma din spaiul codului si reduc performanta. Noul dispozitiv se caracterizeaz, de asemenea, prin resurse generoase de interconectare. Este posibil dirija att a semnalelor analogice cat si semnalelor digitale intre diferite si variate blocuri funcionale, crend astfel arhitectura sistemului dorita chiar pe dispozitiv. De aceea, un semnal analog ce vine intr-un pin de la un senzor este condus prin stadii succesive de amplificare, filtrare si conversie A/D si introdus in CPU pentru procesare. Nivelul crescut de flexibilitate a interconectrii este o alta caracteristica a acestei arhitecturi, care va permite sa lucrai pe toate problemele de I/O pe care le putei ntmpina. Unelte de dezvoltare Alegerea siliciului este influenata in mare msura de disponibilitatea uneltelor de nalta calitate. Cypress MicroSystems a creat, de asemenea, un IDE (Integrated Development Environment) pentru dezvoltare cu aceste produse. Numit "PsoC Designer", programul va permite configurarea resursele analogice si digitale de la o interfaa grafica utilizator. Utilizatorul creeaz setul periferic care se potrivete cel mai bine aplicaiei si software-ul genereaz automat un set de fiiere care seteaz biii registrului corespunztor pentru a implementa alegerile fcute. IDE-ul creeaz, de asemenea, interfee de programare pentru perifericele selectate pentru a iui dezvoltarea software-lui. 1.2 Arhitectur general a microcontrolerului Cypress Diagrama PSoC ilustrat n figura 2, ilustreaz arhitectura nivelului nalt al familiei de dispozitive PSoC. Fiecare bloc al diagramei este prezentat pe larg n paragrafele: PsoC Core, Sistemul Digital, Sistemul Analogic i Resursele sistem. Toate aceste paragrafe reprezint reeaua de comunicaii a sistemului magistral (System bus).

Fig.2 Arhitectura general a microcontrolerului din familia Cypress 1.2.1 PSoC Core PSoC Core este un mediu de programare puternic care suport un set de instruciuni bogat. El compenseaz SRAM pentru stocarea datelor, un controller de ntreruperi pentru executarea simpl a noilor adrese, un temporizator sleep i watchdog precum i multiple surse de timp (clock) care include PLL ,IMO (oscilatorul principal intern),ILO (oscilatorul intern cu viteza redusa si ECO (cristalul oscilator extern de 32.768 kHz),[72]. Toate aceste temporizatoare mpreuna cu driverele de temporizare programabile(ca resursa sistem),asigura o flexibilitate in integrarea aproape a tuturor necesitailor temporale in dispozitivul PSoC, [67]. Procesorul,denumit M8C este un procesor puternic cu viteza de peste 24MHz.Are o arhitectura 4xMIPS pe 8 biti Harvard. Fiind incorporata si memoria SROM si memoria Flash, acesta asigura si permite o programare flexibila. PSoC GIPO permite conectarea la procesor a diferitelor resurse digitale si analogice. Fiecare pin al dispozitivului trebuie selectat din 8 opiuni permind astfel o flexibilitate in interfaa cu exteriorul. De asemenea fiecare pin este capabil sa genereze ntreruperi la nivel nalt, jos si schimbri de la ultima citire, [64]. 1.2.2 Sistemul Digital Sistemul digital este compus din linii digitale adunate in blocuri digitale(array) si interconectri Global, Array(matrice) si linii digitale (GDI,ADI si RDI).Blocurile digitale au cate 4 linii ,aceste blocuri variind de la un dispozitiv PSoC la altul. Aceasta permite alegerea optima de ctre sistem a resurselor pentru diverse aplicaii. Blocurile digitale pot fi conectate la orice GIPO prin o serie de magistrale globale care pot dirija orice semnal ctre orice pin. De asemenea magistralele permit multiplexarea semnalelor si efectuarea operaiilor logice. Aceasta configuraie permite proiectarea controllerelor periferice fixe, [38].

1.2.3 Sistemul Analogic Sistemul analogic este compus din coloane analogice adunate ntr-o matrice, referine analogice, multiplexarea analogic a intrrilor si dispozitive analogice. Blocul sistem analog este alctuit din mai mult de 4 coloane cu peste 12 blocuri analogice, depinznd de caracteristicile dispozitivului PSoC. Fiecare bloc cuprinde un circuit opamp, care permite crearea unui flux complex de semnale. Fiecare coloana analogic conine: CT bloc de timp continuu(continous Time) tip B(ACB); un bloc de condensatoare variabile Tip C(ASC); un bloc de condensatoare variabile tip D(ASD); Coloanele analogice din procesoarele PSoC CY8C21x24,CY8C21x23,CY7C603xx si CYWUSB6953 conin fiecare cate un bloc CT(ACE) de tip E si un bloc SC(ASE) de tip E. 1.2.4 Resursele sistem Resursele sistem permit capabiliti adiionale ale PSoC care depind de caracteristicile dispozitivului PSoC. Aceste resurse includ: ceas digital care creste flexbilitatea matricilor mixte de semnale ale PSoc; peste 4 MAC-uri care permit multiplicarea rapida cu 8 biti sau multiplicarea cu 8 biti cu 32 acumulatori. Peste doua coprocesoare pentru aplicatii de pocesare a semnalelor digitale. I2C functii cu I2C slave on master. Un acumlator intern care furnizeaza o valoare absouta de 1.3 pentru diferitele subsisteme ale PSoC. SPM care genereaza operarea normala cu tensiuni a acumulatorului intern. MUX analog care permite fiecarui pin I/O o conectare la magistrala interna analogica comuna. 5 iesiri fullsped (2Mb/sec)USB. Diferite sisteme de reset suportate de M8C. 1.2.5 Caracteristicile dispozitivului PSoC Exista o mulime de cipuri in familia PSoC Mixed Signal Array, dar difereniind grupurile de cipuri PSoC, acestea se disting dup numrul de linii digitale si coloanele analogice de care dispun. Sistemul digital poate avea 4, 2 sau o linie digitala. Sistemul analogic poate avea 4, 2 sau o coloana analogic. Fiecare dispozitiv PSoC are o combinaie unica de linii digitale si coloane analogice. In tabelul 3, sunt ilustrate resursele sistem disponibile fiecrui grup de dispozitive PSoC. CY8C21x34, CY7C603xx si CYWUSB6952 sunt singurele dispozitive PSoC care au I/O multiplexate analogic, iar CY8C24x94 si CY7C64215 au resurse sistem USB. Pentru realizarea obiectivului cercetrii s-a utilizat familia de microcontrolere cu performanele cele mai ridicate i anume CY8C29466.
Tabelul 3. Resursele specifice disponibile pentru cele mai reprezentative dispozitive PSoC Intrri Digitale Magistrale Digitale Blocuri digitale SRAM Flash 32KB 16KB Dispozitiv PSoC Blocuri Analogice 12 12 Intrri analogice 12 12 Ieiri analogice 4 4

CY8C29X66 CY8C27X43

64 44

4 2

16 8

2KB 256 biti

CY8C24X94 CY8C24X23 CY8C24X13 CY8C21X34 CY8C21X23 CY8C20X34

50 24 16 28 16 28

1 1 1 1 1 0

4 4 4 4 4 0

48 12 8 28 8 28

2 2 1 0 0 0

6 6 3 4 4 3

1KB 256 biti 256 biti 512biti 256 biti 512biti

16KB 4KB 4KB 8KB 4KB 8KB

Familia PSoC CY8C29x66 poate avea pana la 6 porturi IO care se leag de interconectrii analogi si digitali globali, realiznd accesul la 16 blocuri digitale si 12 blocuri analoage. Inima PSoC este un motor puternic care sprijin o setare aparenta bogata. Miezul include un CPU, o memorie, ceasuri si un GPIO configurabil (Scop general IO). Miezul CPU M8C este un procesor puternic cu viteze de pana la 12 MHz, care realizeaz un microprocesor cu 2 MIPS de 8 bii Harvard. CPU utilizeaz un verificator ntrerupt cu 25 de vectori, pentru a simplifica programarea evenimentelor din timp real. Executarea programului este temporizata si protejata folosind temporizatorii de somn si veghere (WDT). Memoria include 32 KB de Flash pentru stocarea programului si 2 KB de SRAM pentru stocarea informaiilor. Flashul programului utilizeaz 4 nivele de protecie pe blocuri de 64 bii, permind protecia de software IP,[80]. Dispozitivul PSoC cuprinde generatori de ceas interni, incluznd un IMO de 24 MHz (oscilatori principal intern) pana la 4% peste temperatura si voltaj. O putere mai mica ILO de 32 kHz este realizata pentru temporizatorul de somn si WDT. Daca se dorete o acuratee cat mai mare, este disponibil ECO (oscilatorul extern de 32.768 kHz) pentru a fi utilizat ca un ceas de timp real (RTC) si poate genera opional un sistem de 24 MHz utiliznd PLL. Ceasurile, mpreuna cu divizorii de ceas realizeaz flexibilitatea de a integra aproape orice cerina de timp in dispozitivul PSoC. PSoC GPIO realizeaz legtura cu CPU, resurse digitale si analoage ale dispozitivului. Fiecare pin are capacitatea de a genera o ntrerupere a sistemului la un nivel ridicat si se poate schimba de la ultima citire, acestor pini putndu-se selectata diferite opiuni de lucru care permit o flexibilitate mare in realizarea interfeei externe. Sistemul digital este alctuit din 16 blocuri digitale PSoC, iar arhitectura acestuia este prezentat n figura 3. Fiecare bloc este o resursa de 8 bii care poate fi utilizata singura sau in combinaie cu alte blocuri pentru a forma 8, 16, 24 si 32 bii periferici, care sunt numii referinele modulare ale utilizatorului. Configuraiile periferice digitale cuprind urmtoarele: PMW (intre 8 si 32 bii). PMW cu banda moarta (intre 8 si 32 bii). Contoare (intre 8 si 32 bii). Timer (regulator) (intre 8 si 32 biti). UART de 8 bii cu paritate selectabila (pana la 4). SPI Master si Slave (pana la 4 fiecare). I2C Slave si Multi-master (1 disponibil ca o resursa a sistemului). Generator/Verificator de redundanta ciclica (intre 8 si 32 biti). IrDA (pana la 4). Pseudo Generatori secveniali (intre 8 si 32 biti).

Ceasurile Digitale Ctre Magistrale Ctre Sistemul Analogic De la Inima PSoC SISTEMUL DIGITAL Aria Blocurilor Digitale

Interconectare Digital Global

Fig.3 Sistemul digital al microcontrolerului Cypress Blocurile digitale pot fi conectate la orice GPIO printr-o serie de bus-uri globale care pot conduce orice semnal la orice pin. Bus-urile permit de asemenea realizarea operaiilor logice clasice. Sistemul analogic, prezentat n figura 4, este alctuit din 12 blocuri configurabile, fiecare cuprinznd un circuit care permite crearea unor fluxuri de semnal analogic complex. Perifericele analoage sunt foarte flexibile si pot fi realizate sa sprijine cerinele aplicaiei specifice. Unele dintre funciile analoage PSoC sunt prezentate in continuare. Convertor Analog - Digital (pana la 4, cu rezoluia intre 6 si 14 bii, selectabili ca Incremental, Delta Sigma si SAR). Filtre (2, 4, 6 sau 8 pol band-pass, low-pass si notch). Amplificatori (pana la 4, cu spor selectabil pana la 48x). Amplificatori de instrumente (pana la 2 cu spor selectabil pana la 93x). Comparatori (pana la 4, cu 16 praguri selectabile). DAC (pana la 4, cu rezoluie intre 6 si 9 biti).

Aria Configuraiei de Intrare

Fig.4 Sistemul analogic al microcontrolerului Cypress DAC multiplicator (pana la 4, cu rezolutie intre 6 si 9 biti). Driveri de iesire a informatiei curente (4 de 40mA ca resursa a miezului PSoC). Referinta de 1,3V (ca resursa a sistemului). Dialer DTMF. Modulatori. Corelatori. Detectori de varf. Orice alte topologii posibile. Blocurile analogice sunt prevzute in coloane de 3 care cuprind un bloc CT (timp continuu) si 2 blocuri SC (condensator de schimbare). 1.2.6 Resursele sistemului adiional Resursele sistemului, unele dintre ele au fost prezentate anterior, au o capacitate suplimentare utila pentru a completa sistemele. Resursele adiionale includ un multiplicator, decimator, o pompa de schimbare, detecie de voltaj sczut si puterea de resetare. In continuare sunt prezentate meritele fiecrei resurse de sistem:

Divizorii ceasului digital realizeaz trei frecvente de ceas pentru a fi utilizate in aplicaii. Ceasurile pot fi utilizate att in sistem analogic cat si digital. Pot fi generate ceasuri suplimentare folosind blocurile digitale PSoC ca divizori de ceas. Doi MAC furnizeaz un multiplicator de 8 bii cu acumulator de 32 bii pentru a ajuta la filtrele generale si la cele digitale. Decimatorul furnizeaz un filtru de hard pentru semnalul digital, procesnd aplicaii inclusiv crearea de Delta Sigma ADC. Module I2C furnizeaz comunicare de 100 si 400 kHz intre fire. Modurile slave, master si multimaster sunt toate sprijinite. ntreruperi ale detectrii voltajului slab (LVD) poate semnala aplicaii de nivele sczute ale voltajului, in timp ce circuitul POR elimina nevoia de un supervizor de sistem. O referina interna de 1,3 voli furnizeaz o referina absoluta pentru un sistem analogic, inclusiv ADC si DAC. 1.3 SOFTWARE-UL DE PROGRAMARE A MICROCONTROLERE-LOR DIN FAMILIA CYPRESS Se va evidenia in continuare flexibilitatea cu care se poate programa un microcontroler de tip Cypress, numit prescurtat PsoC (Programmable System on Chip) Sistem Programabil pe Chip. Programarea microcontrolerului se realizeaz prin intermediul software-ului PSoC Designer 5.0 (Integrated Development Environment for Cypress MicroSystems' ProgrammableSystem-on-Chip technology) i este utilizat ca interfa in vederea configurrii i programrii din punct de vedere al sistemului analogic, respectiv al sistemului digital al PSoC. Resursele celor dou tipuri de sisteme integrat in microcontroler sunt: Sistemul Digital: Blocuri PSoC digitale; Magistrale globale intrare/ieire; Magistrale de date intrare/ieire; Magistrale de interconectare; Sistemul Analogic: Blocuri PSoC analogice; Surse configurabile de clock; Intrri configurabile; Ieiri configurabile; n figura 5 este prezentat interfaa grafic a softwar-ului PSoC Designer care conine urmtoarele subsisteme: Editorul de dispozitive Editorul de aplicaii Debugger Editorul de dispozitive (device editor) este subsistemul de unde utilizatorul poate alege dispozitivele de care are nevoie n derularea proiectului. Editorul de aplicaii este subsistemul de unde utilizatorul poate accesa interfaa de scriere n limbaj C sau asamblare. Debugger este subsistemul de unde utilizatorul compileaz datele scrise. n funcie de complexitatea aplicaiei din biblioteca microcontrolerului se pot alege o serie de module analogice/digitale care dac sunt corect interconectate se comport precum componentele electronice clasice. In momentul cnd se dorete nceperea unei noi aplicaii iniial se va deschide interfaa grafic a editorului de dispozitive (vezi fig.5). Acesta interfa cuprinde urmtoarele subsisteme: Resursele Globale, Parametrii Modului Ales, Setarea Pinilor

Resursele Globale permit utilizatorului sa seteze principalii parametrii ai plcii de dezvoltare cu ar fi: Power Setting [Vcc/SysClock Freq]: Acest parametru permite utilizatorului s selecteze frecvena de clock ct i tensiunea nominal cu care se opereaz. n funcie de frecvena aleas se calibreaz Internal Main Oscillator (IMO). CPU_Clock: Aceast seciune permite utilizatorului s aleag viteze de clock predefinite ntre 93,75kHz i 24MHz. Aceste seteri sunt direct proporionale cu setrile SysClock. Se poate asigura o mai bun stabilite prin utilizarea unui oscilator extern de 32 kHz. 32K_Select: Aceasta setare permite utilizatorului selecia oscilatorului intern de 32kHz sau a unui oscilator extern. Sleep_Timer: Acest parametru odat selectat permite setarea temporizrii cu care lucreaz placa de dezvoltare. Daca acest parametru este setat si daca procesorul nu este activ n momentul activrii acesta va lucra la frecvena specificata prin separarea parametrului Sleep Timer.
Resurse Globale Setare Parametrii Modul

Definire Pini

Interconectare module

Microcontroler

Fig.5 Interfaa grafic de programare a software-lui PsoC Designer VC1 i VC2: Acestea sunt resurse variabile care prin setarea lor pot oferii o anumit frecven intern de clock care ulterior poate fi utilizat de ctre blocurile analogice sau digitale. VC3_Divider i VC3_Source: Acest sistem de clock este similar sistemelor VC1 i VC2. Principala diferen ntre resursele VC1, VC2 i VC3 este aceea c acesta din urm se poate schimba pentru una sau mai multe surse de clock i nu poate fi folosit ca i clock de intrare aa de flexibil precum VC1 i VC2. Nu poate fi utilizat ca intrare direct a PSoC, dar poate fi utilizat direct la o intrare digital a PSoC.

Fig. 6 Interfaa grafic a software-lui PsoC Designer de interconectare a dispozitivelor analogice i digitale SysClock_Source i SysClock*2 Disable: Acest parametru permite sistemului de 24 MHz sa fie selectat de la o surs interioar sau exterioar. Parametrul SysClock*2 Disable permite clockului intern de 48 MHz sa fie inactiv. Analog Power Acest parametru controleaz partea analogic a PSoC. Acest control se realizeaz n trei etape : 1. Analog Blocks Off, 2. Continuous Time Blocks ON/Switched Capacitor Blocks OFF, i 3. Continuous Time Blocks ON/Switched Capacitor Blocks ON. Pentru cele dou cazuri n care blocurile sunt active (ON) este posibil selectarea nivelelor de redus, mediu, nalt al buffer-erelor interne. Aceast seciune afecteaz consumul total al PSoC. Ref Mux: Acest parametru odat cu selectarea lui permite controlul potenialului resurselor analogice. Valoarea aleas va controla maximul tensiunii care se va putea aplica la intrarea unui circuit capacitiv, ct i a tensiunii de ieire a aceluiai circuit. Ambele valori de referin i tensiunea vrf la vrf pot fi selectate prin intermediul acestui parametru. AgndBypass: Existena unor mici perturbaii i zgomote la ieirea PSoC poate fi redus prin controlarea AgndBypass. Plaja de valori n care trebuie s fie cuprins capacitorul extern este 0,01F0,1F. Op-Amp Bias: Pentru majoritatea proiectelor acest parametru se las la valoarea Low. Setarea opiunii High ofer slew rate bun, ns o mai mic amplitudine a tensiunii. A_Buff_Power: Seteaz ieirea analogic a buffer-ului. High nseamn o tensiune mai stabil.

2. AUTOMATE PROGRAMABILE INDUSTRIALE


Un automat programabil (AP, PLC - Programmable Logic Controller) este un echipament electronic nzestrat cu memorie programabil de ctre utilizator cu ajutorul unui limbaj specializat. Instruciunile compunnd funciile de automatizare (logic combinatoric i secvenial, calcul aritmetic, tratare date, reglare etc) sunt stocate intern. Prin intermediul modulelor de intrare i de ieire (logice, numerice sau analogice) se realizeaz funcii de comand, msurare i control a unor instalaii sau procese din mediul industrial. AP face deci apel n funcionarea sa la principiile logicii programate, fiind un echipament numeric realizat n jurul unuia sau mai multor microprocesoare (microcontrolere). Trstura distinct fa de alte dispozitive (echipamente) microprogramate ine de specificitatea sa pentru aplicaii industriale, constructorii realiznd elementele de interfaare astfel nct s se asigure o compatibilitate complet cu elementele de execuie i traductoarele industriale. AP au fost concepute iniial pentru a se substitui structurilor de comand cu contacte i relee din liniile automate de fabricaie, devenite din ce n ce mai complexe, voluminoase, cu rat mare de defectare, greu de depanat, cu consum important de energie i dezavantaj major - cu o structur funcional rigid. AP nltur aceste dezavantaje n mod spectaculos. Dac primele AP se constituiau ca sisteme numerice de comand cu intrri i ieiri binare, treptat interfeele s-au dezvoltat diversificat iar posibilitile de comand / conducere au devenit foarte complexe. Simultan cu dezvoltrile hardware, evoluia AP este marcat de integrarea lor fizic i procedural (software) n reele complexe de conducere ierarhizat, cu mai multe nivele decizionale i executive. 2. 1 STRUCTURI ASOCIATE AUTOMATELOR PROGRAMABILE Figura 7 red locul automatului programabil i conexiunile n cadrul unei instalaii electromecanice, fiind evideniate cele 2 mari subsisteme: partea operativ PO i partea de comand PC. Acionorii sunt elemente de execuie: motoare, electrovane, cilindri hidro sau pneumatici etc. Preacionorii sunt elemente fizice de interfaare a PC cu elemente de execuie. Pot fi: relee, contactoare, distribuitoare etc.

Fig. 7 Locul AP ntr-o instalaie industrial. Elementele fizice constituente i legtura lor cu exteriorul sunt puse n eviden i de fig. 3.2, 3.3. Unitatea central a unui automat programabil este organizat n jurul unui microprocesor de 8 sau 16 bii a crui putere de tratare i vitez determin complexitatea AP (a setului de instruciuni asociat) i viteza de scrutare

a programului. Unele echipamente sunt multiprocesor (multitasking), permind un volum important de prelucrri. Ex.: Automate Tlmcanique: microprocesoare I 8052, 8031, 8086, 80386, 80C52, ... Automate Merlin Grin (PB, April): microprocesoare I 8031, M 6809, 68000. ntr-un AP, n majoritatea cazurilor, UC (microprocesor, memoria sistem sau monitor) nu sunt accesibile utilizatorului. Memoria utilizator este destinat stocrii programelor de aplicaie. Are dou zone: - zona program (RAM - rar, REPROM, EEPROM); - zona date (RAM cu baterii pentru pstrare coninut). Capacitate: minim 1, 2 Kcuv. (Kword), pn la 256 Kword sau mai mult / 8 sau 16 bii. Pe multe automate, este modular, putndu-se extinde cu 8, 16 sau 32 Kcuv.Accesul la informaia memorat poate fi: - Explicit - utilizatorul preciznd nti adresa fizic i apoi coninutul care urmeaz s fie nscris sau citit. E PB O SI instruciune x. 100: C 30 O 010 MZ a nr. 1instruciune Se lucreaz deci la nivel de limbaj specific AP, metoda fiind depit. C 31 021 a nr. 2 - Implicit (transparent), adaptat limbajelor grafice. Utilizatorul introduce linii de programe sau reele, afectndu-le simboluri sau etichete. La compilare, UC interpreteaz datele i organizeaz spaiul memoriei. Ex. TSX 47; 47-20; TSX 80 (Tlmcanique); April 5000. Organizarea memoriei este de obicei particular pentru fiecare tip de AP. Majoritatea posed ns o zon de memorie pe bit i o zon de memorie pe cuvnt pentru utilizator. Adesea se adaug memorii pe bit sau cuvnt sistem, utilizate n comun (n anumite condiii) cu UC. La modelele evoluate, utilizatorul poate - printr-o procedur de configurare - s organizeze el nsui memoria.

Fig. 8 Organizarea fizic a unui AP Exemple: TSX 47 (Tlmcanique): - Capacitate 8,16 sau 32 Ko (modular) + memorie bii i cuvinte pe RAM on-board; - Memorie bii interni (256); - Memorie bii imagine I/E (256); - Memorie cuvinte interne (128); - Memorie cuvinte constante (128); - Memorie bii i cuvinte sistem (24 bii, 4 cuvinte); - Memorie bii i cuvinte asociate blocurilor de funcii. APRIL 5000 (Merlin Grin): - Capacitate memorie (extindere prin cartu adiional): - program 64 Kcuv. (160 Kcuv.); - date 48 Kcuv. (64 Kcuv. + 16Kcuv. / UC); - memorie bii imagine intrare ieire (I/E): 1000; - memorie bii interni: 4096; - memorie bii interni memorai: 2048; - memorie bii sistem i bii afectai GRAFCET;

- memorie cuvinte interne simple, dependent de configurare; - memorie cuvinte interne duble, dependent de configurare.

Fig. 9 Organizarea structural a unui AP 2.2 INTERFEELE AP Interfeele realizeaz - prin modulele I / E (intrri / ieiri) - adaptarea n nivel, punerea n form i izolarea galvanic a semnalelor. Modelele mai noi conin i uniti de conversie analog/numeric i numeric/analogic, de numrare rapid, achiziie numeric, poziionare, cuplare n reele etc, sub controlul microprocesorului local i al celui principal, prin ntreruperi . Module I / E numerice Intrri numerice - fig. 10 Nivele ale tensiunii de intrare: 24, 48 Vcc sau Vca; 220 Vca; curent de intrare 10 - 20 mA. Filtrul de intrare limiteaz frecvena semnalelor de intrare la max 20 - 50 Hz. Modulele de intrare numeric mai pot conine: - elemente de adaptare i protecie; - elemente de punere n form semnale (mbuntire fronturi prin triggerare); - elemente de vizualizare stri canale. Ieiri numerice sunt de 2 tipuri: - Dinamice (cu comutri prin contacte de releu) - fig. 3.5. Tensiuni compatibile: 24Vcc 220 Vca; Cureni: 2...3 A / 220 Vca. Timp de rspuns: 20 40 ms. Contactele la ieire pot fi i normal nchise. - Statice - fig. 3.6. Pentru sarcin n c.a. se utilizeaz triacuri iar n c.c se folosesc i ieiri pe tranzistor. Cureni:1 2 A; Tensiuni: 220 Vca.; Timp de rspuns:1 ms 20 ms. Puterea pentru acionori este furnizat de o surs exterioar. Unele ieiri numerice sunt prevzute cu o legtur invers de protecie, ca n exemplul din fig. 11 Intrri analogice - fig. 12 Sunt de dou tipuri: - intri detectoare de prag; - intrri pentru convertoare A/N. Se poate face n general reglajul domeniului, iar la unele modele este posibil liniarizarea unor semnale de la traductoare. Exist canale specializate, putndu-se, de exemplu, conecta sonde rezistive, termice. Ieiri analogice - fig. 13 Sunt definite dup gama de semnal (unificat de obicei): - [0 10] Vcc;

- [4 20] mA. Aceste module permit realizarea funciilor de reglare cnd elementele de execuie sunt sisteme de acionare reglabile sau alte instalaii cu referin continu. Adresare module Fiecare intrare i ieire posed un cod distinct. Se utilizeaz proceduri specifice pentru schimbul informaiilor ntre module I/E i imaginile memorie I/E, permind achiziia ciclic a intrrilor i actualizarea ieirilor. Codificarea explicit - la automate simple. Adresele sunt fixe, date de constructor printr-o tabel. Ex. Tlmcanique TSX 80. Codificare implicit - la automate modulare. Se definete prin poziia bitului n modul i a acestuia n rack (poziia geografic). Ex. 0, F - bitul F, modulul 0 (Tlmcanique TSX 47, TSX 47 - 20, TSX 47 - 30). Sau: 905 bitul 05, modulul 9. (Merlin Grin APRIL 5000). La acest tip de codificare, mutarea unei cartele I/E n rack conduce la schimbarea implicit a adreselor. Diferenierea intrare-ieire. Poate fi: - implicit, cnd UC face distincie ntre I i E pornind de la o codificare material a cartelei sau a modulului. Ex. PB 80, TSX 80. - explicit, cnd utilizatorul trebuie s completeze, printr-un simbol complementar, codul I/E. Ex. TSX47 I - intrare; I0,0 , I0,F; O - ieire; O7,4 APRIL 5000 % IX - intrare; % IX 100; % QX - ieire; % QX 904. 2.3 CICLUL DE FUNCIONARE TIP AL UNUI AP - ACHIZIIA INTRRILOR I ACTUALIZAREA IEIRILOR Ciclul de funcionare tip al unui AP se poate realiza prin dou moduri: - Implicit (transparent). UC controleaz direct, ciclic aceast funcie. Este varianta care se impune - fig. 3.10. La fiecare ciclu de scrutare, informaiile I i E sunt schimbate, global i simultan ntre modulele I/E i imaginile memorie corespunztoare. Luarea n considerare a schimbrii strii unei variabile de intrare nu este posibil n cursul unui ciclu de scrutare. Ex. TSX 47; 47-20; 47-30; PB 80; APRIL 5000.

Fig. 10 Canal de intrare numeric.

Fig. 11 Canal de ieire numeric cu releu.

Fig. 12 Canal de ieire numeric cu triac.

- Explicit. Achiziia intrrilor i actualizarea ieirilor depind de instruciuni plasate de utilizator n program. Comutrile se fac separat sau simultan, la parcurgerea instruciunilor respective. Ex. TSX 80. Instruciunea x [ ] actualizeaz I/E, utilizatorul punnd ntre paranteze codul (adresa) modulelor. Observaie: unele automate (evoluate) recunosc ambele tipuri de acces (ciclic i imediat). Ex. TSX 47-30: READBIT; WRITEBIT; APRIL 5000: IN; OUT. Ciclul de scrutare este dependent de configuraia AP:

Fig. 13 Ieire numeric cu reacie.

Fig. 14 Canale de intrri analogice.

Fig. 15 Structura tip a unui canal de ieire analogic. a. Automate monoprocesor (monoprelucrare, monofuncie) - fig. 17 Ciclul de scrutare este controlat n ntregime de un P i are cu durat de la cteva ms pn la 100 ms, dup mrimea programului. Supravegherea acestui ciclu se face printr-un sistem de tip watchdog ("cine de paz"). Dac durata lui depete o valoare fixat, un bit intern este activat (n general comutat), un contact - disponibil la riglet - comut i el, iar la unele automate se poate determina oprirea ciclului de scrutare. b. Automate multiprocesor (multifuncie) Structura din fig. 18 determin o funcionare tip multiprelucrare (multi-tasking), un task corespunznd tratrii unei funcii de automatizare bine determinat i specific. Periodicitatea executrii fiecrui task depinde de specificitatea sa. Ele sunt executate n paralel sub controlul procesorului principal (supervizor) n funcie de un ir de prioriti stabilite de constructor fig.19. Task-ul de baz se execut sistematic la fiecare ciclu de scrutare, corespunznd ciclului de scrutare de la AP monofuncie cu gestionarea I/E la nceput i sfrit de ciclu. n general aceste echipamente sunt multilimbaj: reele de contacte, GRAFCET, literal etc. Ele sunt echipate cu module I / E inteligente. Ex. TSX 67 - 87 Tlmcanique. Uneori este evident partajarea sarcinilor ntre echipamentul industrial (AP) i o extensie tip microcalculator cu rol de terminal inteligent pentru operator, ca n fig.20.

Fig. 16 Exemple de cicluri de scrutare. 2.4 AUTOMATE PROGRAMABILE N STRUCTURI IERARHIZATE Pe msura dezvoltrii structurilor de producie automatizate (maini asociate prin transfer, celule de producie, linii automate, ateliere i secii automatizate - informatizate), configuraia prii de comand (PC) a devenit mai complex. La fiecare main sau subansamblu de linie, se asociaz propria PC (AP), dispus n imediata apropiere pentru facilitarea interveniilor (punere n funciune, ntreinere etc). Dezvoltarea acestei configuraii creeaz premisele formrii sistemelor integrate de producie - fig. 3.15, 3.16. Aspectele care sunt importante pentru sistemele multiprocesor i reelele de AP sunt: Repartiia task-urilor procesor - interfa. n afara repartiiei "inteligenei" ntre procesoarele sistemului (P principal, P de reglare, P de comenzi specializate - de ex. pentru poziionare) care permite o evident decompoziie funcional ce amelioreaz performanele, se autorizeaz o punere n funciune progresiv i o urmrire (chiar depanare) mai comode; o alt problem care trebuie analizat la configurarea unei aplicaii este repartizarea task-urilor n raport cu interfaa, mai exact cu module inteligente ale acesteia. Dup specificitatea sau repetivitatea ciclurilor tehnologice, se pot distinge diferite variante. O configuraie judicioas se bazeaz pe cunoaterea: - traductoarelor i preacionorilor (specializai) disponibili; - modulelor specializate ale AP; -posibilitilor software.

Fig.17 Organizare monoprocesor

Fig. 18Automat multiprocesor.

Fig. 19 Organizare execuie task-uri la AP multiprelucrare.

Fig. 20 Structur de prelucrare de tip biprocesor Comunicaii, legturi, terminale. AP poate realiza urmtoarele tipuri de comunicaii: 1 - cu terminale de programare (la punerea la punct a aplicaiei); 2 - cu detectori i elemente de execuie - prin programul specific aplicaiei; 3 - cu terminalele de exploatare (dialog om-main). Intervin mai multe tipuri de dialog: - Dialogul de conducere (realizat prin butoane, lmpi, comutatoare, tastaturi, terminale de exploatare fixe sau mobile, elemente de afiare mesaje). Sunt afiate valori ale mrimilor urmrite, stri sau situaii anormale. Mesajele sunt memorate i apelate de program. - Dialogul de reglaj. Se selecteaz prin comutatoare i terminale de exploatare diferite opiuni, temporizri etc. n scopul ameliorrii sau adaptrii la aplicaie a programului. - Dialogul de depanare, constituie un ajutor n diagnosticarea strilor de nefuncionalitate. Terminalele (de obicei amovibile) pot afia etapele de oprire ale mainii, pot facilita diferite accesri etc. - Dialogul de coordonare - supervizare. Intervine n structurile de comand automat a mai multor maini, fiecare echipat cu un AP. Sunt vehiculate informaii simple (starea unei maini, sfritul unei operaii, defect la o celul) sau complexe (tipul operaiilor efectuate i al produselor, informaii de gestiune etc.) sub form serial sau paralel. Sistemul de supervizare include:

- un terminal (ecran-tastatur) pentru dialogul om-main; - o imprimant pentru jurnalul de activitate; - o unitate de stocare informaii.

Fig. 21Structur de producie cu AP.

Fig. 22 Integrarea automatelor ntr-o ntreprindere informatizat.


Se afieaz indicaii de stare a produciei i mainilor, opriri, cauze i localizri de defecte, indici statistici. Se pot introduce / modifica referine. Pentru fiecare tip de informaie se apeleaz pagini ecran cu structur distinct. ntr-un dialog de coordonare supervizare sunt asigurate urmtoarele funcii: - Lansare producie (variante, cantiti, n ce ordine); - Funcia de reglare - se fac reglaje automate i se indic reglajele manuale necesare; - Funcia de dialog de conducere - afieaz procedurile n curs (mers normal, etape de pregtire, teste), lansarea procedurilor, conducerea celulelor, supraveghere; - Funcii de avertizare-prevenire relative la timpii de funcionare ai unor subansamble, cicluri de ungere etc; - Urmrirea defectelor (maina, subansamblul, starea opririlor de urgen); - Diagnosticare; - Jurnale de funcionare (bilanuri) - la imprimant; - Statistici rebut (absolut, procentual, pe tipuri, uniti de timp); - Statistici opriri (pentru reglaje, datorit defeciunilor), n uniti absolute i procentuale, pe durate; - Arhivare evenimente.

Module de comunicaie (AP - terminale, AP - supervizor). Se utilizeaz n special legtura serial asincron (RS 232 C) ori varianta n contratact RS 422. RS 485 asigur comunicaii de bun calitate pe distane mai mari.. Modulul de legtur asigur punerea n form a informaiei, ns unitatea central este cea care gestioneaz comunicaia (debit, paritate, format i gestiune trafic). Emisia i recepia pot fi simultane sau alternate. Mai nou, AP se construiesc cu disponibiliti de integrare direct n reea prin protocoale de tip Ethernet, Modbus, Profibus, CAN etc. Tipuri de conexiuni: - Fir cu fir (ntre AP i captori, elemente de execuie, ntre AP-urile unei reele reduse); - Punct cu punct - ntre dou AP, ntre un AP i perifericile sale; - Multipunct - ntre AP i mai multe elemente de tratare a informaiei; - n reea. Reelele pot fi n bucl (cu AP n noduri) sau distribuite n paralel la un bus (magistral) comun. Legturile se fac cu cablu coaxial, prin fibr optic i mai nou wireless (prin und radio). Dac echipamentele interconectate sunt de naturi diferite reeaua este eterogen i necesit interfee specializate pentru a asigura compatibilitate n comunicare. Reelele omogene leag AP de acelai tip sau compatibile. Comunicarea se face simplu, prin cuvinte sau blocuri de mesaj, cu ajutorul modulelor de cuplare n reea integrate n AP. Terminalele de programare permit acces direct la programele utilizator ale diferitelor AP conectate. 3.5 ELEMENTE CONEXE AP N INSTALAIILE AUTOMATIZATE Funcionarea (i iniial integrarea) unei instalaii condus prin AP presupune cunoaterea foarte exact a elementelor care furnizeaz informaii din instalaie (captori) i a elementelor de execuie activate de AP. 3.5.1 Captori Semnalele provenind de la captori pot fi : - logice - de la captori de tip contact, detectoare de proximitate, detectoare de distan (fig. 3.17 a) - numerice (paralel sau serie) - transmit poziia, presiunea, temperatura (fig. 3.17 b) - analogice (fig. 3.17 c) Captorii pot fi: - cu comutaie electromecanic fig. 3.18. - cu comutaie electronic (static): detectoare de proximitate (inductive pentru obiecte metalice, capacitive pentru obiecte nemetalice), detectoare fotoelectrice, magnetice etc. - fig. 3.19, 3.20. Detectoarele de proximitate inductive sunt construite dintr-un oscilator (al crui bobinaj este dispus n partea sensibil care trebuie s detecteze prezena, trecerea, defilarea unei piese), un etaj de triggerare i un amplificator de ieire. Cnd o pies feromagnetic este plasat n cmpul magnetic creat, curenii indui constituie o sarcin adiional care provoac diminuarea oscilaiilor, situaie sesizat de circuitul de prag i care comut etajul static (tranzitor, triac) final. Detectoarele capacitive pot sesiza prezena obiectelor izolante, lichide, pulverulente. Se compun dintr-un oscilator al crui condensator constituie faa sensibil. Cnd un material conductor sau izolant de permitivitate r > 1 este plasat n acest cmp, capacitatea de cuplaj se modific i provoac oscilaii. Conectarea la AP se poate realiza prin (fig. 3.19): - 2 fire: semnalul este transmis prin firele de alimentare. Se utilizeaz o sarcin pe circuitul intern al senzorului i AP sesizeaz dac circuitul este nchis sau nu. Conectarea se face direct la AP cu module de intrare care elimin efectul curenilor reziduali. - 3 fire (2 alimentare + semnal). Dac este necesar un rspuns rapid, intrrile standard ale AP nu sunt satisfctoare, ele comportnd o temporizare (10 ms) pentru eliminarea efectelor vibraiei contactelor. Detectoarele fotoelectrice fig. 3.20 sesizeaz ntreruperea unui fascicul luminos (n infrarou de obicei, cu semnal modulat pentru a elimina influena iluminatului ambiant) ntre un emitor i un fotoreceptor. Codoare de poziie (traductoare, codificatoare) - fig. 3.21 - liniare - fig 3.21a, traduc poziia unui element mobil prin tensiunea dat de un montaj poteniometric. Ex: pistoane, cilindri pneumatici. - rotative - fig. 3.21b; un disc este solidar cu elementul mobil i are piste marcate prin fante binare. Poziia curent este tradus cu elemente fotoreceptoare. Exemple de utilizare sunt n fig. 3.22. Codoarele de poziie pot fi absolute (cu fiecare poziie codificat distinct) sau incrementale relative, la care

important este numrul de impulsuri eliberate de la o poziie iniial, numr proporional cu deplasarea.

Detectoarele de cod de bare (fig. 3.23) sunt captori optici asociai cu un mic ansamblu de tratare care verific codul detectat, stocheaz informaia, o transmite la cerere aparatului. Se face deci o recunoatere grafic a obiectelor, acestea putnd fi de mai multe tipuri. Elementele de marcare permit organizarea proceselor de fabricaie i distribuie identificnd obiectele prin afectarea unui cod purtat de ctre o plcu ce nsoete obiectul (palet, crucior etc.). Produsul poate fi urmrit ca atare i / sau se poate specifica stadiul prelucrrii lui. Traductoarele de greutate / for (fig. 3.24) sunt construite pe baza unor doze (timbre) tensometrice care, n funcie de for, dezechilibreaz mai mult sau mai puin o punte Wheatstone. Semnalul analogic este convertit numeric local de dispozitivul de cntrire, iar acesta poate comunica cu AP prin canale numerice. Traductoarele de cuplu sesizeaz gradul de torsiune al unui arbore elastic montat ntre arborele conductor i cel condus. Traductoarele de presiune (monostrat, vacuostat) sunt alte tipuri de dispozitive tip captor pentru AP.

Fig. 3.17 Tipuri de semnale.

Fig. 3.18 Captori de tip limitator.

Fig. 3.19 Senzor de proximitate i conectare prin 2 sau 3 fire la AP.

Fig. 3.20 Senzori fotoelectrici.

Fig. 3.21 Codoare de poziie.

Fig. 3.22 Utilizare codoare de poziie.

Fig. 3.23 Citire n cod de bare.

Fig. 3.24 Cntar automat cu AP.

3.5.2. Elemente de execuie Elementele de execuie conectate la un AP pot fi preacionori (amplificatori intermediari de energie) i / sau acionori care activeaz direct instalaia sau procesul tehnologic - fig. 3.25. Tipuri: - Acionori electrici: motoare, electrovane, rezistene de nclzire, electromagnei, capete de sudur prin rezisten, prin ultrasunete, capete de decupare cu laser etc. Preacionori: contactoare, variatoare de vitez. - Acionori pneumatici: pistoane pneumatice. Asigur viteze de execuie ridicate. Preacionori: distribuitoare (electro) pneumatice. - Acionori hidraulici: pistoane, motoare (pompe). Necesit un grup generator de presiune. Asigur fore (cupluri) mari la viteze relativ reduse, ce permit un control precis al poziiei. AP poate comanda direct: - micromotoare; - lmpi de semnalizare; - electrovane de debit (P < 10W); - contactoare. AP comand indirect (prin elemente intermediare): - electrovane hidraulice (30 < P < 50 W); - cilindri hidraulici sau pneumatici; - motoare electrice sau hidraulice. La comanda prin contactoare, AP poate fi informat direct, printr-un contact auxiliar de starea (anclanat - declanat) a contactorului (fig. 3.26). Funcia de comutaie asigurat de AP este completat de: - funcia de secionare circuit, care izoleaz circuitul comandat pentru efectuarea unor intervenii; - funcia de protecie la scurtcircuit (fuzibile sau disjunctoare magnetice); - funcia de protecie la suprasarcini (releu termic). Configuraiile des ntlnite pentru comanda motoarelor electrice cu vitez constant sunt redate n fig.3.27. n configuraia 1, fiecrei funcii i corespunde cte un element distinct, iar AP poate dialoga cu fiecare. n configuraia 2, disjunctorul magnetic nlocuiete secionerul i fuzibilele. n configuraia 3, contactorul asigur funciile de comutaie, iar proteciile revin disjunctorului. n ultima variant toate funciile sunt integrate ntr-un singur element. n cazul comenzii motoarelor cu vitez variabil, AP trimite semnale direct la contactoarele de conectare (eventual cu ajutorul lor se schimb sensul de rotaie) i sub form analogic sau numeric la variatoarele de vitez. Reaciile spre automat intervin de la toate etajele: contactoare, variatoare, motor - fig. 3.28. Comanda instalaiilor electropneumatice Fiecare canal comandat poate fi completat cu o reacie numeric de tip TOT - NIMIC ce informeaz AP asupra poziiilor extreme ale pistonului (fig. 3.29). Aceast configuraie se poate repeta pentru fiecare element de execuie comandat (organizarea clasic). Mai nou, interfeele electropneumatice pot comanda direct cilindrii, fr intermedierea prin electrovane - fig. 3.30. Avantajele acestui sistem: - simplitate n racordare (suprimare riglet, conectori electrovane); - imunitate mai bun la perturbaii, fiind eliminat traseul circuitelor electrice AP - element de execuie. Alte posibiliti / configuraii (atipice) sunt posibile n funcie de disponibilitile unor AP i de necesitile impuse de instalaiile conduse. De asemenea se pot constitui structuri combinate n raport cu variantele prezentate. Ca o ncheiere a prezentrii elementelor hardware asociate AP i elementelor conexe, trebuie subliniat importana cunoaterii i respectrii caracteristicilor echipamentului, ale procesului i instalaiilor conduse. O implantare corect i eficient a AP nu este posibil fr documentaiile complete ale prii operative, ale prii de comand i fr a face o serie de evaluri legate de compatibilitatea structural, funcional i parametric a celor 2 pri. Automatele actuale sunt concepute pentru o funcionare intern transparent pentru utilizator. Acesta trebuie s cunoasc ns foarte bine elementele de interfaare cu instalaia electromecanic din punct de vedere al caracteristicilor funcionale, electrice, de conectare, de protejare i - nu n ultimul rnd, de extindere a lor fie din punct de vedere cantitativ (de exemplu creterea numrului de canale numerice) fie calitativ (cum poate fi introducerea ulterioar a unui modul de numrare rapid pentru impulsuri n sisteme de poziionare, a unui convertor analog - numeric sau altele). Mai nou, sunt folosite pe scar din ce n ce mai larg reele de automate; deci trebuie avute n vedere i elementele specifice de conectare i comunicare ntr-o asemenea structur.

Fig. 3.25 Acionori i preacionori asociai AP.

Fig. 3.26 Comand cu confirmare.

3.6 PROGRAMAREA AUTOMATELOR PROGRAMABILE Numrul mare al constructorilor de automate programabile determin coexistena mai multor tehnici i limbaje de programare concomitent cu o ofert bogat de pachete software de firm. Indiferent ns de particularitile constructive i funcionale, o bun parte din elementele de programare au trsturi comune. 3.6.1 Limbaje de programare. Aspecte generale Limbajele simbolice se subdivid n:

a. Limbaje de tip mnemonic - utilizeaz abrevieri ale termenilor care definesc operaii de achiziie a intrrilor, tratare a informaiei i activare a ieirilor. Ex: (Merlin Gerin): 010, 011: intrri OC 30 SI 010 020 : ieire OC 31 MU A00

Fig. 3.27 Comenzi de motoare cu AP.

Fig. 3.28 Configuraie complex de comand a motoarelor cu AP.

Fig. 3.29 Comand cu confirmare pentru cilindrii pneumatici.

Fig. 3.30 Comanda prin interfa electropneumatic.

OC 32 OC 33 OC 34 OC 35

SI/ MZ SI ET OCxx

011 SI,SI / : MZ, MU : A00 A00 : A00 020 ET : : adresa memorie

testare bit/ bit negat ("dac"- n limba francez) pune variabila la 1 respectiv la 0 (mets un, zro) variabil intern i logic;

b. Limbaje de tip boolean - se bazeaz pe implementarea ecuaiilor logice aferente n special unor structuri combinaionale. Ex1: (Renault): S5 E8 E9 + /E11 = A21 (S: etichet; E: intrri; A: aciuni). c. Limbaje de tip literal - apeleaz la construcii mnemonice similare cu ale unor limbaje de programare de nivel nalt: IF - THEN - ELSE , WHILE - DO etc. Partea literal (simbolic) se completeaz pentru inteligibilitate cu o serie de structuri i construcii grafice. d. Limbajele grafice s-au dezvoltat simultan cu posibilitatea utilizrii unor terminale de programare cu faciliti de vizualizare (tip display). Ele predomin n etapa actual practic la toate tipurile de AP i cunosc dou variante, n unele cazuri completdu-se. S-au impus: - limbajul cu contacte: ("LADDER" - termenul cel mai uzitat, "Contact Plan Programming", "Kontact-plan " - KOP, "Echelle"); permite implementarea structurilor combinaionale, secveniale, precum i a unor blocuri specifice schemelor de comand clasice: temporizatoare, numrtoare, programatoare ciclice etc. Unitatea de baz este constituit dintr-o reea cu dimensiuni relativ reduse (ex. 4 linii x 9 coloane). - diagrame de stri ("GRAFCET" = Graphe Fonctionnel de Commande Etapes-Transitions, "Sequential Function Chart "). GRAFCET se constituie ca o succesiune de etape i tranziii. Etapelor li se asociaz aciuni (uneori condiionate) iar tranziiilor condiii logice (receptiviti) pentru a trece sistemul n starea urmtoare. GRAFCET prezint avantajul c se poate utiliza practic n toate stadiile de descriere a funcionrii unei structuri automate, de la specificaii prin caietul de sarcini, la redarea n detaliu a elementelor utilizate (intrri, ieiri). Aciunile i receptivitile se descriu prin reele LADDER. Se menioneaz originea francez a instrumentului GRAFCET i utilizarea sa pe scar internaional (n prezent norm CEI), similar cu preluarea LADDER - ului (de origine american) de ctre toate firmele constructoare de AP. O prezentare panoramic a limbajelor de programare asociate diferitelor mrci de AP : a.Tlmcanique (grupul Schneider) - Frana, produce familia de automate programabile TSX. Pentru aplicaii clasice de automatizri sunt disponibile pachetele de programe: PL 7-x. PL 7-1: limbaj boolean; ex. de mnemonice: L (load ) - citete stare bit, A (and), O (or ), XO (xor ), (Pulse) - elaborez un impuls, N (Neg.) - inversare variabil etc. PL 7-2: limbaje LADDER, GRAFCET. PL 7-3: limbaje LADDER, GRAFCET, LITERAL (structurat) b. Siemens - produce automate n seria SIMATIC (versiuni : S 5, S7). Limbajul de baz este livrabil sub denumirea STEP (5, apoi 7) i are urmtoarele componente : - limbaj literal STL (Statement List ) - limbaj LADDER - limbaj GRAFCET - CSF (Control System Flowchart )

c . Allen - Bradley - produce automate programabile n seriile SLC 500 (Small Logic Controllers) - seria de echipamente mici i PLC - 5 - seria de automate medii mari. Automatele mici pot fi programate prin: - limbaj LADDER; - limbaj literal , cu asociere de structuri grafice. Exemple de mnemonice: XIC (Examine If Closed ) - testare bit; XIO (Examine If Open ) - testare bit; OTE (Output Energize) - activare ieire; TON (Time On - Delay ) temporizare; CTU (Count Up) - numr comutri; MUL (Multiply) multiplicare; MOV ( Move ) transfer. Exist intruciuni pentru: tratare intrri/ieiri, temporizare-numrare, tratare ntreruperi, comparare, operaii aritmetice i logice, transfer, manipulare fiiere, salturi, tratare bii. Automatele medii-mari folosesc preponderent limbajul GRAFCET i limbajul LADDER. Au disponibiliti (instruciuni) puternice pentru prelucrri aritmetice (calcule n virgul mobil), implementare algoritmi de reglare (PID), analiz statistic proces etc. d. Omron - produce familia SYSMAC - C. Sunt utilizate limbajele LADDER i simbolic (mnemonice). Exemple de mnemonice / instruciuni: LDtestare varibil direct; SDEC-decodificare 7 segmente; NOT-testare variabil negat; MLPX-multiplexare; OUT-activare ieire; ROOT-radical; CNT-numrare; KEEP-releu bistabil; DIV mprire. e. AEG - produce automate programabile ncadrate n familia MODICON. Seria A, prin pachetul Dolog AKF, este programabil prin lista de instruciuni, LADDER i GRAFCET, iar seria 984 utilizeaz diagramele LADDER i o form grafic bazat pe blocuri de funcii. Instruciunile acoper o zon larg, de la funcii logice i aritmetice standard, la liniarizri i algoritmi PID. Unele echipamentele pot fi programate n limbaje de nivel nalt - C. Diagramele cu blocuri de funcii combin ntr-o reprezentare grafic unic, utilizarea structurilor logice cu a elementelor (blocurilor) funcionale: registre, timere, numrtoare, comparatoare. f. GE - Fanuc produce familia (seria) 90. Practic toate automatele se pot programa prin diagrame cu blocuri de funcii i limbaj literal. Majoritatea (serile 90-30, 90-70 ) posed i instruciuni de prelucrare complex, exemplu PID. Subfamilia 90-70 accept programare structurat i n limbaj C, iar prin pachetul / interfa de control proces CIMPLICITY 90 sunt disponibile structuri de tip WINDOWS. Ca o evaluare global, se poate observa pe de o parte utilizarea cvasi-general (la toate categoriile de echipamente i majoritatea firmelor) a limbajului LADDER, iar pe de alt parte capacitatea de integrare a elementelor structurale i funcionale dintr-o automatizare prin limbajul GRAFCET. Se mai constat tendina de utilizare a unor limbaje de nivel nalt i a unor tehnici bazate pe o grafic conversaional, aspect explicabil prin faptul c sistemele de producie integrate (CIM) includ automatele programabile n reele ierarhizate i distribuite, programarea unitilor nemaifcndu-se local, ci de la echipamente puternice. Extinderea, accesibilitatea utilizrii precum i posibilitile puternice asociate limbajelor LADDER i GRAFCET justific o tratare detailat a acestora n continuare. Pentru o prezentare inteligibil, cursiv i unitar, majoritatea elementelor asociate acestor limbaje de programare vor fi referite la echipamentele din seria TSX Tlmcanique. 3.6.2 Variabile de operare Sub aceast denumire vor fi cuprinse toate variabilele program, incluznd prin abuz de limbaj i mrimile constante (inclusiv cuvinte constante). n acest sens larg, fiecare entitate cu care lucrez programul (imagini ale intrrilor/ieirilor, bii/cuvinte sistem, blocuri funcionale, stri ale automatului) posed un identificator atribuit de constructor sau de utilizator. n unele cazuri apar explicit n program i identificatori asociai unor parametri ai blocurilor funcionale. Numrul variabilelor de operare este limitat fie n mod explicit fie prin dimensiunea memoriei program. De asemenea numele rezervate pentru fiecare categorie sunt limitate, iar organizarea memoriei (de obicei la firma constructore) aloc spaii (zone) distincte. a. Bii interni - variabile binare utilizabile pentru memorarea unor stri (valori) logice intermediare. Ex: TSX 47: B00 - B 255; April 5000: % MXO - % MX 4095. Exemplu de utilizare: variabile de trecere dintr-o linie n alta sau dintr-o reea n alta (LADDER).

b. Cuvinte interne - variabile constituite din 8 sau 16 bii, utilizabile pentru memorarea unor valori (stri). Ex: TSX 17-20: W0-W127; APRIL 5000: % MWO- % MD???? (pn la limita memoriei disponibile) cuvinte interne duble. Tratarea informaiei la nivel de cuvnt (word, de aici abrevierea W) este utilizat pentru: efectuarea operaiilor cu valori numerice (operaii aritmetice, conversii, tratarea informaiei provenite din exterior - comutatoare decadice, traductoare analogice); lucrul cu blocuri de funcii (valori preselectare temporizatoare, numrtoare, valori curente - de evoluie pentru aceste blocuri, dialog cu periferice prin schimb de mesaje alfanumerice). Dup codul numeric utilizat, variabile de tip cuvnt intern necesit identificatori diferii. Ex: TSX 17-20: n zecimal - valoarea ca atare; n hexazecimal: H'07C2'; n binar L'1101100000011100'. Transferarea unei valori la un cuvnt intern se poate face de ctre utilizator prin: - proceduri specifice definirii unor blocuri (de exemplu, valorile de prescriere de la numrtoare); - operaii de transfer propriu-zise. c. Cuvinte constante - permit memorarea unor valori constante, asociate de obicei unor moduri de configurare. Ex: CWO - CW6143. d. Cuvinte indexate - indexarea cuvintelor const n adugarea coninutului unui cuvnt intern la adresa unui alt cuvnt intern sau constant. Ex: TSX: W5 (W120); W5 cuvnt intern, W120 cuvnt intern index. Dac W120 = 08, atunci W5 (W120) corespunde cuvntului de adres W5 + 08 = W13. Modificnd coninutul indexului se pot parcurge succesiv cuvintele unui tabel. e. Bii imagine intrri / ieiri - asociaz unor locaii de memorie valorile binare ale intrrilor i ieirilor la fiecare ciclu de scrutare. Adresarea se face distinct pentru intrri i ieiri. Ex: TSX 17-20: I0,5 - intrare modul 0, canal5, O1,6 - ieire modul 1, canal 10. f. Bii sistem - variabile logice utilizate pentru: definirea strii de funcionare a automatului, urmrirea execuiei programului, faciliti legate de scrierea programului. Starea acestor bii se modific de ctre unitatea de comand n timpul execuiei programului sau de ctre utilizator - prin program i proceduri de setare. Ex. - TSX 17-20: SY00: (pornire "la rece"). Normal pe zero, este comutat dup o revenire a alimentrii cu pierderea datelor. De asemenea poate fi setat de utilizator n mod iniializare. Starea pe 1 a sa determin o tergere general (bii I/O, bii interni, valori curente) i preluarea valorilor iniiale de ctre parametrii funciilor. SY01: (pornire "la cald"). Normal pe zero, este comutat pe 1 dup o revenire a alimentrii fr pierderi de date (datorit unui acumulator de salvare). Comutarea pe 1 determin resetarea numai a biilor de ieire i interni nedeclarai salvabili. Ciclul de scrutare este reluat din punctul n care a disprut alimentarea. SY03: actualizare ceas de timp real. SY05-SY07: baze de timp (100 ms, 1s, 1min). SY09: normal pe 0, setat nu mai permite activarea ieirilor n RUN. SY10: diagnostic intrri / ieiri disjunctate dup 10 secunde. SY13: stare acumulator (baterie). SY17: depire (carry) la adunare i decalaj circular bii. SY18: depire aritmetic. SY19: inhibare task rapid. SY20: depire index. SY21: iniializare GRAFCET - etapele active sunt dezactivate iar cele iniiale sunt activate. SY22: dezactivare etape GRAFCET (toate etapele). La automatul April 5000 se folosete terminologia de "eveniment sistem" - % ES. Ex: % ES2: punere sub tensiune (Off - On). Pentru controlul I/O, se asociaz entiti denumite "evenimente interfa" - % EI. g. Cuvinte sistem - permit realizarea unor funcii de tipul: ceas de timp real; afiare n binar sau BCD a unor valori / cuvinte; protejare programe la multiplicare. Ex: TSX17- 20: SW17: conine suma de verificare (checksum) RAM. SW18: checksum cartu EPROM. Permite realizarea unei proceduri de mpiedicare a duplicrii unor programe, n conjuncie cu SW17. SW41: memoreaz durata cea mai lung a unui ciclu. SW50-SW53: valori pentru ceasul de timp real.

SW54-SW57: valori pentru memorarea datei ultimului defect de reea. SW58: ora curent. SW59: data curent. h. Bii i cuvinte asociate blocurilor de funcii. i. Bii i cuvinte asociai GRAFCET. n afara identificatorilor de etap - tranziie, fiecrei etape i se poate asocia (ex. TSX 17-20) un cuvnt (X i, v) coninnd durata n secunde a etapei. Acesta poate fi util pentru controlul temporizrii asociate unei tranziii. 3.6.3 Programarea n LADDER Prezentarea se va exemplifica prin pachetul pentru automatele TSX. Schema cu contacte este constituit din mai multe linii orizontale coninnd simboluri grafice de test (contacte), de aciune (bobine) i pentru blocuri funcionale: temporizatoare, numrtoare, monostabile etc. Schema este fracionat n reele cu numr redus de linii (4) astfel nct s poat fi vizualizat la terminalul de programare o singur reea la un moment dat. Fiecare reea are asociat o etichet (label). Simboluri grafice de test: - conexiune orizontal: - conexiune vertical: - contact direct: test al strii bitului asociat: - contact invers: test al strii inverse a bitului asociat: Simboluri grafice pentru aciuni: - transfer direct: - transfer invers (transfer inversul rezultatului logic n bitul adresat): - setare bobin (cu memorare pn la reset): - resetare bobin (cu memorare pn la set): - salt (jump) la o reea desemnat prin eticheta respectiv: - bobine "salvate" n cazul pierderii alimentrii: Simboluri grafice pentru blocuri de funcii:

Simboluri pentru blocuri de operaii: -[<]Comparaii: <, > , < >, < =, > =, =. -[OPER.]- Operaii aritmetice : +, -, x, /, modulo; Operaii logice: SI, SAU, XOR; Operaii de conversie: BCD BIN, ASCII BIN; Deplasare circular registre; Transfer iruri de bii sau tabele cuvinte. Ex. 1 (TSX 17-20) - fig. 3.31 se refer la comanda de pornire reversibil cu automeninere a unui motor. Dup cum se observ nu trebuie neaprat realizat o asociere direct ntre un contact normal nchis i simbolul de test al contactului invers din reeaua LADDER. Automatul execut reelele de contacte unele dup altele, n ordinea introducerii lor n program. Un LADDER poate fi modificat sau completat cu reele noi (prin inserare). Pentru o anumit reea, ordinea de tratare a zonei de test este specificat de constructor. De exemplu la TSX 17-20, se citesc strile contactelor pe coloane, ncepnd din stnga. Ciclul de scrutare (zeci - sute de ms) are structura din fig. 3.32. Se observ c dac un bit intern sau de ieire este comandat n mai multe locuri din program, se actualizeaz starea comandat la ultima referire n LADDER. n schimb, strile logice ale biilor de ieire i interni pot fi citite de mai multe ori n program. Ex: Dac O 0,5 apare n reelele de contacte 2 i 6, la actualizarea ieirilor se va comuta starea ieirii O 0,5 conform ultimei reele executate, reeaua 6.

Programarea blocurilor (TSX 17 - 20) Blocuri temporizatoare. n fig. 3.33 intervin urmtorii parametri de programare: Ti , P: valoare preselectat; Ti , B: cuant de timp (1min ; 1s ; 0,1s ; 0,01s ); t = Ti, P x Ti B; i: numrul blocului Ti, P este ncrcat n valoarea curent Ti, V cnd E = 0. n timpul t, E trebuie pus la 1. Comanda C lanseaz temporizarea cnd este 1, dup care revenirea la zero determin reinerea valorii curente Ti, V. Dup t, D devine 1 iar R = 0. Diagrama din fig. 3.33 explic comportarea blocului pentru toate combinaiile de comenzi. Biii Ti, D i Ti, R asociai ieirilor D i R pot fi testai (citii) de program la fel ca biii I/O. Tot n fig. 3.33 este inserat o reea LADDER care folosete un temporizarator. T0 realizeaz o temporizare de 2 sec. (cu o precizie de 10 ms = cuanta de timp). B0 este un bit intern; I0,X constituie intrri iar O0,5 ieire. Monostabile. Se elaboreaz un impuls cu durat programabil. Mi, P: valoare prescris; Mi B: cuant de timp (la fel ca la temporizator). tM = Mi, P x Mi, B; n timpul evoluiei monostabilului, S nu trebuie meninut la 1 - fig. 3.34. Deci lansarea funciei M se face pe frontul ascendent al comenzii S. Starea bitului Mi,R asociat ieirii R, se poate testa de ctre program la fel ca un bit I/O. Numrtoare. Se pot numra impulsuri (evenimente) nainte sau napoi ori simultan n ambele sensuri, n funcie de comutrile survenite la intrrile U i D. P=1: valoarea preselectat Ci,P este ncrcat n valoarea curent Ci,V. P=0: numrtorul poate evolua. Semnalele asociate sunt redate n fig. 3.34. E devine 1 cnd Ci,V trece de la 0 la 9999 (pe D) - similar cu Borrow. F devine 1 cnd Ci,V trece de la 9999 la 0 (pe U) - similar cu Carry. Biii (Ci, D), (Ci, F) i (Ci, E) asociai numrtorului Ci pot fi testai prin program. 3.6.4 Programarea n GRAFCET 3.6.4.1 Elemente generale Se utilizeaz 3 elemente grafice de baz: - Etapa (starea) corespunde unei comportri invariante a automatului n raport cu intrrile i ieirile. - Tranziia: indic posibilitatea trecerii de la o stare (etap) la alta n condiiile realizrii unor anumite condiii (receptiviti). - Legturile: arat cile de evoluie ale sistemului. Pot fi orientate (prin sgei) sau nu. Etapele sunt numerotate i li se asociaz un bit Xi. Xi = 0: etap inactiv; Xi = 1: etap activ (aciunile asociate sunt executate). Receptivitile sunt funcii logice n care intervin variabile de intrare, de etap, interne, de ieire etc. O tranziie este validat dac toate etapele imediat precedente sunt active. Alte reguli: - Realizarea unei tranziii dezactiveaz simultan toate etapele imediat precedente conectate la aceast tranziie i activeaz toate etapele imediat urmtoare conectate cu aceasta. - Durata unei etape nu poate fi zero pentru c durata de ndeplinire a unei tranziii este limitat inferior de fenomene fizice. - Dac n timpul funcionrii o aceeai etap trebuie s fie simultan activat i dezactivat, ea rmne activ.

Fig. 3.31 Transpunerea in limbaj LADDER a unei scheme cu contacte i relee.

Fig. 3.32 Structura ciclului de scrutare pentru programarea n LADDER.

Fig. 3.33 Lucrul cu temporizatoare n LADDER / TSX.

Fig. 3.34 Blocuri monostabil i numrtor pentru LADDER / TSX.

Simboluri grafice utilizate: - etap iniial. Este activ la nceput de ciclu. Cel mai des semnific starea de repaus, fr nici o aciune asociat. Dac are asociate aciuni, ele se descriu printr-un LADDER n zona de tratare Posterioar. Observaie: iniializarea sistemului const n trecerea dintr-o situaie vid (nici o etap activ - nici o evoluie posibil) la o stare iniial prin comand operator sau comand de la alt structur de comand. - etap. O etap devine activ dac: - etapa din amonte este activ; - condiia de tranziie amonte este ndeplinit. Etapele sunt tratate n zona Secvenial a programului, iar aciunile asociate (descrise prin LADDER) n zona Posterioar. Exist automate la care aciunile sunt programate n limbaj literal. - etap activ la un moment precis al funcionrii. - tranziia: separ obligatoriu 2 etape i permite trecerea de la una la urmtoarea. Descrierea receptivitilor (sau a condiiilor de tranziie) se efectueaz prin LADDER tratat n zona program Secvenial. - receptivitate satisfcut totdeauna (asociat relurii automate a ciclului). - activare simultan de etape sau dezactivare simultan. - divergen (ramificaie) la diferite etape, una singur fiind cea spre care evolueaz sistemul; - convergen (sfrit ramificaie). - legtur orientat 3.6.4.2 Structuri tip Diferitele tipuri de GRAFCET vor fi ilustrate prin exemple concludente. Structurile descrise separat se pot considera i ca pri dintr-un GRAFCET complex. A. Secvena liniar (unic) Structura este compus dintr-o succesiune de etape care vor fi activate sau dezactivate una dup alta. O aplicaie este asociat cu fig. 3.35. Ciclul de funcionare al unei maini de gurit cuprinde etapele:

Fig. 3.35 Instalaia comandat cu AP i GRAFCET - ul liniar asociat.

- strngere pneumatic pies; - gurire; - eliberare pies. Intervin urmtoarele comenzi i semnale de control: - a1: manocontact (senzor presiune). Confirm strngerea de ctre pistonul P; - a0: piston retras; - b0: burghiu sus; - b1: burghiu la cota final de gurire; - c, d: comenzi Start (cu dou mini simultan); - a+, a -: comenzi la distribuitorul pneumatic pentru strngere, respectiv slbire; - b+, b -: comenzi la distribuitorul pneumatic pentru avans / retragere cap gurire. B. GRAFCET cu divergen (ramificaie) Secvenele cu ramificaie ofer posibilitatea mai multor evoluii la un moment dat, dintre care numai una este realizat. Deci, n general, condiiile de evoluie sunt exclusive unele n raport cu altele. O aplicaie este asociat cu fig. 3.36. O instalaie de ridicat funcioneaz astfel: n stare de repaus este semnalizat disponibilitatea instalaiei prin ieirea O0,02. Limitatorii de curs sus (I 0,04) i jos (I 0,02) dau informaia pentru poziiile extreme. Butonul "Start" I 0,03 de la etajul inferior comand urcarea, iar butonul "Start" I 0,05 de la etajul superior comand coborrea. Evident c dac instalaia este n ateptare jos, nu poate dect urca, iar dac este n ateptare sus nu poate dect cobor. C. GRAFCET cu secvene simultane (paralelism structural) Intervin structurile din fig. 3.37. Activarea i dezactivarea simultan a mai multor secvene paralele necesit utilizarea unor etape de sincronizare (de ateptare). O aplicaie este asociat cu fig. 3.38. Un transfer de piese de pe o band (1) pe alta (2) se realizeaz cu ajutorul a dou pistoane plasate perpendicular. Operaiile de avans i retragere ale celor dou pistoane se pot realiza simultan, adic retragerea P1 este comandat n acelai timp cu avansul P2. Etapele 5 i 7 sunt de sincronizare. Elemente speciale a. Funcia de temporizare fig. 3.39a. Cnd etapa este activ, se declaneaz un numrtor de impulsuri. Sfritul numrrii este determinat prin compararea coninutului cu valoarea preselectat. b. Considerarea fronturilor fig. 3.39b.

Fig. 3.36 Explicativ la aplicaia pentru GRAFCET cu divergen.

Fig. 3.37 Secvene simultane n GRAFCET.

c. Tipuri de aciuni - aciuni continui - Durata y = durata etapei X1 (fig. 3.40a); - aciuni condiionale - A este executat dac X2 este activ i dac B = 1 (fig. 3.40b). Utilizri: - oprire de urgen, prin inhibarea aciunii (sau aciunilor) n curs (fig. 3.40c); - realizarea unei comenzi ntrziate (fig. 3.40d). - realizarea unei comenzi de o durat impus. - aciuni memorate - o comand poate continua pe durata mai multor etape consecutive prin: - repetarea comenzii la fiecare etap; - utilizarea unei etape care va rmne activ n acelai timp cu etapele considerate; - utilizarea unei memorii auxiliare. d. Forarea (fig. 3.41): este utilizat i local dar mai ales n sensul n care un graf de nivel superior foreaz unul inferior adic activeaz i menine active anumite etape i le dezactiveaz pe toate celelalte. Forarea poate fi: continu, temporar sau condiional. e. Macroreprezentri fig. 3.42. Cu ajutorul macroreprezentrilor pri din GRAFCET, care la un moment dat nu sunt utile la nivel de detaliu, pot fi redate prin: - macroetape: reprezint condensat i n mod unic un ansamblu de etape i tranziii caracterizate printr-o etap de intrare (IN) i una de ieire (OUT). O macroetap se insereaz n graf ca o etap normal i respect regulile de evoluie. IN poate avea asociate aciuni dar nu i OUT. Realizarea tranziiei amonte activeaz etapa IN. Activarea etapei OUT valideaz tranziia aval, a crei ndeplinire dezactiveaz etapa OUT.

Fig. 3.38 Explicativ la aplicaia cu secvene simultane.

a. Fig. 3.39 Temporizri i fronturi n GRAFCET.

b.

a.

c. b. Fig. 3.40 Tipuri de aciuni n GRAFCET.

d.

Fig. 3.41 Forri n GRAFCET.

Fig. 3.42 Macroreprezentri pentru GRAFCET.

- subprograme (task-uri): se trece controlul la GRAFCET-ul subprogram, dup executarea cruia (sesizat prin activarea etapei finale) se revine n programul principal. Spre deosebire de macroetape, subprogramele pot fi apelate (aceleai) din mai multe locuri ale GRAFCET-ului. 3.6.4.3 Structurarea i tratarea unui program GRAFCET Ciclul de scrutare are structura din fig. 3.43. Programele GRAFCET sunt divizate n 3 zone: 1. Zona de tratare preliminar - conine toate instruciunile care nu depind de etapele GRAFCET. Intervin reelele de contacte pentru mers automat / manual, informaii relative la opriri de securitate etc. Programul din aceast zon este citit la fiecare ciclu de scrutare. 2. Zona de tratare secvenial - conine instruciunile GRAFCET: etape i tranziii. Receptivitile asociate tranziiilor se descriu prin LADDER n aceast zon. La fiecare ciclu de scrutare sunt citite numai etapele active i tranziiile valide, celelalte fiind ignorate. 3. Zona de tratare posterioar - conine reelele de contacte corespunznd aciunilor (comenzilor) asociate etapelor. La automatele TSX, cu ajutorul biilor sistem SY21, SY22 i SY23 se gestioneaz modurile de lucru (mers normal instalaie, oprire de securitate, mers cu comand manual pentru reglaje etc). SY21: iniializare GRAFCET - punerea la 1 printr-o bobin SET a acestui bit determin dezactivarea etapelor active i activarea etapei (sau etapelor) iniiale; SY22: resetare GRAFCET - punerea la 1 printr-o bobin SET a acestui bit determin dezactivarea tuturor etapelor. SY23: blocare GRAFCET - punerea la 1 printr-o bobin SET a acestui bit determin nghearea programului la etapa n curs (meninerea n activitate a etapelor deja active i meninerea dezactivat a celor inactive). Fig. 3.43 Ciclul de scrutare pentru GRAFCET. La sfritul zonei secveniale, aceti bii sistem sunt automat repui la 0. Urmtoarea aplicaie (fig. 3.44) pune n eviden zonele tipice ale unui program GRAFCET i

modul cum se folosesc reele LADDER pentru descrierea receptivitilor i a aciunilor. La comanda START CICLU, un crucior se deplaseaz spre dreapta pn la activarea limitatorului de curs LD. Rmne n repaus aici 5 sec i apoi revine spre stnga pn la extremitatea marcat de limitatorul LS. Tabelul 3.1 red asocierea variabilelor GRAFCET cu intrri i ieiri ale unui automat TSX 17-20. Tabel 3.1 S start ciclu LS limitator stnga LD limitator dreapta MD motor dreapta MS motor stnga I0,0 I0,1 I0,2 O7,0 O7,1

Fig. 3.44 Aplicaie cu evidenierea zonelor preliminar, secvenial i posterioar din GRAFCET.

3 EXEMPLU DE COMANDA NUMERICA A UNEI ACTIONARI ELECTROMECANICE


3.1. Problema de soluionat, restriciile impuse i etapele de sintez ale variantelor posibile Se propune ca sistemul de acionare electric s ndeplineasc urmtoarele condiii principale de funcionare: s permit pornirea motorului M numai prin acionarea butonului de pornire I, dac sunt ndeplinite n totalitate condiiile de pornire impuse de ctre sistemele de protecii specifice sistemului; s memoreze comanda de pornire;
(s comande pornirea motorului cu temporizarea de pornire dT propus i s semnalizeze optic pe durata temporizrii la pornire);

s semnalizeze optic punerea sub tensiune a motorului; s semnalizeze optic corespunztor motivul de refuz al pornirii; s menin motorul sub tensiune att timp ct sunt ndeplinite condiiile normale de funcionare ; s permit oprirea motorului M prin acionarea manual a butonului de oprire O, sau prin acionarea automat a subsistemelor de protecie; s semnalizeze optic corespunztor cauza deconectrii automate; s permit cicluri succesive de pornire-oprire a motorului M. s deconecteze automat sarcina la dispariia tensiunii i s nu repun automat sub tensiune consumatorul, la reapariia acesteia. 3.1.1. Soluia clasic cu contacte i relee Se propune, n prima etap, pentru modelarea condiiilor de funcionare ale contactorului C, respectiv ale motorului M, schema electric cu contacte i relee, figura 3.1, care va conine: un contactor electromagnetic de putere, C -pentru comutaia de putere a motorului M; butoanele I i O pentru comanda manual a contactorului C; subsistemele de protecie Umin -pentru controlul minimal al tensiunii electrice, Rscpentru controlul maximal n regim de scurtcircuit, Rss pentru controlul n regim de suprasarcina i Rtemp- pentru controlul efectiv al temperaturii din consumatorul de putere M; un contact K1 de blocaj, care s restricioneze accesul la circuitul de comand al acionrii. Funcia logic a circuitului de comanda al bobinei contactorului C, respectiv al motorului M va fi condiionat n totalitate de contactele cablate ale respectivului circuit, dup cum rezult din expresia:
C=(I+C)*O*Rtemp*Umin*Rss*Rsc*K1

(1) Pentru circuitul de semnalizri optice se sintetizeaz o schem electric corespunztoare fiecrui subsistem, n conformitate cu metodele clasice cunoscute (algebric, Quine McClusky, Tison, etc.)

T I O

C Rtemp.

Umin rel. min. tensiune C C C

C K1 Rsc Rss Umin

Rsc releu de scurtcircuit Rss releu suprasarcina

Rtemp.
M

releu termic

Fig. 3.1. Schema electric cu contacte i relee 3.1.2. Schema sistemic a circuitului de comand, control i protecie Aceasta este prezentat explicit n Fig. 3.2, n care sunt inventariate toate intrrile logice n sistemul Black-Box, n numr de opt, pe latura din stnga a acestuia, respectiv toate ieirile, n numr de opt, pe latura din dreapta a acestuia.
comanda pornire (I) comanda oprire (O)
R,S,T

semnaliz. pornire motor

rel. min. tensiune comanda pornire/oprire motor releu suprasarcina releu de scurtcircuit
M

semnaliz. contact blocare pornire (K1) semnaliz. temporizare pornire (K2)

rel.de temperatura semnaliz. releu minimal de tensiune semnaliz. releu de suprasarcina blocare pornie (K1) temporizare pornire (K2) semnaliz. releu de scurtcircuit semnaliz. releu de temperaura

Fig. 3.2. Schema sistemic a circuitului sintetizat

3.1.3. Implementarea unui circuit cu microprocesor n schema sistemic Din considerente de ordin didactic n aceast etap s-a elaborat o soluie de comand logic i de control cu microprocesor ce utilizeaz kit-ul procesor 14.000A, [.] echipat cu microprocesorul de un bit MMC-4500, Motorola.
K comanda pornire (I) R,S,T comanda oprire (O) rel. min. tensiune +5V semnaliz. prezenta tensiune (+5V) semnaliz. pornire motor comanda pornire/oprire motor

I1 A31, A32 Q0 I2 Q1 I3 Q2 I4 I5 I6 Kit procesor 14.1000A

releu suprasarcina releu de scurtcircuit


M

rel.de temperatura

Q3 Q4

semnaliz. contact blocare pornire (K1) semnaliz. teporizare pornire (K2) semnaliz. releu minimal de tensiune semnaliz. releu de suprasarcina semnaliz. releu de scurtcircuit semnaliz. releu de temperaura

blocare pornie (K1) temporizare pornire (K2) RS

I7 I8

Q5 Q6 Q7 Q8 A1, A2

A3

Fig. 3.3. Schema de conexiuni la KIT-ul Procesor 14.000A n Fig.3.3. se prezint explicit conexiunile de intrare-ieire, proiectate, la sistemul cu microprocesor de tip KIT Procesor14.000A, la care intrrile de date TTL sunt marcate cu I0, I1,. I15, iar ieirile logice cu Q0, Q1, Q15. In circuitul din fig.3.3 s-a prevzut o ieire suplimentar, pentru a permite i semnalizarea optic a punerii sub tensiune a microsistemului, dup alimentarea cu tensiune a acestuia i dup lansarea n execuie a programului e funcionare al aplicaiei proiectate, (portul Q0-semnaliz. prezen tensiune +5V). Schema explicit de interfaare TTL la sistemul KIT Procesor 14.000A este prezentat n fig. 3.4. Fiecare element informaional ofer un semnal logic TTL, la portul corespunztor, prin intermediul unor rezistene R de polarizare. De menionat c porturile de intrare ne utilizate ale kit-ului trebuiesc conectate la potenialul de referin al sursei, adic la masa circuitului electric. Porturile de ieire ale Kit-ului comand fie LED-uri de semnalizare optic, fie bobina unui microreleu. Activarea ori crei sarcini de pe un port de ieire se face cu semnal O logic la portul corespunztor.

K +5V

comanda pornire (I) 1 comanda oprire (O) rel. min. tensiune releu suprasarcina releu de scurtcircuit rel.de temperatura 0 temporizare pornire (K2) blocare pornie (K1) 0 0 0 0 0 0 0

9xR1 8xR2 I1 A31, A32 Q0

semnaliz. prezenta tensiune (+5V)

semnaliz. pornire motor I2 I3 Q1 comanda pornire/oprire motor

Q2 I4 Kit procesor I5 I6 I7 I8 I0 14.1000A Q3 Q4 semnaliz. releu minimal de tensiune Q5 semnaliz. releu de suprasarcina Q6 semnaliz. releu de scurtcircuit Q7 A3 A1, A2 Q8 semnaliz. releu de temperaura semnaliz. contact blocare pornire (K1) semnaliz. teporizare pornire (K2)

RS 1

Fig. 3.4. Schema explicit de interfaare TTL la sistemul KIT Procesor 14.000A 3.1.4. Algoritmul de funcionare al microsistemului 3.1.4.1. Kit-ul procesor 14.000A. Acesta este un automat programabil uor de programat i perfect adaptabil pentru numeroase proiecte de automatizare. Are la baz microprocesorul de un bit MMC 4500, n condiiile n care care un sistem de 8 bii ridic probleme de eficien i cost. Fluxul de prelucrare al datelor i de generare a comenzilor n Kit-ul 14000A este prezentat n figura 3.5

LD, LDC AND, ANDC OR, ORC XNOR IN UNITATE LOGICA REGISTRU REZULTATE RR STO, STOC

OUT

MULTIPLEXOR

DEMULTIPLEXOR

I0 I1 I2 I3 I4 I5

I15

Q0 Q1 Q2 Q3 Q4 Q5

Q15

Figura 3.5. Arhitectura microsistemului 14.000A

Strile logice ale intrrilor I0, I1, I2, I15 pot fi citite una cte una, prin intermediul unui circuit MULTIPLEXOR. Valoarea unei date de intrare se poate ncrca prin intermediul UNITII LOGICE n REGISTRUL DE REZULTAT (RR), aflat pe post de registru acumulator de un bit. Tot cu ajutorul UNITII LOGICE n REGISTRUL DE REZULTAT se pot ncrca diverse combinaii (I, SAU, NU, SAU-EXCLUSIV, etc.,) ntre o variabil de intrare i propria stare curent a registrului RR. Funciile unitii logice se aleg cu ajutorul uneia din urmtoarele instruciuni: LD, (LOAD)-ncarc data de intrare n RR; LDC, (LOAD COMPLEMENTAT)- ncarc data de intrare complementat n RR; AND-ncarc valoarea funciei logice (I AND RR) n RR; ANDC (AND COMPLEMENTAT)- ncarc valoarea funciei logice (I complementat AND RR) n RR OR- ncarc valoarea funciei logice (I OR RR) n RR ORC- ncarc valoarea funciei logice (I complementat OR RR) n RR XNOR (XOR NEGAT)- ncarc valoarea funciei logice (I+ OR RR complementat) n RR, sau mai explicit dac I=RR, ncarc 1 logic n RR. Coninutul registrului RR poate fi transferat la oricare din cele 16 ieiri Q0, Q1, Q2, Q15, printr-un circuit demultiplexor, ce conine la ieire un numr de 16 celule de memorie. Transferul de date la ieire se face cu una din cele dou instruciuni: STO, (STORE)-transfer valoarea coninut n RR la ieire; STOC (STORE COMPLEMENTAT) -transfer valoarea complementat a lui RR la ieire; La redactarea unui program, instruciunile de citire -adica de transfer a informaiei n RR, sau de scriere -adica de transfer a informaiei din RR, trebuie nsoite de adresa intrrii (respectiv ieirii) la care se face referire. Figura 3.5 prezint doar un detaliu al arhitecturii Kit-ului 14.000A, care pune n eviden operarea cu 9 din totalul de 16 instruciuni ale kit-ului. O imagine mai detaliat a arhitecturii Kitului 14.000A este prezentat n fig. 3.6. Pentru a fi urmrit mai uor, instruciunile sunt ncercuite. Prima noutate adus de schema din fig. 3.6 este apariia a dou registre IEN i OEN n fluxul de intrare-ieire al datelor. Scopul acestor registre este acela de a valida sau inhiba circulaia datelor ntre periferie (multiplexor, demultiplexor) i unitatea central (unitatea logic, registrul RR). Registrele IEN i OEN pot fi ncrcate cu starea logic a uneia din cele 16 intrri, I0, I1,.I15, acest lucru se face cu instruciunea IEN, respectiv OEN. Instruciunea IEN (INPUT ENABLE), ncarc registrul IEN cu starea logic a intrrii selectate prin program. Scopul instruciunii IEN este de a crea una sau mai multe intrri cu prioritate absolut n controlul procesului, indiferent de strile celorlalte intrri. Schimbarea strii registrului IEN s face cu o nou instruciune IEN. La iniializarea sistemului (la punerea sub tensiune sau la resetarea acestuia), registrul IEN se afl n starea logica O. Pentru validarea accesului datelor de intrare, este necesar ncrcarea lui cu starea logica 1. Pentru aceasta una din intrrile kit-ului (de exemplu I0) se conecteaz la +5V, pentru a avea permanent starea logic 1, iar programul trebuie s nceap cu instruciunea IEN I0

NUMARATOR

X1

CLOCK

X2

TEMPORIZARI T1 T4 T2

NOPO, JUMP RTN MEMORIE DE PROGRAM SKZ R1, C1 R2, C2 R4, C4

LD, LDC AND, ANDC OR, ORC XNOR UNITATE LOGICA REGISTRU REZULTAT RR STO, STOC

VALIDARE SCRIERE IEN IEN OEN OEN

MULTIPLEXOR

DEMULTIPLEXOR M M M M M M M

I0 I1 I2 I3 I4 I5 .... I15 Q0 Q Q2 Q3 Q4 Q5 .... Q15 1

Fig. 3.6. Arhitectura de detaliu a Kit-ului 14.000A Instruciunea OEN (OUTPUT ENABLE) ncarc registrul OEN cu starea logic a unei intrri selectate prin program. Deci, registrul OEN controleaz accesul datelor spre cele 16 ieiri ale kit-ului. n funcie de valoarea cu care este ncrcat apar dou situaii: Registrul OEN este 1 logic, datele circul neafectate de la registrul RR la ieiri; Registrul OEN este 0 logic, kit-ul procesor refuz sau ignor orice instruciune de scriere STO sau STOC. Scopul instruciunii OEN este acela de a crea o intrare sau mai multe intrri care s condiioneze comanda elementelor de execuie din proces. Schimbarea strii registrului OEN s face cu o nou instruciune OEN. La iniializarea sistemului (la punerea sub tensiune sau la resetarea acestuia), registrul OEN se afl n starea logica O. Pentru validarea accesului datelor de intrare, este necesar ncrcarea lui cu starea logica 1. Pentru a valida executarea instruciunilor STO sau STOC, este necesar ncrcarea sa cu starea logica 1 de la una din intrri (de exemplu I0) conectat la +5V, pentru a avea permanent starea logic 1, iar programul trebuie s nceap cu instruciunea OEN I0 Kit-ul procesor 14.000 A conine un circuit de memorie, vezi fig. 3.6, n care se afl nscris programul de aplicaie. Instruciunile sunt scrise succesiv, ncepnd cu prima adres din MEMORIE, n ordinea cresctoare a adreselor Pentru ca instruciunile s poat fi executate rnd pe rnd, intrrile de adrese ale memoriei sunt conectate la un circuit NUMRTOR, care primete impulsuri de tact de la un circuit oscilator, CLOKC i adreseaz memoria, ncepnd de la adresa 0 pn a adresa 255, dup care reia numrarea de la adresa 0 n mod ciclic. n

majoritatea cazurilor, programul de aplicaie are mai puin de 256 de instruciuni. Pentru a evita parcurgerea inutil a locaiilor libere rmase in memorie, Kit-ul procesor 14.000 A are instruciuni speciale care pot comanda numrtorului reluarea numrrii de la adresa 0 sau de la o alt adres de program. Aceste instruciuni se numesc INSTRUCIUNI DE SALT. Pentru a executa un salt n program sunt necesare dou instruciuni: NOPO si JMP. Acest lucru se datoreaz construciei kit-ului procesor. NOPO este o instruciune pregtitoare, ea trebuie scris naintea instruciunii JMP, care execut saltul propriu-zis. Instruciunile NOPO i JMP trebuie nsoite de numrul sau eticheta liniei de program la care se execut saltul. De reinut c instruciunea NOPO poate sta n program la mai multe instruciuni distan de instruciunea JMP, dar obligatoriu naintea acesteia. Pentru diversificarea posibilitilor de programare, Kit-ul procesor 14.000 A are i o instruciune de salt condiionat: SKZ (SKIP IF RR=0). Efectul instruciunii SKZ este urmtorul: dac registrul RR are valoarea 0, se sare (se ignor) urmtoarea instruciune de program. Spre deosebire de perechea NOPO-JMP, care execut salt necondiionat, la orice linie de program, instruciunea SKZ are o singur funciune-cea urmtoare ei i numai atunci cnd RR=0. Tehnica saltului condiionat echivaleaz folosirea instruciunilor IEN, OEN n probleme de decizie de tipul IF-THEN-ELSE. Alegerea tehnicii de programare este la dispoziia proiectantului de aplicaie. Apelarea subrutinelor este o tehnic de programare util atunci cnd fragmente de program se repet de un numr de ori. Tehnica presupune definirea unui astfel de fragment ca un program autonom, numit subrutin, i apelarea lui prin salt din programul principal, ori de cte ori este nevoie. La Kit-ul procesor 14.000 A saltul la subrutin se face tot cu perechea NOPOJMP, revenirea din subrutin n programul principal se face cu instruciunea RTN (RETURN). O subrutin se termin obligatoriu cu RET, aceast instruciune nu are nevoie de o adres auxiliar, deoarece adresa de revenire este memorat automat la executarea fiecrui apel de subrutin. Dup executarea instruciunii RTN se reintr n programul principal cu executarea instruciunii care urmeaz apelului de subrutin. In interiorul unei subrutine se interzice folosirea instruciunii JMP, face excepie apelarea celui de al doilea nivel de subrutin. Dou nivele de subrutin nseamn posibilitatea apelrii unei subrutine dintr-o alt subrutin. Kit-ul procesor 14.000 A ofer aceast facilitate. In acelai program, o subrutin poate fi att de nivel 1, cnd se apeleaz din programul principal, ct i de nivel 2, cnd este apelat dintr-o subrutin. Pentru oprirea temporar a activitii Kit-ului procesor 14.000 A se folosete instruciunea NOPF, urmat de unul din simbolurile T1, T2 sau T4. Aceast instruciune declaneaz un circuit monostabil pe kit, care blocheaz un imp tactul (clock-ul) microprocesorului. Duratele celor trei temporizri pot fi reglate cu ajutorul unor poteniometre aflate pe kit. Din punct de vedere constructiv Kit-ul procesor 14.000 A asigur temporizri astfel: T1=130ms1,3s; T2, T4=30ms300ms. Folosirea instruciunii NOPF este necesar la comanda unor elemente de execuie electromecanice, cu inerie la acionare i revenire. Pauzele n program pot fi folosite i la comanda unor elemente de semnalizare. Programele subprogramele pentru Kit-ul procesor 14.000 A sunt scrise n limbaj literar, cu mnemonicele corespunztoare instruciunilor i adreselor de execuie a instruciunilor. Pentru ca un program s poat fi neles i executat de ctre microprocesor este necesar compilarea lui din limbajul scris (surs, limbaj cu mnemonice sau de asamblare), n limbaj specific microprocesorului numit limbaj n cod obiect sau cod main. Codurile obiect suntnumere scrise n sistem hexazecimal:0,1,2,3,4,5,6,7,8,9,A,B,C,D,E,F.. Procedura de traducere a unui program surs n cod obiect se numete ASAMBLARE. Aceasta poate fi fcut manual, de ctre utilizator, sau automat, cu ajutorul calculatorului, utiliznd programe specifice de asamblare.

Pentru Kit-ul procesor 14.000 A se prezint instruciunile acestuia, codurile zecimale ale intrrilor i ieirilor, cu codurile obiect, scrise n sistem hexazecimal i binar. Algoritmul de funcionare al sistemului propus Algoritmul sintetizat i propus n fig.3.7 ofer urmtoarele faciliti secveniale programului de lucru al unitii cu microprocesor de tip Kit procesor 14.000 A. iniializarea porturilor de ieire ale unitii, imediat dup punerea sub tensiune a acesteia; n primele cicluri main, fiecare port, (al ieirilor Qi conectate), este adus la starea 1 logic, mai puin portul Q0, prin care se semnalizeaz prezena tensiunii 5V. Se consider valid comanda de activare a ieirilor cu semnalul 0 logic, avnd n vedere conexiunea OPEN COLECTOR a porturilor Qi . Semnalul 0 logic pe porturile de ieire nu produc efect asupra elementelor de execuie conectate (LED-uri, micro-relee ).
Cod DECA Cod HEXA
0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 0 1 2 3 4 5 6 7 8 9 A B C D E F

Cod BINAR
0000 0001 0010 0011 0100 0101 0110 0111 1000 1001 1010 1011 1100 1101 1110 1111

MNEMONICA
NOPO LD LDC AND ANDC OR ORC XNOR STO STOC IEN OEN JMP RTN SKZ NOPF Salt n program DATA

FUNCTIE
Fixeaz adresa de salt

Revenire din subrutin Dac RR 0, ignor urmtoarea instruciune Pauz n execuie

se verific starea sistemelor de protecie; se semnalizeaz optic dac una sau mai multe protecii sunt acionate; dac nu sunt ndeplinite condiiile de pornire din punctul de vedere al proteciilor se semnalizeaz optic corespunztor i se comand saltul la eticheta ET0, ciclul se reia succesiv pn la ndeplinirea condiiilor de funcionare normal; dac starea proteciilor este corespunztoare, se trece la controlul strii contactului K1, de blocaj, care permite accesul la comanda de pornire numai a persoanelor autorizate ce dein accesul pe respectivul contact; Dac starea lui K1 este necorespunztoare, se semnalizeaz acest lucru i se comand reluarea ciclului de control i comand ncepnd de la eticheta ET0; se verific ciclic starea butonului de pornire I. Cnd acesta este acionat se trece la etapa de interogare a contactului K2 prin care se stabilete dac pornirea motorului va fi fr sau cu temporizarea programata T; Dac este programat temporizarea T la pornire, se semnalizeaz optic, prin portul corespunztor, pe toat durata temporizrii;

START ET 0 INITIALIZEAZA PORTURI IESIRE SI SEMNALIZEAZA TENS. +5v

SUBR 1

SEMNALIZARE OPTICA STARE SUBSISTEME

NU PROTECTII OK=? DA DA BLOCARE, K1=? ET 1 NU NU

COMANDA PORNIRE I=? DA TEMPORIZ. PORNIRE K2=? ET 2 NU

DA TEMPORIZARE LA PORNIRE= T[s] SI SEMNALIZARE OPTICA TEMPORIZARE PORNIRE

PROTECTII si RESTRICTII OK=? DA ET 3 PORNESTE MOTOR

NU ET 4

OPRESTE MOTOR SI SEMNALIZEAZA OPTIC

SI SEMNALIZ OPTIC

Fig. 3.7. Algoritmul de funcionare al sistemului propus drept exemplu nainte de a se da comanda de pornire motorului M, se verific dac nu a aprut restrictii sau o comand de oprire, O, care se execut prioritar; dup pornirea motorului, se semnalizeaz optic faptul c motorul este pornit i se comand saltul la eticheta ET2, prin care se reiau ciclic toate interogrile n legtur cu subsistemele de protecie-contactul de blocaj i butonul de oprire. Ne

ndeplinirea n totalitate a condiiilor programate de funcionare corect a sistemului electromecanic va conduce automat la comanda de deconectare automat a consumatorului M i la semnalizarea optic corespunztoare a motivului pentru care s-a produs deconectarea tensiunii la consumator. 3.1.5. Sinteza programului surs pentru algoritmul propus
ETICHETA PROG. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 ET 0 Adresa PROGRAM 00 01 02 03 04 05 06 07 08 09 0A 0B 0C 0D 0E 0F 10 11 12 13 14 15 16 17 18 19 1A 1B 1C 1D 1E 1F 20 21 22 23 24 Cod SURSA IEN I0 OEN I0 LD I0 STOC Q0 STO Q1 STO Q2 STO Q3 STO Q4 STO Q5 STO Q6 STO Q7 STO Q8 NOPO SUBR 1 JMP SUBR 1 LDC I3 ANDC I4 ANDC I5 ANDC I6 ANDC I8 SKZ NOPO ET 1 SKZ JMP ET 1 NOPO ET 0 JMP ET 0 LD I1 SKZ NOPO ET 0 SKZ JMP ET0 LD I7 SKZ STOC Q4 SKZ NOPF T1 SKZ NOPF T1 Cod OBIECT AO BO 10 90 81 82 83 84 85 86 87 88 04 C1 23 44 45 46 48 EF 01 EF C9 00 C2 11 EF 00 EF C2 17 EF 94 EF F1 EF F1 Salt SUBR 1 Veifica protectii Umin Veifica protectii s.s. Veifica protectii s.c. Veifica protectii temperat. Verifica contact blocaj K1 IEN=1 OEN=1 RR=1 Q0=0, LED +5V aprins Q1=1 Q2=1 Q3=1 Q4=1 Q5=1 Q6=1 Q7=1 Q8=1 COMENTARII

Daca RR=1, salt la ET1 Daca RR=0, salt la ET 0 Verifica comanda de pornire I

ET 1

Daca RR=1, salt la ET0 Verifica temporizare pornire K2 Daca RR=1, aprinde led temporizare pornire Pauza T1 =1s Pauza T1 =1s

38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76

ET 2

ET 3

ET 4

SUBR. 1

25 26 27 28 29 2A 2B 2C 2D 2E 2F 30 31 32 33 34 35 36 37 38 39 3A 3B 3C 3D 3E 3F 40 41 42 43 44 45 46 47 48 49 4A 4B

SKZ NOPF T1 SKZ NOPF T1 SKZ NOPF T1 SKZ STO Q4 LDC I2 ANDC I3 ANDC I4 ANDC I5 ANDC I6 ANDC I8 SKZ NOPO ET 3 SKZ JMP ET 3 NOPO ET4 JMP ET 4 STOC Q2 STOC Q1 NOPO ET 2 JMP ET 2 STOC Q2 STOC Q1 NOPO ET 0 JMP ET 0 LD I3 STOC Q5 LD I4 STOC Q6 LD I5 STOC Q7 LD I6 STOC Q8 LD I8 STOC Q3 RTN

EF F1 EF F1 EF F1 EF 84 22 43 44 45 46 48 EF 03 EF C9 03 CD 9D 91 02 CD 92 91 00 C2 13 95 14 96 15 97 16 98 18 93 DF

Pauza T1 =1s Pauza T1 =1s Pauza T1 =1s Stinge led temporizare pornire Verifica buton oprire O Veifica protectii Umin Veifica protectii s.s. Veifica protectii s.c. Veifica protectii temperat. Verifica contact blocaj K1

Porneste motor Semnalizeaza motor pornitt

Opreste motor Semnalizeaza motor oprit

Citeste stare releu min. tensiune Afisaza stare releu min. tensiune Citeste stare releu suprasarcina Afisaza stare releu suprasarcina Citeste stare releu scurtircuit Afisaza stare releu scurtircuit Citeste stare releu temperatura Afisaza stare releu temperatura Citeste stare contact blocaj K1 Afisaza stare contact blocaj K1

Programul n cod OBIECT urmeaz a fi ncrcat ntr-o memorie RAM i rulat pe un sistem de exersare-dezvoltare, de tip SED 14, elaborat pentru dezvoltarea aplicaiilor pe kit-ul procesor 14.000A, ocazie cu care el poate fi testat i corectat. Dup terminarea fazei de testare programul poate fi scris (copiat) ntr-o memorie PROM-EPROM cu ajutorul aceluiai sistem. Prin ataarea cip-ului PROM-EPROM inscripionat-cu programul aplicaie, pe un nou kit procesor acesta poate lucra independent n sistemul proiectat, cu funciile logice corespunztoare.

4. IMPLEMENTAREA SISTEMULUI MICROPROGRAMABIL PE CHIP (PSOC) N SCHEMA SISTEMIC


4.1.1 Sistemul microprogramabil din familia de microcontrolere Cypress In acest capitol se propune implementarea, n chema electric fin Fig. 3.1, a unui sistem microprogramabil de tip microcontroler. Microcontrolerul este un circuit integrat de tip microprocesor, fiind orientat structural si funcional spre lucrul cu intrri i ieiri. Dac se nglobeaz intr-un circuit integrat pe lng microprocesor, memorie ROM , memoria RAM i elementele de intrare/ieire necesare unei anumite aplicaii se obine cel mai complex circuit integrat numit microcontroler. Un microcontroler este similar unui microprocesor. Ambele conin o unitate centrala de prelucrare sau UCP (Central Processing Unit). CPU execut instruciuni care ndeplinesc operaiile de baza logice, matematice i de curgere a informaiei. Diagrama PSoC ilustrat n figura 4.1, ilustreaz arhitectura nivelului nalt al familiei de dispozitive PSoC. Fiecare bloc al diagramei este prezentat pe larg n paragrafele: PSoC Core, Sistemul Digital, Sistemul Analogic i Resursele sistem. Toate aceste paragrafe reprezint reeaua de comunicaii a sistemului magistral (System bus).
Port 7 Port 6 Port 5 Port 4 Port 3 Port 2 Port 1 Port 0

Magistral Magistral digital de interconectare


Intrerrupt controller SRAM CPU (M8C) WatchDog

Driver Analogic

SROM

Flash Memory

Magistral analogic de interconectare

Oscilator principal Int.

Oscillator low speed

Crystal Oscillator

Aria Blocurilor Digitale

Aria Blocurilor Analogice

Referin Analogic Intrare Analogic

4 rnduri digitale

4 coloane analogice

Magistral

Clock Digital

Multiplicare Decimators Acumulare (MAC) I2C

Reset Sistem POR sau LVD

Switch Mode

Referint Tensiune Intern

USB

Multiplx. IO Analogic

Resursele Sistemului
Pump

Fig.4.1 Arhitectura sistemului microprogramabil pe chip din familia Cypress Pentru a construi un calculator complet, microprocesorul necesit memorie pentru pstrarea datelor i a programelor i interfee de intrare-ieire (I/O) pentru conectarea dispozitivelor externe cum ar fi tastatura sau monitorul. Spre diferena de microprocesor, microcontrolerul este un calculator pe un chip deoarece el conine i memorie i interfee de intrare-ieire pe lng CPU. Deoarece memoria i interfeele care ncap pe un chip sunt limitate, microcontrolerele tind s fie utilizate n sisteme mai mici care necesit doar un microcontroler i cteva elemente adiionale.

Pentru a alege un microcontroler din marea varietate de microcontrolere existente pe pia trebuie inut cont de cteva caracteristici importante ale acestora: numrul de bii pe care l poate procesa simultan, numrul mai mare de bii indicnd un chip mai rapid i mai puternic. Circuitele de 8 bii sunt foarte populare, dar exist i circuite de 4, 16, 32 bii. consumul de putere este important mai ales n cazul sistemelor alimentate de la baterie. Circuitele realizate n tehnologia CMOS au un consum redus de putere. Multe din dispozitivele CMOS au un mod special de "ateptare" (stand-bye sau sleep) care limiteaz consumul de curent la civa miliamperi cnd un circuit este inactiv. un alt considerent n proiectarea circuitelor cu microcontrolere este modul de memorare a programului. Dintre modalitile de memorare amintim memoriile EPROM, EEPROM, ROM, NVRAM (nonvolatile RAM) i FLASH EPROM. opiuni de intrare-ieire. Majoritatea sistemelor necesit interfee cu senzori, tastatura, comutatoare, relee, monitoare etc. n general microcontrolerele au porturi pentru interfaarea cu lumea exterioar. Pe piaa naionala i internaional se gsesc microcontrolere de 4 bii, de 8 bii, de 16 bii, de 32 de bii. Cele mai folosite microcontrolere sunt cele de 8 i 16 bii. n al doilea caz avem microcontrolere cu arhitectura Von Neuman, avnd magistrala intern unic la care comunic toate elementele, sau microcontrolere cu arhitectura Harvard, avnd dou magistrale, una pentru memoria ROM de programe i alta pentru memoria RAM de date. n acest caz cele dou tipuri de memorii utilizeaz acelai spaiu de adrese, ele nu se confund datorita existenei unor instruciuni adecvate lucrului cu memoriile. Proiectarea unor produse embedded, utile si ieftine poate fi o provocare chiar si pentru cei mai experimentai ingineri. In prezent, proiectele embedded necesita optimizarea numrului de componente, a dimensiunii fizice, a consumului de putere sau a costului, sau a tuturor celor de mai sus. Produsele embedded complet optimizate folosesc foarte puine resurse care se irosesc. Aceasta foreaz adesea proiectanii sa aleag componente mai puin costisitoare care cred ei ca vor funciona acolo unde componente mai scumpe tiu ca vor funciona. Pentru a rezolva aceasta problema si a uura problematica prezentat mai sus, Cypress MicroSystems, a dezvoltat o linie de sisteme semnal mixat care se caracterizeaz prin controler pe placa. Aceste dispozitive PSoC permit personalizarea setului periferic in aa fel nct sa se adapteze cel mai bine la aplicaia dorit - in orice moment pe durata procesului de dezvoltare. Fiecare parte conine blocuri de circuite analogice si blocuri de circuite digitale care pot fi configurate in perifericele care se potrivesc cel mai bine aplicaiei. n cazul n care circuitele de comand i protecie, spre exemplu, necesit o modificare n setul de caracteristici al sistemului se poate modifica simplu configuraia iniial fr a mai fi nevoie de alegerea unei noi piese. Blocurile analogice si digitale incorporate sunt folosite pentru a crea periferice pe aceste dispozitive. Blocurile PSoC digitale pot implementa o varietate de funcii microcontroler standard, cum ar fi timere, PWMe (Pulse Width Modulators) si canale de comunicaie seriala. Ele pot, de asemenea, sa implementeze funcii care nu sunt in mod normal disponibile in hardware pe microcontrolere - cum ar fi PRS (Pseudo Random Sequence generators) si CRC (Cyclical Redundancy Checkers). Alegerea siliciului este influenata in mare msura de disponibilitatea uneltelor de nalta calitate. Cypress MicroSystems a creat, de asemenea, un IDE (Integrated Development Environment) pentru dezvoltare cu aceste produse. Numit "PsoC Designer", programul va permite configurarea resursele analogice si digitale de la o interfaa grafica utilizator. Utilizatorul creeaz setul periferic care se potrivete cel mai bine aplicaiei si software-ul genereaz automat un set de fiiere care seteaz biii registrului corespunztor pentru a implementa alegerile fcute.

IDE-ul creeaz, de asemenea, interfee de programare pentru perifericele selectate pentru a iui dezvoltarea software-lui. 4.1.3. Schema sistemic a circuitului de comand numeric cu microcontroler de tip Cypress n figura 4.3 este prezentat schema sistemic a sistemului de comand numeric dedicat comutaiei si proteciei unui consumator de tip motor electric, n care sunt inventariate toate intrrile, respectiv ieirile logice ale sistemului microprogramabil.

Fig.4.2 Schema sistemic a circuitului numeric n faza de definire a problemei se determin semnalele de intrare i ieire din sistem, viteza de variaie a acestora, cantitatea i viteza de prelucrare cerut, tipul de erori i modul lor de tratare. La proiectarea i realizarea sistemelor n logic programat se parcurg urmtoarele etape: alegerea configuraiei microsistemului, care se face pe baza analizei de sistem. n aceast etap se determin numrul porturilor de intrare i celor de ieire, numrul dispozitivelor de transmisie a informaiilor, capacitatea memoriei, tipurile i numrul dispozitivelor de interfa cu procesul. Tot n aceast faz se face o analiz preliminar a performanelor cerute sistemului i a msurilor speciale care se iau n vedere la obinerea acestora. proiectarea programului, care const ntr-o descriere schematic a operaiilor pe care sistemul de calcul trebuie s le execute pentru a rezolva sarcinile impuse; scrierea limbajului sursa n limbaj de asamblare sau ntr-un limbaj de programare superior; asamblarea programului faz executat automat i care are drept rezultat obinerea programului n cod main; testarea programului este o faz care are drept scop asigurarea c programul execut corect sarcinile care i-au fost impuse. Ciclul de scriere, asamblare si

testare se parcurge de obicei de mai multe ori pn la obinerea unui program n cod main care s funcioneze corect. n aceast etap se pot utiliza software-uri grafice, dedicate, pentru simularea corect a funcionarii. n final trebuie verificat dac programul scris satisface cerinele impuse prin tema de proiectare. Se verific, n aceast etap, ndeosebi viteza de rspuns a sistemului. Dac sistemul nu corespunde, n anumite condiii, cerinelor impuse, se ncearc optimizarea sistemului. Dac nici aa nu se obin condiiile dorite, se reia analiza sistemului i se determin ce sarcini ale sistemului n logic programat pot fi relativ uor rezolvat n logic cablat. 4.1.4. Implementarea circuitului cu microcontroler n schema sistemic O alt rezolvare a aplicaiei prezentate n subcapitolul 3.1 se poate aborda apelnd la o soluie de comand logic i de control cu microcontroler ce utilizeaz un sistem microprogramabil aparinnd companiei americane Cypress MicroSystems. n fig.3.4. se prezint explicit conexiunile de intrare-ieire, proiectate, la sistemul cu microcontroler de tip CY3C29466 din familia de Cypress, la care intrrile de date TTL sunt marcate i ieirile logice sunt conectate la porturile dedicate ale microcontrolerului. Pentru a pstra aceeai logic, adoptat i la construcia schemei sistemice, driverele considerate ca intrri ale sistemului s-au amplasat n partea stng a sistemului microprogramabil, iar driverele considerate ieiri n parte dreapt. De menionat ns c datorit faptului c microcontrolerul din familia Cypress este foarte versatil si permite alegerea porturilor de intrare / ieire dup cum dorete utilizatorul, din cei 28 de pini 24 dintre acetia pot fi utilizai ca porturi de intrare/ieire.
VDD

P
1 2
Cypress P0[7] MicroSystems

Vdd 28 VDD(5V)
P0[6] 27 26 P0[4] 25 P0[2] 24 P0[0] 23 P2[6] 22 P2[4] 21 P2[2] 20 P2[0] 19 P1[6] 18 P1[4] 17 P1[2] P1[0] 15

L_PornireM

K1 K2

P0[3] 4 P0[1] 5 P2[7] 6 P2[5] 7 P2[3] 8 P2[1] 9 10 P1[7] 11 P1[5] 12 P1[3] 13 P1[1] 14

CY8C29466

P0[5]

R R R R R
L_PermitePornire.K1 L_BlocarePornire.K1 L_temporizare.K2 L_Umin

C
R
L_Rss L_Rse L_Rt

Rss Rse Rrt RUmin

R 16 R

Vss

PSoC

Fig.4.3 Schema explicit de interfaare TTL a microcontrolerului Porturile de ieire ale microcontrolerului comand fie led-uri de semnalizare optic, fie bobina unui microreleu. Activarea ori crei sarcini de pe un port de ieire se face cu semnal 1 logic la portul corespunztor.

4.1.2 Comanda numeric a sistemului de acionare electromecanic propus Se va evidenia in continuare flexibilitatea cu care se poate programa un microcontroler de tip Cypress, numit prescurtat PSoC (Programmable System on Chip) Sistem Programabil pe Chip. Programarea microcontrolerului se realizeaz prin intermediul software-ului PSoC Designer 5.0 (Integrated Development Environment for Cypress MicroSystems' ProgrammableSystem-on-Chip technology) i este utilizat ca interfa in vederea configurrii i programrii din punct de vedere al sistemului analogic, respectiv al sistemului digital al PSoC, []. Resursele celor dou tipuri de sisteme integrat in microcontroler sunt:
Tot ce trebuie este o idee pentru a putea ncepe programarea microcontrolerului in PSOC Designer Amplasarea componentelor grafice prin metoda Drag and Drop

IDEEA

PROIECTARE

SALVARE PROIECT

Salvarea proiectului n mod frecvent

SIMULARE

Simularea i testarea modului n care a fost gndit proiectul

NU

Funcionare corespunztoare?

DA

PERSONALIZARE COD

PROGRAMARE MICROCONTROLER

Construire Proiect Atribuire Pini

Fig.4.4 Algoritmul de proiectare utiliznd microcontrolerul Cypress Pentru a putea dezvolta un proiect, utiliznd un microcontroler de tip Cypress programat cu ajutorul software-ului dedicat PSoC Designer 5.0, trebuie identificate componentele grafice puse la dispoziia utilizatorului i anume: INTRARE Acest simbol toate intrrile disponibile n programul grafic PSoC Designer IEIRE Acest simbol definete toate ieirile disponibile n programul grafic PSoC Designer

VALUATOR Acest simbol definete variabilele locale i analiza de expresii, tabele de adevr i funciile de stare ale componentelor din PSoC Designer INTERFAT Acest simbol definete interfaa cu comunicaiile exterioare Programul grafic pune la dispoziia utilizatorului interfeele grafice prezentate mai sus, ns pentru a dezvolta o aplicaie trebuie parcuri, secvenial, trei pai eseniali i anume: Pasul 1

SELECTARE INTRRI I IEIRI

Pasul 2

DEFINIRE A COMPORTAMENTULUI IEIRILOR FUNCIE DE INTRRI

Pasul 3

SIMULARE SI VERIFICARE PE CALCULATOR

PSoC Designer utilizeaz aceleai expresii logice acceptate de limbajul de programare C. S presupunem acum c dorim s verificm validitatea unor date de intrare i apoi s facem un calcul sau s afim un mesaj de eroare, dar s nu le facem pe amndou. Pentru aceasta va trebui s punem o ntrebare i, bazndu-ne pe rspuns, s alegem una sau alta dintre variantele de evoluie a programului.

Tabelul 1 Sintaxe utilizate n programul PSoC Designer


OPERATOR >> << && || <= EXPRESIE TRANSFER UN BIT LA DREAPTA TRANSFER UN BIT LA STNGA SI LOGIC SAU LOGIC MAI MIC SAU EGAL DEFINIIE Deplaseaz toi biii la dreapta cu un bit Deplaseaz toi biii la stnga cu un bit ADEVRAT dac ambii operanzi sunt adevrai ADEVRAT dac cel puin unul dintre operanzi este adevrat ADEVRAT daca operandul din stnga este mai mic sau egal dect operandul din dreapta ADEVRAT daca operandul din stnga este mai mare sau egal dect operandul din dreapta ADEVRAT dac operanzii nu sunt egali nchide partea din stnga a unei ecuaii nchide partea din dreapta a unei ecuaii ADEVRAT dac Valoare este egal cu zero, altfel FALS Scade operandul din dreapta din cel din stnga Adun operandului din stnga valoare operandului din dreapta nmulete operandul din stnga cu cel din dreapta mparte operandul din stnga cu cel din dreapta Egal cu Restul mpririi valorii din dreapta la valoarea din stnga ADEVRAT dac valoarea din stnga este mai mic dect valoarea din dreapta ADEVRAT dac valoarea din stnga este mai mare dect valoarea din dreapta 1 daca ambele valori din stnga i dreapta sunt 1 1 daca Valoare este 0 1 daca valoarea din stnga i din dreapta sunt 1, dar nu ambele, altfel 0 1 daca valoarea din stnga este egal cu valoarea din dreapta 1, dac 1 n stnga, dreapta sau ambele

>= != ( ) !Valoare + * / % < > & ~Valoare ^ == |

MAI MARE SAU EGAL TESTE DE INEGALITATE PARANTEZ LA STNGA PARANTEZ LA DREAPTA NU LOGIC MINUS PLUS NMULIRE MPRIRE DIVIZIUNE MODULO MAI MIC MAI MARE SI LA NIVEL DE BIT NU LA NIVEL DE BIT XOR LA NIVEL DE BIT EGALITATE OR LA NIVEL DE BIT

Pentru a pune o ntrebare n C++, facem o presupunere care poate fi adevrat sau fals. Calculatorul evalueaz presupunerea pentru a constata dac este adevrat sau fals. n C++ presupunerile iau forma expresiilor logice sau booleene. O astfel de expresie este alctuit din valori

logice i operaii. Aceste funcii ale unitii logice se aleg cu ajutorul instruciunilor prezentate n tabelul 1.

4.1.4. Programarea microcontrolerului din schema sistemic Utilizarea logicii programate constituie o modalitate calitativ superioar de realizare a echipamentelor electrice de comand i protecie destinate atmosferei potenial explozive. Se asigur o flexibilitate deosebit a dispozitivelor de comand i protecie permind modificri i dezvoltri ulterioare. Dac n cazul logicii programate, utilizate n realizarea echipamentelor de comand i protecie actuale, orice modificare n funcionarea echipamentului de comand i protecie implic realizarea unui cablaj nou, unor legturi noi ntre elemente n cazul echipamentelor cu logic programabil modificarea const n simpla nscriere a unor alte valori n memoria program a microcontrolerului (microprocesorului).

Fig.4.5 Interfaa grafic a bibliotecii de dispozitive prevzute n software-ul PSoC Designer 5 Se realizeaz astfel economii nsemnate de timp i manoper, totodat aceste faciliti ale utilizrii echipamentelor cu logic programabil permit tipizarea echipamentelor de intrare/ieire i a unitii centrale, personalizarea unui anumit dispozitiv de comand fcndu-se prin programul implementat. Ciclul clasic schem electric realizare cablaj (interconectarea elementelor) verificarea funcionrii refacerea schemei etc., se modific existnd posibilitatea realizrii n paralel a punerii la punct a programelor pe un echipament de programare cu execuia electric i mecanic uzual cu elemente tipizate, a prii electronice: unitatea central, surs de alimentare i intrri, ieiri ntr-un numr corespunztor aplicaiei. Toate eventualele modificri la punerea n funciune a instalaiei se fac prin echipamentul de programare fr a afecta cablajul realizat. Eficiena activitii de proiectare implementare crete considerabil. Echipamentul de comand i protecie cu microcontroler se remarc prin reducerea numrului de componente (datorit utilizrii unor circuite integrate coninute n arhitectura microcontrolerului), creterea fiabilitii (numrul redus de componente externe microcontrolerului conduce la o uzur electric i mecanic) i reducerea important a

consumului de energie electric. Exist posibilitatea utilizrii unor programe de autotestare ceea ce uureaz activitatea de ntreinere i de service. Datorit executrii secveniale a operaiilor, exist situaii n special n cazul comenzilor rapide cum este cazul comenzii de decuplare la scurtcircuit n care timpul de rspuns al releelor cu logic programat nu este satisfctor i se impune utilizarea acestora n combinaie cu logica electronic cablat[]. n figura 4.5 este prezentat interfaa grafic a bibliotecii de dispozitive prevzute n software-ul PSoC Designer 5. Pentru a dezvolta aplicaia propus trebuie urmrit cu atenie schema sistemic conceput pentru a identifica, componentele care trebuie alese din biblioteca programului grafic. Lisa dispozitivelor ce urmeaz a fi alese pentru dezvoltarea aplicaiei:
Nr.crt. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 Dispozitiv Buton pornire - P Contact pornire - K1 Contact Temporizare - K2 Contact Releu Suprasarcin - Rss Contact Releu scurtcircuit - Rsc Contact releu tens. min. - RUmin Contact releul temperatur - Rt Buton oprire - O Led Pornire Led Temporizare Led Rsc Led Rss Led Rt Led RUmin Led Blocare Pornire Led Permite Pornire Librrie drivere/Mod de alegere INTRARE / Tactile/Button/Normally Open/Internal Pullup N_O INTRARE / Tactile/Switch/Normally Open/Internal Pullup N_O INTRARE / Tactile/Switch/Normally Open/Internal Pullup N_O INTRARE / Tactile/Switch/Normally Open/Internal Pullup N_O INTRARE / Tactile/Switch/Normally Open/Internal Pullup N_O INTRARE / Tactile/Switch/Normally Open/Internal Pullup N_O INTRARE / Tactile/Switch/Normally Open/Internal Pullup N_O INTRARE / Tactile/Button/Normally Closed/Internal Pullup N_O IEIRE/Display/LED/Single Color/On/Off IEIRE/Display/LED/Single Color/On/Off IEIRE/Display/LED/Single Color/On/Off IEIRE/Display/LED/Single Color/On/Off IEIRE/Display/LED/Single Color/On/Off IEIRE/Display/LED/Single Color/On/Off IEIRE/Display/LED/Single Color/On/Off IEIRE/Display/LED/Single Color/On/Off

Dup alegerea dispozitivelor din biblioteca de drivere ale programului grafic se va obine, pentru aplicaia data, o interfa grafica similar celei prezentate n figura 4.5 Pornind de la schema electric de acionare propus, s-a construit o aplicaie grafic, n vederea programrii microcontrolerului n conformitate cu algoritmul logic construit. Aplicaia grafic emuleaz driverele de intrare ieire ale sistemului de acionare real i a fost mprit sugestiv n urmtoarele subdiviziuni: Pornire autorizat care cuprinde urmtoarele drivere de intrare ieire: contactul K1 de blocaj, care restricioneaz accesul la circuitul de comand al acionrii LED BlocarePornire, care semnalizeze optic corespunztor motivul de refuz al pornirii; LED PermitePornire, care semnalizeze optic corespunztor motivul de accept al pornirii;

Fig.4.6 Interfaa grafic a circuitului de comand, control i protecie (1) Comand care cuprinde urmtoarele drivere de intrare: Butonul de pornire P, care permite pornirea motorului M numai dac sunt ndeplinite n totalitate condiiile de pornire impuse de ctre sistemele de protecii specifice sistemului; Butonul de oprire I, care permite oprirea motorului M i totodat s permit cicluri succesive de pornire-oprire a motorului M Bloc de temporizare care cuprinde urmtoarele drivere de intrare: Contactul K2, prin care se stabilete dac pornirea motorului va fi fr sau cu temporizarea programata T; Temporizator Temp, prin care se genereaz intervale de timp utilizate la setarea temporizrii T; Protecii care cuprinde urmtoarele drivere de intrare: Contact Rsc, care corespunde subsistemului de protecie pentru controlul maximal n regim de scurtcircuit; Contact Rss, care corespunde subsistemului de protecie pentru controlul n regim de suprasarcina; Contact Rt, care corespunde subsistemului de protecie pentru controlul efectiv al temperaturii din nfurrile motorului M; Contact RUmin, care corespunde subsistemului de protecie pentru controlul minimal al tensiunii electrice. Semnalizri care cuprinde urmtoarele drivere de ieire: LED L_Rsc, care semnalizeaz optic motivul de decuplare instantanee cauzat de regimul de scurtcircuit;

LED L_Rss, care semnalizeaz optic motivul de decuplare instantanee cauzat de regimul de suprasarcina; LED L_Rt, care semnalizeaz optic motivul de decuplare instantanee cauzat de supratemperatura din nfurrile motorului M; LED L_RUmin, care semnalizeaz optic motivul de decuplare instantanee cauzat de scderea tensiunii electrice sub pragul de referin limit admis; LED L_Pornire, care semnalizeaz optic pornirea motorului daca toate condiiile de pornire sunt ndeplinite; LED L_Temporizare, care semnalizeaz optic faptul c pornirea motorului s-a realizat cu temporizarea de pornire dT propus. Funcii de transfer care cuprinde urmtoarele drivere coresponden ntre intrri i ieiri: Funcia de transfer FcTransfer_1; Funcia de transfer FcTransfer_2; Funcia de transfer FcTIMP.

b)

a)

c)

Fig.4.7 Sinteza logica a evaluatorului FcTransfer_1 n figura 4.7,a este prezentat interfaa grafic n care s-a sintetizat funcia de evaluare, de tip Table Lookup, corespunztoare intrrilor P, K1, Rss, Rsc, RUmin, Rt. Funcia de evaluare este elementul care leag intrrile logice de ieirile de control. Funciile de evaluare reprezint esen o metod eficient de analiz a expresiilor, de evaluare a prioritilor, de identificare a tipului de ieiri i de administrare a strilor logice. Pentru sintetizarea funciei de evaluare FcTransfer_1 sau ales intrrile prezentate mai sus, prin apsarea butonului Set Inputs, utiliznd interfaa din fig. 4.7,b. Dup alegerea intrrilor se apas Next i pe ecran va aprea un interfaa grafic Add Values, care permite programatorului altor valori logice a ieirii obiect. n cazul de fa avem nevoie de dou stri ale funciei de evaluare, valori care exist implicit si anume ON cruia i corespunde valoarea 1 logic, respectiv OFF cruia i corespunde valoarea 0 logic. Tabelul Table Lookup este ultima etap care face corelaia dintre butoanele/contactele de intrare i ieire, n cazul de fa funcia de evaluare.

Tabelul 2 Tabelul de adevr al funciei de evaluare FcTransfer_1


Intrare 1 (Rt) 0 0 0 0 0 0 Intrare 2 (Rss) 0 0 0 0 0 0 Intrare 3 (Rsc) 0 0 0 0 0 0 Intrare 4 (RUmin) 0 0 0 0 1 1 Intrare 5 (P) 0 0 1 1 0 0 Intrare 6 (K1) 0 1 0 1 0 1 Ieire FcTransf_1 0 0 0 1 0 0

. . .
1 1 1 1 1 1 1 1 1 1 0 1 0 0

n tabelul 2 este prezentat tabela de adevr a funciei de evaluare FcTransfer_1, n care dup cum se vede avem 6 intrri cu toate combinaiile posibile. Pentru cazul aplicaiei noastre tabela de adevr se exemplific astfel: FcTransfer_1 a fost creat pentru a sintetiza combinaiile posibile ale intrrilor alese si anume aceasta trebuie sa aib valoarea 1 logic (Adevrat) nu mai in cazul n care contactul K1 este nchis (1L) i butonul de pornire P este apsat (1L), respectiv proteciile motorului nu trebuie s fie active. Tabelul nu a fost prezentat n totalitate, liniile intermediare fiind evidente, ci s-a pus accent pe linia 4 a acestuia (linia ngroat) deoarece aceste combinaii ale intrrilor trebuie sa activeze funcia de evaluare FcTransfer_1.

Fig.4.8 Sinteza logica a funciei de transfer corespunztoare condiiei de pornire autorizat Pentru subdiviziunea de Pornire autorizat care cuprinde contactul K1 de blocaj, LED-ul BlocarePornire, respectiv LED-ul PermitePornire, se utilizeaz tot o funcie de transfer de tip Table Lookup. Tot ce trebuie realizat n cazul de fa este alegerea ca intrare a contactului K1 precum n figura 4.8. n partea din stnga a figurii este prezentat interfaa grafic a funciei de transfer corespunztoare comenzii de permitere a pornirii motorului. Astfel, se amplaseaz o stare a intrrii (din partea stng a interfeei) la o stare corespunztoare a ieirii (din partea dreapt a interfeei), prin metoda drag and drop. n cazul nostru dorim ca atunci cnd contactul

K1 este nchis (ON) s se aprind ledul corespunztor permiterii efecturii comenzii. Pentru cazul n care contactul nu este apsat ledul rmne stins. n mod similar se face si pentru comanda de BlocarePornire, doar ca de aceast data ledul se aprinde cnd contactul nu este nchis. Pentru a permite comanda de pornire se poate utiliza un led de culoare verde, care s indice faptul c s-a permis comanda, iar pentru comanda de blocare a pornirii se poate utiliza un led de culoare roie, care s indice faptul c o posibil comand de pornire este blocat. Dup ce s-a finalizat procesul de amplasare a strilor ON/OFF se pas OK i se revine la proiectul iniial, putndu-se observa o linie roie de conexiune ntre driverul de intrare i ce de ieire, precum n figura4.6. Pentru a sintetiza temporizarea la pornire a motorului s-a utilizat o funcie de evaluare FC_TIMP, de tip Priority Encoder. O astfel de funcie de evaluare evalueaz din punct de vedere logic dac valoare de intrare este Adevrat ncepnd cu prima expresie a funciei grafice. n interfaa grafic se pot aduga pn la 63 de expresii logice. Utiliznd aceast o funcie de evaluare starea logic a elementului de ieire depinde de condiiile de intrare introduse. Expresiile introduse aparin limbajului de programare C i sunt de tipul if-then-else-if-then. Pentru situaia n care se stabilete c pornirea motorului se va realiza cu temporizarea programata T, s-a ales un element de intrare de tip Interval Generator. Utiliznd acest element se genereaz temporizri programate, iar pentru aplicaia descris s-a ales o temporizare de 1000ms.

Fig.4.9 Sinteza logica a evaluatorului FC_TIMP n figura 4.9 este prezentat detaliat interfaa grafic a evaluatorului TC_TIMP, utilizat la stabilirea temporizrii le pornire, n care expresiile de tip Boole utilizate au urmtoare semnificaie: dac generatorul de interval este declanat atunci evaluatorul va incrementa cte o secund (1000ms). Evaluatorul FcTransfer_2, utilizeaz ca intrare contactul K2, care atunci cnd este nchis pornirea motorului se realizeaz direct, fr temporizare.

Fig.4.9 Sinteza logica a evaluatorului FcTransfer_2

Dac comutatorul K2 este nchis atunci pornirea motorului trebuie s se realizeze direct (FcTransfer_2__LipsaTemp), iar dac comutatorul K2 este deschis atunci evaluatorul TC_TIMP va incrementa cte o secund. Deoarece generatorul de interval a fost programat la 1000ms FC_TIMP va incrementa o singur dat i astfel se va atinge T = 2s, durata temporizrii dorite. De menionat c in condiii reale de funcionare temporizarea la pornire este cuprinsa, n general, ntre 5 10 s. n aceast situaie condiia a doua din figura 4.9 va devenii: If (K2 == K2__Off) && (FC_TIMP > 10) then FcTransfer_2 = FcTransfer__Temp Pentru expresia de mai sus s-a utilizat un I logic a crui valoare este adevrat dac ambii operanzi sunt adevrai, adic evaluatorul FcTransfer_2 va fi adevrat dac vom avea contactul K2 deschis i dup scurgerea timpului programat de temporizare.

Fig.4.10 Sinteza logica a funciei de transfer corespunztoare pornirii motorului Semnalizrile optice sunt realizate cu led-uri i anume: LED L_Rsc, LED L_Rss, LED L_Rt, LED L_RUmin, LED L_Pornire, LED L_Temporizare. Pentru ca fiecare din aceste semnalizri s funcioneze precum a fost impus prin condiiile iniiale s-a utilizat funcii de transfer de tip Table Lookup, similare cu cele utilizate n cazul subdiviziunii de pornire autorizat. Interfeele grafice ale funciilor de transfer sunt identice cu cele prezentate n figura 4.8. Fiecare dintre aceste semnalizri corespunde unui anumit tip de avarie ceea ce nsemna c in interfaa logic se va alege individual pentru fiecare tip de intrare-ieire. Funcia care integreaz toate celelalte subsisteme de evaluare este funcia de transfer Pornire, care utilizeaz un evaluator de tip Priority Encoder. n figura 4.10 este prezentat interfaa logic a evaluatorului, n care sunt considerai operatori de intrare funciile logice FcTransfer_1, FcTransfer_2, respectiv butonul de oprire O. Tabela de adevr a funciei de transfer corespunztoare pornirii motorului este prezentat n tabelul 3. Conform acestui tabel de adevr motorul trebuie s porneasc dac: sunt ndeplinite condiiile de pornire butonul de pornire autorizat K1 nchis, butonul de pornire apsat i n sistem s nu existe nici o avarie ceea ce corespunde la faptul c funcia FCTransfer_1 este adevrat (1L) este ndeplinit condiia de interogare a contactului K2 prin care se stabilete dac pornirea motorului va fi fr sau cu temporizarea programata T; Dac este programat temporizarea T la pornire, se semnalizeaz optic, prin portul corespunztor, pe toat durata temporizrii; este ndeplinit condiia de interogare a butonului de oprire O prin care se stabilete c trebuie s existe posibilitatea ca n orice moment motorul s poat fi oprit.

Tabelul 3 Tabelul de adevr al funciei de transfer Pornire


Intrare 1 (FcTransfer_1) 0 0 0 0 1 1 1 1 Intrare 2 (FcTransfer_2) 0 0 1 1 0 0 1 1 Intrare 3 (O) 0 1 0 1 0 1 0 1 Ieire (Pornire) 0 0 0 0 1 0 1 0

Deoarece n figura 4.10 nu au putut fi exemplificate toate expresiile logice, acestea sunt prezentate explicit n figura 4.11. Aceast reprezentare este echivalentul expresiilor n limbajul de programare C al tabelului 3.

Fig.4.11 Expresiile logice corespunztoare pornirii motorului Dup proiectarea grafic i scrierea unor expresii logice clasice se trece la simularea proiectului dezvoltat. Pentru aceasta se va folosii simulatorul cu care este prevzut software-ul de programare a microcontrolerului PsoCDesigner5.0, cu ajutorul cruia se verific dac logica din condiiile iniiale a fost corect exprimat n programarea grafic. nainte de trecere la etapa de simulare trebuie salvat proiectul etapa aceasta fiind prezentat i n algoritmul din figura 4.4. La intrarea n modul de simulare se observ c pe ecran va aprea o interfa similar celeia n care sa realizat proiectul, cu excepia faptului c lng fiecare component de intrare/ieire se gsete cte o csu n care apare poziia curent a fiecrui element ales.

Fig.4.12 Simularea funcionrii sistemului de comand numeric dezvoltat n interfaa grafic din figura 4.12 s-a simulat subdiviziunea de pornire autorizat, iar pentru componenta de intrare K1 utiliznd butonul din stnga al Mouse-ului se ofer posibilitatea schimbrii valorii componentei de intrare On sau Off (Buton apsat Buton relaxat). Se observ c n cazul n care contactul K1 este deschis se va aprinde ledul care indic blocarea comenzii de pornire.

Fig.4.13 Simularea funcionrii sistemului de comand numeric dezvoltat n figura 4.13 s-a simulat pornirea motorului, caz n care contactul de pornire autorizat K1 este nchis, semnalizndu-se optic prin ledul PermitePornire acest lucru, butonul de pornire P

este apsat, nu exist nici un fel de avarie n circuitul electric controlat, butonul de oprire O este deschis, respectiv contactul de temporizare K1 este apsat, deci pornirea are loc fr temporizare.

Fig.4.14 Simularea funcionrii sistemului de comand numeric dezvoltat n acest caz se semnalizeaz pornirea motorului prin intermediul Led-ului Pornire, respectiv ledul L_Temporizare indic faptul ca pornirea a avut loc fr temporizare.

Fig.4.15 Simularea funcionrii sistemului de comand numeric dezvoltat n figura 4.14 s-a simulat pornirea motorului dup intervalul programat de timp, n cazul nostru 2 secunde. Se poate observa c FC_TIMP a ajuns la 3 secunde caz n care motorul a

primit deja comanda de pornire. n figura 4.15 s-a simulat cazul n care avem prezente avarii n circuitul electric controlat, caz n care se observ c toate avariile aprute n sistem sunt semnalizate corespunztor, iar tensiunea a fost decuplat instantaneu deoarece ieirea Pornire este OFF

Fig.4.16 Selectarea dispozitivului microprogramabil Dup ce proiectul a fost simulat se trece la programarea efectiv a microcontrolerului, iar pentru asta trebuie ale tipul de microcontroler, iar n figura 4.16 este prezentat interfaa grafic de alegere a familiei de microcontroler. n partea dreapt a acestei interfee grafice sunt date caracteristicile fiecrui tip de microcontroler. Pentru cazul nostru am ales un microcontroler cu 28 de pini seria CY8C29466.

Fig.4.17 Selectarea pinilor microcontrolerului Dup alegerea tipului de microcontroler se apas Next i se ajunge la interfaa prezentat n figura 4.17 n care i se permite programatorului s aeze componentele de intrare/ieire la oricare din pinii microcontrolerului. Se observ ca n momentul cnd este selectat un pin locaiile unde acesta ar putea fi amplasat sunt identificabile prin culoarea verde. Dup particularizarea pinilor microcontrolerului se apas Next, iar programul PSoCDesigner 5.0 va realiza programarea automat a microcontrolerului fr s mai fie nevoie s scriem alte linii de programare. Dup compilarea programului se va genera automat codul C++:

#include <m8c.h> #include "PSoCAPI.h" #include #include #include #include

// part specific constants and macros // PSoC API definitions for all User Modules

"driverdecl.h" "CMXSystem.h" "CMXSystemExtern.h" "TransferFunction.h"

#include "cmx.h" #include "ProjectProperties.h" #include "Custom.h" // Channel includes void main( void ) { // Initialize Project M8C_EnableGInt; // Turn on interrupts SystemTimer_Start(); SystemTimer_SetInterval(SystemTimer_64_HZ); SystemTimer_EnableInt(); // Initialize Channels I2C_CFG &= 0xFC; not used. // Disable I2C in case it's

// Initialize Variables SystemVars.ReadOnlyVars.pse_BocarePornire = 0; SystemVars.ReadOnlyVars.pse_FC_TIMP = 0; SystemVars.ReadOnlyVars.pse_FcTransfer_1 = 0; SystemVars.ReadOnlyVars.pse_FcTransfer_2 = 0; SystemVars.ReadOnlyVars.pse_K1 = 0; SystemVars.ReadOnlyVars.pse_K2 = 0; SystemVars.ReadOnlyVars.pse_L_Rsc = 0; SystemVars.ReadOnlyVars.pse_L_Rss = 0; SystemVars.ReadOnlyVars.pse_L_Rt = 0; SystemVars.ReadOnlyVars.pse_O = 0; SystemVars.ReadOnlyVars.pse_P = 0; SystemVars.ReadOnlyVars.pse_PermitePornire = 0; SystemVars.ReadOnlyVars.pse_Pornire = 0; SystemVars.ReadOnlyVars.pse_Rsc = 0; SystemVars.ReadOnlyVars.pse_Rss = 0; SystemVars.ReadOnlyVars.pse_Rt = 0; SystemVars.ReadOnlyVars.pse_Temp = 0; // Driver instantiations CMX_GSWITCH_Instantiate(&pse_K1); CMX_GSWITCH_Instantiate(&pse_O); CMX_DIO_Instantiate(&pse_PermitePornire); CMX_DIO_SetValue(&pse_PermitePornire, (BYTE)SystemVars.ReadOnlyVars.pse_PermitePornire); CMX_GSWITCH_Instantiate(&pse_P); CMX_DIO_Instantiate(&pse_BocarePornire); CMX_DIO_SetValue(&pse_BocarePornire, (BYTE)SystemVars.ReadOnlyVars.pse_BocarePornire); CMX_DIO_Instantiate(&pse_L_Rsc); CMX_DIO_SetValue(&pse_L_Rsc, (BYTE)SystemVars.ReadOnlyVars.pse_L_Rsc); CMX_GSWITCH_Instantiate(&pse_Rt); CMX_DIO_Instantiate(&pse_L_Rss);

CMX_DIO_SetValue(&pse_L_Rss, (BYTE)SystemVars.ReadOnlyVars.pse_L_Rss); CMX_GSWITCH_Instantiate(&pse_Rss); CMX_DIO_Instantiate(&pse_L_Rt); CMX_DIO_SetValue(&pse_L_Rt, (BYTE)SystemVars.ReadOnlyVars.pse_L_Rt); CMX_GSWITCH_Instantiate(&pse_Rsc); CMX_DIO_Instantiate(&pse_Pornire); CMX_DIO_SetValue(&pse_Pornire, (BYTE)SystemVars.ReadOnlyVars.pse_Pornire); CMX_GSWITCH_Instantiate(&pse_K2); CMX_INTERVAL_Instantiate(&pse_Temp); // Custom initization code. CustomInit(); // End Initialize Project while(1) { // Sync loop sample rate #if ( SAMPLE_DIVIDER ) SystemTimer_SyncWait(SAMPLE_DIVIDER, SystemTimer_WAIT_RELOAD); #endif // update input variables SystemVars.ReadOnlyVars.pse_K1 = CMX_GSWITCH_GetValue(&pse_K1); SystemVars.ReadOnlyVars.pse_K2 = CMX_GSWITCH_GetValue(&pse_K2); SystemVars.ReadOnlyVars.pse_O = CMX_GSWITCH_GetValue(&pse_O); SystemVars.ReadOnlyVars.pse_P = CMX_GSWITCH_GetValue(&pse_P); SystemVars.ReadOnlyVars.pse_Rsc = CMX_GSWITCH_GetValue(&pse_Rsc); SystemVars.ReadOnlyVars.pse_Rss = CMX_GSWITCH_GetValue(&pse_Rss); SystemVars.ReadOnlyVars.pse_Rt = CMX_GSWITCH_GetValue(&pse_Rt); SystemVars.ReadOnlyVars.pse_Temp = CMX_INTERVAL_GetValue(&pse_Temp); // Custom Post Input function CustomPostInputUpdate(); // run transfer function and update output variables TransferFunction(); // CustomPreOutputUpdate(); CustomPreOutputUpdate(); // set outputs CMX_DIO_SetValue(&pse_BocarePornire, (BYTE)SystemVars.ReadOnlyVars.pse_BocarePornire); CMX_DIO_SetValue(&pse_L_Rsc, (BYTE)SystemVars.ReadOnlyVars.pse_L_Rsc); CMX_DIO_SetValue(&pse_L_Rss, (BYTE)SystemVars.ReadOnlyVars.pse_L_Rss); CMX_DIO_SetValue(&pse_L_Rt, (BYTE)SystemVars.ReadOnlyVars.pse_L_Rt); CMX_DIO_SetValue(&pse_PermitePornire, (BYTE)SystemVars.ReadOnlyVars.pse_PermitePornire); CMX_DIO_SetValue(&pse_Pornire, (BYTE)SystemVars.ReadOnlyVars.pse_Pornire); } }

5. IMPLEMENTAREA UNUI AUTOMAT PROGRAMABIL N SCHEMA SISTEMIC N SCHEMA SISTEMIC


Informatii generale asupra software-ului EASY-SOFT Proiectarea grafic a aplicaiei propuse se face utiliznd software -ul EASY SOFT 6.10, ce este oferit gratuit ca utilitar de ctre furnizorul de echipamente MOELLER, ce permite att simularea ct i vizualizarea aplicaiei. EASY-SOFT este un program pentru PC-uri care permite crearea, salvarea, simularea, documentarea i transferul facil al schemelor (diagramelor) proiectate nspre un sistem funcional ales de ctre proiectant. De asemenea ofer on-line un display al circuitului n funciune precum i un display al tuturor funciilor asociate ale parametrilor de tip releu. Facilitile programului permit formate de vizualizare, editare i tiprire ale programelor de tip SPECIFIC, IEC -n acord cu standardele internaionale sau ANSI -n acord cu standardele Americane. Cteva meniuri ale programului de proiectare amintit simplific mult activitatea de proiectare a aplicaiei. Activitatea de proiectare grafic a circuitului funcional poate fi ceat doar prin selecia contactelor, bobinelor, releelor funcionale sau ale blocurilor funcionale din fereastra Toolbox i aducerea lor n fereastra Circuit Diagram, prin procedura cunoscut drag and drop. Mouse-ul poate fi utilizat pentru realizarea conexiunilor dintre diferitele componente din schem, dac conexiunile nu sunt trasate automat. Diagrama circuitului poate fi introdus prin comenzi ale tastaturii, simplificnd astfel proiectarea de pe un laptop. Adnotri pot fi fcute pe contacte i bobine pentru o mai bun identificare a componentelor. Un listing al componentelor alese, al coordonatelor i conexiunilior lor, mpreun cu comentariile conexe, poate fi printat pentru a realiza o documentaie a proiectului conceput. Acest instrument virtual de simulare permite testarea schemelor proiectate fra ca dispozitivul s fie conectat. Programul proiectat poate fi testat n ntregime sau pe seciuni i utilizeaz intrri, ieiri, break points i faciliti de afiare pentru a ajuta n faza de simulare. Diagrama (schema proiectat) testat poate fi transferat dispozitivului automat programabil prin intermediul unui cablu conectat ntre acesta i calculatorul PC. Dispozitivele EASY400 500 600 700 sunt automate programabile cu funcia de relee de control electronic, dotate cu funcii logice, cu temporizatoare, cu numrtoare i comutatoare temporizate, ce pot fi interfaate drept dispozitive de intrare. Spre deosebire de seria precedent, dispozitivele EASY 800 ofer funcii suplimentare de tip aritmetice i permit un conexiuni pe reele de Internet. Prin conexiuni de internet se permite conectarea mpreun a maximum 8 dispozitive de tip releu ntr un sistem integrat de control.. Controlerele de tip Easy 800 permit implementarea sistemelor de mare vitez i distribuia funciunilor pentru fiecare staie de procesare pe reeaua NET. Dispozitivele MFD Titan sunt realizate in totalitate cu procesoarele Easy. Un dispozitiv MFD ofer aceleai funcii de control ca i cele de tip Easy 800, dar au capabilitatea de a afia informaii pe un display grafic propriu ipermit ca atare intervenii n proces asupra variabilelor de intrare. Cu dispozitivele Easy se pot sintetiya diagrame de circuite logice n tehnica ladder.. Acest lucru se poate realiza utiliznd direct butoanele aferente i display ul dispozitivului sau prin utilizarea unui calculator PC i a soft ului EASY-SOFT, care permite nu numai sinteza aplicaiilor ci i testarea acestora. Informaiile n legtur cu diagramele pot fi printate n format DIN, ANSY sau format EASY. Seria de dispozitive EASY 800 and MFD permite: conectarea contactelor n serie i n paralel; comutaia releelor de ieire i a unor relee auxiliare; setarea circuitelor de ieire ca bobine, relee de impuls, pe front cresctor sau descresctor sau a unor relee de tip latch, adica cu memorie;

selectia releelor de timp cu funcii diferite (la acionare, la acionare/cu ntrziere aleatoare , la revenire, la revenire cu cu ntrziere aleatoare, cu temporizare i la acionare i la revenire, aleatoare sau nu, cu sincronizare sau nu, etc. utilizarea de numrtoare directe sau inverse; numrarea de impulsuri de vitez mare; afiarea de text; procesarea de mrimi analogice, cele de tip DC; programarea pe 7 zile sau pe durata unui an de zile; procesarea aritmetic a semnalelor; trasarea fluxului de informaie pe diagrame; ncrcarea, salvarea i protecia cu password a schemelor (diagramelor) proiectate. comunicarea pe reele de NET; Exist trei moduri de baz de aplicaii pentru care dispozitivele MFD pot fi utilizate: aplicaii ca dispozitiv de afiaj cu display; aplicaii ca terminal prevzut cu modul de display i butoane; aplicaii fr vizualizare, deci fr modul de display. SINTEZA DIAGRAMEI APLICAIEI PE BAZA PROGRAMULUI DE PROIECTARE GRAFIC EASY SOFT 6 PRO Dup lansarea n execuie a directorului EASY SOFT 6 PRO, intalat n PC, se observ c fereastra deschisa conine trei seciuni: 1-Toolbox, 2-Properties field i 3-Circuit Diagram, Fig 5.1

Figura 5.1 lansarea n execuie a directorului EASY SOFT 6 PRO i cele trei seciuni: 1-Toolbox, 2-Properties field i 3-Circuit Diagram

Din fereastra Toolbox -cu instrumente virtuale de tip EASY se alege varianta dorit de automat programabil, n cazul de fa am ales modelul EASY 620-DC-TC, pentru considerentele enumerate anterior i cu un click pe instrumentul dorit, prin operaiunea drag and drop, acesta se aduce n fereastra Circuit Diagram. Prin click dreapta pe instrumentul selecionat se deschide n fereastra Properties Field o nou fereastr n care apar detaliile tehnice ale dispozitivului ales. Prin dublu click pe instrumentul ales din fereastra Circuit Diagram aceasta devine operaional. Urmeaz etapa n care din zona cu Toolbox, sunt aduse n zona Circuit Diagram, rand pe rnd, toate componentele cu care se construiete aplicaia. In fig apar contacte de rele, formate din instrumentele I -Input Basic Unit, relee- din instrumentele Q -Output Basic Unit, relee intermediare sau markeri, din instrumentele de tip M -Marker i relee de timp, din instrumente de tip -Timing relay. Fiecare instrument poate fi setat pentru o anumit funciune, de tip Contactor, cu efect la acionare -Make, sau la revenire -Break, dar i din punct de vedere al performanelor de comutaie n calitate de Contactor, Releu de impuls, activ la comanda de setare, -Set, sau cu revenire la comanda Reset. Temporizri diverse Setpoint pot fi propuse pe domenii de timp i n limite extrem de largi, precum i funcii diverse de comutaie. Fiecare component selectat din spaiul Circuit Diagram poate fi indexat -cu un indice numeric i poate s conin un comentariu suplimentar, n spaiul Comment. Diagrama de circuit, sintetizat secvenial pentru rezolvarea problemei propuse, apare fragmentat n fereastra Diagram Circuit n urmtoarele plane grafice, Fig 5.2,5.3,5.4,5.5,5.6,5.7,5.8, 5.9,5.10 precum i detalii asupra setrilor instrumentelor folosite n schema electric respectiv.

Figura 5.2 Diagrama de circuit pentru rezolvarea problemei propuse

Figura 5.3

Figura 5.4

Figura 5.5

Figura 5.6

Figura 5.7

Figura 5.8

Figura 5.9

Figura 5.10 Dup finalizarea diagramei secveniale a circuitului electric i salvarea informaiei acesteia, de exemplu fiierul EX1.e60, se poate trece la faza de simulare, prin click n zona Simulation din fereastra Toolbox. Pentru activarea componentelor aferente intrrilor de date se d click pe comutatorul I/R function , se selecteaz modul de conectare al intrrii, prin contacte-

tip I, sau rezistene, tip R i se seteaz tipurile de contacte ce se utilizeaz pentru fiecare intrare n parte (normal nchise, normal deschise, cu sau fr memorie pe starea acionat, etc,) vezi figk

Figura 5.11 Din comutatorul Display se comand afiarea strii intrrilor I, a ieirilor Q-outputs, sau marker-ilor M markers, etc., vezi fig.l. Dup lansarea n execuie a programului de simulare, prin activarea comutatorului grafic PLAY de pe toolbar-ul aplicaiei pot fi vizualizate stri ale contactelor I al intrrilor dup acionarea contactelor corespunztoare din zona I -inputs, vezi figl., sau ale ieirilor Q, vezi Figm.. Pentru intrri, culoarea verde nseamn starea normal a contactelor, iar pentru ieiri, verde reprezint starea activat ca efect al comenzilor primite n cadrul programului grafic dezvoltat i simulat. n figura 5.12 se observ c primul contact I1 este deschis, iar celelalte contacte I2, I8 sunt nchise, deci sunt ndeplinite condiiile de pornire ale motorului conectat la portul Q2 al aplicaiei, se ateapt doar comanda de pornire prin activarea lui I1.

Figura 5.12 In figura 5.13 se prezint starea porturilor de ieire Q. Dup cum se vede Q1 a fost activat, deci led-ul care este conectat la acest ieire anun c s-a primit comanda de pornire i c o temporizare la acionare este n curs de executare. In Fign portul Q2 este acionat i comanda de pornire este deci transmis motorului.

Figura 5.12 Starea porturilor de ieire

Figura 5.13 Ca efect al acionrii unei protecii, de exemplu releul de temperatur, portul Q8 a fost activat n consecin comanda de oprire automat a motorului a fost executat , portul Q2 a fost deci dezactivat, Figo

Figura 5.14

Figura 5.15

Figura 5.16 Conexiunea electric a modulului EASY 620-DC-TC Dup verificarea funcionrii corecte a schemei proiectate a circuitului secvenial, se poate trece la etapa de transfer a aplicaiei n memoria dispozitivului de comand numeric ales pentru aplicaia descris. Prin cablu de comunicaie specific instrumentelor EASY se face

conexiunea dintre modulul selectat i calculator, la portul USB al acestuia. n fereastra Toolbars se activeaz comutatorul Communication i se executa clic pe comutatorul Connection, setat Online. Dup ce instrumentul a fost identificat, programul exersat poate fi transferat din calculator n modulul conexat, prin comanda pe comutatorul PC-Device, Fig p n felul acesta modulul ales de automat programabil poate fi instalat i utilizat n aplicaia descris, Fig. q , conform a ceea ce se dorete i a fost descris n cuvinte, la nceputul capitolului i grafic, prin algoritmul de funcionare, de asemenea prezentat.

6. COMANDA NUMERICA A ACIONRII UTILIZND SISTEME DE ACHIZIII DE DATE I MEDIUL DE PROGRAMARE GRAFIC HP VEE Pro & DT VPI Aceiai problem de comand numeric a unei acionri electrice, precum cea prezentat n aplicaiile precedente din cadrul capitolului, urmeaz a fi rezolvat utiliznd tehnologia computerizat i sisteme de achiziii de date, pentru controlul i comanda n timp real a procesului amintit. Schema de principiu a ansamblului sistem de control-comand i proces este prezentat n fig. 6.1. Dup cum se observ se apeleaz la o unitate de tip Personal Computer, cu sistem de operare specific, la care se ataeaz un sistem de tip Data Aquisition Board (Sistem de Achiziii de Date), prin conectarea acesteia la una din magistralele PC-ului (ISA, PCI, USB sau PCMCIA). Pentru rezolvarea aplicaiei propuse s-a ales o unitate de interfaare DAQ de tip -DT 304, a firmei Datatranslation, SUA, cu magistral de tip PCI, ce realizeaz conexiunea cu procesul prin intermediul unui cablu ecranat , SC i a unui pannel terminal, T.P. Pentru proiectarea software a aplicaiei propuse se apeleaz la un program de proiectare grafic, HP-VEE Pro, compatibil cu sistemul DAQ propus DT 304, de la firma Hewlett-Packard,.

ECHIPAMENT ELECTRIC CAPSULAT PRESURIZAT

T.P. Terminal Panel S.C. ( Shielded Cable )

PC DAQ Personal Computer & Data Acquisition Software: - MS DOS andWindows x.x Board & - Application software Driver Software

Accessories

Ex...p

ISA Bus; PCI Bus;...

Figura 6.1 Schema de principiu a ansamblului sistem de control-comand i proces SISTEMUL DE ACHIZIII DE DATE, DAQ, DT 304 este un sistem de achiziii de date multifuncional din seria DT 300, pentru conectare la magistralele PCI ale calculatoarelor personale, care are cteva caracteristici speciale i anume: vitez mare de transfer bus-master a datelor, fr intervenia procesorului central CPU, elibernd astfel resursele sistemului pentru procesarea datelor; capabiliti flexibile de triggerare i de clock; nu necesit sistem de ntreruperi, elibernd considerabil resursele sistemului; suport software Windows extensiv.

Sistemul de achiziii de date DT304 conine un numr de 16 intrri analogice, de tip singleended, sau 8 intrri difereniale, 23 de linii de intrare/ieire -I/O, digitale i un numr de 4 canale numrtoare/timere. Rezoluia convertorului analog-digital este realizat pe 12 bii, la o frecven maxim de eantionare de 400kHz. Sistemul DT 304 dispune i de dou canale de ieie analogice. Cu facilitatea plug and play a magistralei PCI, sistemul nu necesit jumpere sau comutatoare pentru setri, iar arhitectura, accesoriile i software-ul seriei DT 300, instalarea i upgradarea acestor plci este extrem de facil i de accesibil. Intrrile analogice. Seria de placi DT 300 este proiectat pentru a dispune de 16 intrri single ended i respectiv 8 difereniale. n plus, o conexiune Amp Low permite intrrilor single ended s fie conectate la un potenial de referin comun, altul de ct cel de ground, pentru a asigura o funcionare pseudo diferenial a intrrilor analogice. Prin software se selecteaz funcionarea unipolar sau bipolar, amplificarea de 1, 2, 4, sau 8 ori a fiecrei intrri analogice i intervalele de tensiune ale intrrilor: 0-10V, 0-5V, 0-2.5V, 01.25V, +/- 10V, +/-5V, +/-2,5V i +/-1,25 V. Pentru o i mai mult flexibilitate, o list de 1024 de locaii de amplificri ale canalelor permite utilizatorului s execute eantionri non secveniale ale canalelor i valori diferite ale amplificrii acestora. Subsistemul analogic de intrare poate fi calibrat automat, software. Seria DT 300 de plci de achiziii de date poate realiza achiziionarea unui singur eantion, de pe ori care dintre canale, sau a unui numr de eantioane, de pe canale multiple. Pentru a achiziiona date de pe mai multe canale, seria DT 300 asigur dou moduri de scanare: continuu i triggerate. Utiliznd achizitionarea continuu, placa realizeaz scanarea continua a listei amplificrii i a canalelor i achiziioneaz date pna la comanda stop sau pn ce se realizeaz un numr setat de eantioane. Utiliznd comanda triggerat de scanare, sistemul scaneaz lista de amplificri-canale cu vitez mare, cu un interval programat ntre scanri, emulnd un sistem de eantionare-memorare simultan. Utiliznd o surs de triggerare intern sau extern, se poate retriggera o operaie de scanare triggerat, pentru a putea cicla prin intermediul listei amplificarecanale pn la de 256 de ori per trigger; aceasta permite s se achiziioneze un semnal temporar, de date, pe fiecare canal, pentru fiecare triggerare, pna la 256 de eantioane per trigger. Scanarea poate fi astfel sincronizat utiliznd clock-ul intern sau unul extern plcii de achiziii. Circuitul de clock i cel de triggerare al convertorului A/D al seriei DT 300 este asigurat de doua numrtoare-temporizatoare de 24 de bii i un oscilator de 20MHz. Patru numrtoare adiionale, de 16 bii, sunt la dispoziia utilizatorului.

Seria de circuite DT 300 asigur flexibilitate de triggerare, att n modul trigger ct i n situaiile de post i pre triggerare. In situatiile de post triggerare, placa realizeaz achiziia de date dup ce un semnal TTL de triggerare sau un semnal software a fost lansat. n schemele de pre-triggerare, achiziia de date se produce pn la apariia unui semnal de triggerare. Mai exist i modul about trigger, cnd placa achiziioneaz date att naintea ct i dup semnalul de trigger. Performanele de precizie ale conversiei analog digitale sunt asigurate cu cea mai mare finee, datorit modului ENOB -effective number of bits, care specific precizia total a funciei de transfer a convertorului A/D. Pentru DT 304, ENOB-ul proiectat este de 11.5 bii. Ieirile analogice ale plcii DT 304 sunt n numr de dou, tip serial. Viteza de conversie pentru fiecare canal de ieire este tipic:-10kHZ. Rezoluia i domeniul de variaie al mrimii de ieire se selecteaz software. Intrrile i ieirile digitale sunt n numr de 23. Aceste linii sunt divizate pe porturi, dup cum urmeaz: Portul A, liniile 07; Portul B, liniile 815; Portul C, liniile 1622. Utiliznd presesetrile software, porturile A i B pot fi combinate pentru a configura o intrare-ieire virtual de 16 linii. Se poate programa fiecare port pentru a citi sau scrie date. Se pot citi strile porturilor A sau B, cu rate de 3MHz, prin includerea liniilor digitale drept canale de intrare n lista celor analogice. n felul acesta cele 16 linii digitale ale portului A i B sunt citite ca fiind un singur cuvnt de date. Ieirile digitale au capabilitate de curent suficient pentru a comanda direct module statice, de tip releu, absorbind max. 12 mA i genernd max. 15 mA. Toate conexiunile plcii DT 304 sunt accesibile printr-un conector cu 68 de pini. Panelul STP 300 este recomandat pentru a simplifica conectarea plcii la proces. Transferul datelor de tip bus-master, este realizat prin magistrala PCI la computerul gazd. Prin setarea unei bloc de memorie n computerul gazd, sistemul DT 304 transfer datele fr intervenia procesorului central CPU, permind acestuia alte sarcini, precum analiza datelor sau grafic. DT 304 nu necesita sistem de ntreruperi, astfel instalarea driver-ului plcii este facil i resursele sistemului sunt disponibile pentru alte utiliti. Toate sistemele DT 300 sunt compatibile cu software-ul standard DT Open Layers, ceea ce face posibil upgradarea hard, prin ncrcarea direct a noilor drivere, fr necesitatea re programrii ulterioare pentru utilizarea unor programe existente deja.

Schema bloc a seriei DT 300 este prezentat n fig.., iar datele tehnice ale modulului DT 304 utilizat sunt prezentate n figura 6.2.

Figura 6.2 Schema bloc a seriei DT 300 - Data Acquisition Board.

MEDIUL DE PROGRAMARE GRAFICA HP VEE PRO & DT VPI 6.0, PENTRU ACHIZITII DE DATE HP VEE Pro este un limbaj de programare grafic pentru dezvoltarea unor programe de control al instrumentelor electronice de msurare i pentru sisteme de achiziii de date. Cu ajutorul software-ului HP VEE se pot proiecta programe de dezvoltare grafic, prin interconectarea unor obiecte funcionale, ce formeaz o schem bloc. DT VPI este interfaa sistemelor de achiziii de date produse de Data Translation, care mpreun cu HP VEE constituie mediul de programare utilizat n cadrul proiectului de cercetare ce vizeaz eleborarea unei tehnologii de ncercarea nedistructiv, sintetic a contactoarelor

electromagnetice de putere. Multitudinea de funcii a mediului HP VEE face din acesta un instrument universal pentru dezvoltarea unor instrumente de msur i control. Utiliznd HP VEE, se pot realiza:

colectri de date de la instrumente i module specializate de tip plug-in; controlul interfeelor i echipamentelor; afiarea datelor utiliznd diferite tipuri de display-uri grafice, alfa numerice, etc.; ncrcarea i utilizarea comenzilor ActiveX, pentru a aduga funcionalitate mediului HP VEE; comunicarea cu alte tipuri de programe Windows utiliznd automatizri ActiveX; salvarea programelor utiliznd formate diferite: binare, ASCII, tabel, etc.; procesarea datelor; calculul, analiza i simularea datelor; utilizarea buclelor de program; controlul i reglajul n procese de reglare, pentru a simula, msura i calcula variabile de ieire; creearea programelor structurale i a interfeelor utilizator, etc. Software-ul VEE Pro lucreaz cu obiecte. Iat principalele moduri n care utilizatorul HP VEE interacioneaz cu obiectele: obinerea obiectelor, din menu ; deplasarea obiectelor n cadrul mediului de dezvoltare; schimbarea dimensiunii obiectelor; conectarea i cablarea obiectelor; tergerea obiectelor i a conexiunilor dintre acestea; selectarea obiectelor; schimbarea view-lui unor obiecte; deschiderea csuei de dialog Properties a unui obiect; tergerea unor obiecte; mutarea diagramei bloc n cadrul mediului de dezvoltare, etc.

SINTEZA PROGRAMULUI DE SIMULARE A PORNIRII MOTORULUI CU HP VEE PRO & DT VPI 6.0. Dup instalarea programului de proiectare grafic amintit i al software-ului de funcionare al sistemului DAQ ales, se deschide aplicaia din iconul Agilent VEE Pro 6.0. Din acest moment se poate construi schema grafic a modelului de simulare al aplicaiei, utiliznd instrumentele specifice, selectate din bara de instrumente grafice a lui VEE Pro 6.0., vezi fig 6.3

Figura 6.3 Fereastra de lucru a programului de proiectare grafic Proiectul grafic pentru modelul de simulare al pornirii motorului, n care apar instrumentele grafice precum : butonul de pornire (lansare n execuie al simulatorului)-Start MOTOR ; butonul de oprire al motorului, respectiv al simulrii- STOP ; comutatoarele bipoziionale Umin, Iss, Isc, Riz, Cond. pornire, prin care se simuleaz starea subsistemelor de protecie de tip minimal de tensiune, de suprasarcin, de scurtcircuit, de control al rezistenelor de izolaie, respectiv de condiionare a pornirii prin cheie de comand; poteniometrul de prescriere al temporizrii la comanda de pornire a acionrii, Temporizare pornire ; dispozitivele de semnalizare optic de tip display Color Alarm, i AlphaNumeric, pentru toate subsistemele logice de condiionare ce apar n circuitul grafic ; instrumentele de condiionare logic de tip NOTa i AND ; instrumente de temporizare programabila, de tip Delay, apar explicit n plana din fig..

Figura 6.4 Programul grafic VEE Pro pentru simularea controlului i comenzii acionrii Utiliznd facilitile software-ului VEE Pro, se pot proiecta interfee grafice ale aplicaiei descrise, prin utilizarea instrumentelor Create User Objects sau Create User Functions, din bara cu instrumentele de lucru, aa cum se vede n fig 6.5

Figura 6.5

Figura 6.6 Panelul grafic n care se ateapt comanda de pornire, click, pe Start MOTOR

Figura 6.7 Figura 6.8 Panelul grafic n care se execut comanda de pornire, click pe Start MOTOR: se afieaz starea subsistemelor de protecie i instrumentul grafic PROTECII semnalizeaz Protecii OK. Pan la pornirea efectiv a motorului se execut ntrzierea programat de 1.431s

Figura 6.8 Panelul grafic n care se arat c motorul este pornit, MOTOR PORNIT.

Figura 6.9 Figura 6.9 Panelul grafic n care se arat c motorul a primit comanda automat de oprire, Stop Motor, ca urmare a acionrii proteciei minimale de tensiune, Umin, dup ce comutatorul Umin de pe pannel a fost comutat. Se semnalizeaz optic ca au aprut restricii la o eventual nou comand de pornire datorit proteciei Umin.

Figura 6.10 Programul grafic VEE Pro pentru comanda acionrii prin intermediul sistemului de achiziii de date, DAQ

Pentru interfaarea programului grafic cu procesul a fost necesar implementarea instrumentelor grafice de comunicaie cu exteriorul. n fig se prezint programul grafic re proiectat, n care apar i instrumentele grafice Digital Aut Config, Put Single Value, DoutMux, prin care se permite transferul de comenzi logice dinspre calculator spre proces prin intermediul porturilor de ieire de tip digitale. Pentru aducerea de informaii logice de la proces nspre calculator se pot instala i instrumente de tip DigitalInConfig, GetsingleValue, DinMux, s.a.m.d. La prima activare a aplicaiei prin butonul Start MOTOR, fie din meniul principal fie din pannel-ul grafic, sau din comanda PLAY de pe bara de instrumente, se deschide o fereastr prin care se cere configurarea canalului de comunicaie digital al sistemului de achiziie ales pentru funcia respectiva, vezi fig. Dac la PC sunt conectate mai multe sisteme de achiziii de date, n fereastra Select Device and Subsystem sa alege modelul dorit de DAQ pentru a stabili comunicaia cu procesul.

Figura 6.11 Configurarea sistemului utilizat pentru transferul de date Programul grafic ce realizeaz att funciuni de control, n timp real, al datelor logice provenite de la subsistemele de protecie ct i comenzi logice ale motorului este sintetizat i prezentat n fig 6.11 Practic acest program grafic modeleaz funciile logice ale schemei electrice de comand i protecie computerizat a acionrii, propuse iniial ca tem studiu, utiliznd software-ul HP VEE Pro.

Fig. 6.12 Programul grafic VEE Pro pentru controlul i comanda n timp real a acionrii prin intermediul sistemului de achiziii de date, DAQ

Utiliznd facilitile lui HP VEE Pro programul grafic pentru controlul i comand n timp real a acionrii prin intermediul sistemului de achiziii de date, DAQ, prin compilare n limbajul ANSY C, a fost salvat ca document Notepad i este prezentat n continuare, pentru a avea o imagine comparativ asupra avantajelor nete ale limbajele grafice de proiectare-programare n comparaie cu celelalte metode cunoscute de programare computerizat.
Source file: "E:\\Documents and Settings\\zoller carol\\My Documents\\Control si Comanda Motor cu DAQ.vee" File last revised: Thu Feb 11 15:58:59 2010 Date documented: Thu Feb 11 16:04:08 2010 VEE revision: 6.01 Execution Mode: VEE 6 Convert Infinity on Binary Read: no I/O Configuration Embedded Configuration (Control si Comanda Motor cu DAQ.vee)

-------------------------------------------------M: Main Device Type : Main Context is secured : off Trig mode : Degrees Popup Panel Title Text : Untitled Show Popup Panel Title : on Show Popup Panel Border : on Popup Moveable : on Popup Panel Title Text Color : Object Title Text Popup Panel Title Background Color : Object Title Popup Panel Title Text Font : Object Title Text Delete Globals at Prerun : on M.5: Main/U min Device Type : Toggle Control Input pin 1 : Default Value (Int32, Scalar) Output pin 1 : Toggle Wait For Event : off Auto execute : off Initialize At Prerun : off Initialize at Activate : off Constant size fixed : off Password masking : off

Indices Enabled Int32 Value

: on :1

M.6: Main/Start MOTOR Device Type : Start M.7: Main/I ss Device Type : Toggle Control Input pin 1 : Default Value (Int32, Scalar) Output pin 1 : Toggle Wait For Event : off Auto execute : off Initialize At Prerun : off Initialize at Activate : off Constant size fixed : off Password masking : off Indices Enabled : on Int32 Value :1 M.8: Main/I sc Device Type : Toggle Control Input pin 1 : Default Value (Int32, Scalar) Output pin 1 : Toggle Wait For Event : off Auto execute : off Initialize At Prerun : off Initialize at Activate : off Constant size fixed : off Password masking : off Indices Enabled : on Int32 Value :1 M.9: Main/R iz Device Type : Toggle Control Input pin 1 : Default Value (Int32, Scalar) Output pin 1 : Toggle Wait For Event : off Auto execute : off Initialize At Prerun : off Initialize at Activate : off Constant size fixed : off Password masking : off Indices Enabled : on Int32 Value :0 M.10: Main/AlphaNumeric Device Type : AlphaNumeric Input pin 1 : Data (Any, Any) Clear At Prerun : on Clear at Activate : on Indices Enabled : on M.11: Main/AlphaNumeric Device Type : AlphaNumeric Input pin 1 : Data (Any, Any) Clear At Prerun : on Clear at Activate : on Indices Enabled : on M.12: Main/AlphaNumeric Device Type : AlphaNumeric Input pin 1 : Data (Any, Any) Clear At Prerun : on Clear at Activate : on Indices Enabled : on M.13: Main/AlphaNumeric Device Type : AlphaNumeric Input pin 1 : Data (Any, Any) Clear At Prerun : on Clear at Activate : on Indices Enabled : on M.14: Main/U min Device Type : ColorAlarm Input pin 1 : Data (Real64, Scalar) Clear At Prerun : on

Clear at Activate Indices Enabled Low Limit High Limit High Text Mid Text Low Text M.15: Main/I ss Device Type Input pin 1 Clear At Prerun Clear at Activate Indices Enabled Low Limit High Limit High Text Mid Text Low Text M.16: Main/I sc Device Type Input pin 1 Clear At Prerun Clear at Activate Indices Enabled Low Limit High Limit High Text Mid Text Low Text M.17: Main/R iz Device Type Input pin 1 Clear At Prerun Clear at Activate Indices Enabled Low Limit High Limit High Text Mid Text Low Text

: on : on : 0.33 : 0.66 : Umin : Mid :

: ColorAlarm : Data (Real64, Scalar) : on : on : on : 0.33 : 0.66 : Iss : Mid :

: ColorAlarm : Data (Real64, Scalar) : on : on : on : 0.33 : 0.66 : Isc : Mid :

: ColorAlarm : Data (Real64, Scalar) : on : on : on : 0.33 : 0.66 : Riz : Mid :

M.19: Main/NOT a Device Type : Formula Input pin 1 : a (Any, Any) Output pin 1 : Result Formula : NOT a M.20: Main/NOT a Device Type : Formula Input pin 1 : a (Any, Any) Output pin 1 : Result Formula : NOT a M.21: Main/NOT a Device Type : Formula Input pin 1 : a (Any, Any) Output pin 1 : Result Formula : NOT a M.22: Main/NOT a Device Type : Formula Input pin 1 : a (Any, Any) Output pin 1 : Result Formula : NOT a M.23: Main/ AND Device Type Input pin 1 Input pin 2 Input pin 3 Input pin 4 Input pin 5 Output pin 1 Formula

: Formula : a (Any, Any) : b (Any, Any) : C (Any, Any) : D (Any, Any) : E (Any, Any) : Result :

1. a AND b AND c AND d AND e 2. 3. M.24: Main/PROTECTII Device Type : ColorAlarm Input pin 1 : Data (Real64, Scalar) Input pin 2 : Clear (Any, Any) Clear At Prerun : on Clear at Activate : on Indices Enabled : on Low Limit : 0.33 High Limit : 0.66 High Text : OK Mid Text : Mid Low Text : Restrictii pornire M.27: Main/Cond. pornire Device Type : Toggle Control Input pin 1 : Default Value (Int32, Scalar) Output pin 1 : Toggle Wait For Event : off Auto execute : off Initialize At Prerun : off Initialize at Activate : off Constant size fixed : off Password masking : off Indices Enabled : on Int32 Value :1 M.28: Main/Cond. pornire Device Type : ColorAlarm Input pin 1 : Data (Real64, Scalar) Clear At Prerun : on Clear at Activate : on Indices Enabled : on Low Limit : 0.33 High Limit : 0.66 High Text : High Mid Text : Mid Low Text : Low M.29: Main/NOT a Device Type : Formula Input pin 1 : a (Any, Any) Output pin 1 : Result Formula : NOT a M.36: Main/MOTOR Device Type : ColorAlarm Input pin 1 : Data (Real64, Scalar) Input pin 2 : Clear (Any, Any) Clear At Prerun : on Clear at Activate : on Indices Enabled : on Low Limit : 0.33 High Limit : 0.66 High Text : MOTOR PORNIT Mid Text : Mid Low Text : STOP MOtor M.62: Main/Until Break Device Type : Until Break Output pin 1 : Continuous M.70: Main/If A == B Device Type : If/Then/Else Input pin 1 : A (Any, Any) Input pin 2 : B (Any, Any) Output pin 1 : Then Output pin 2 : Else If/Else cases : A==B M.71: Main/Button Device Type : Toggle Control Output pin 1 : Toggle Wait For Event : off

Auto execute : off Initialize At Prerun : off Initialize at Activate : off Constant size fixed : off Password masking : off Indices Enabled : on Int32 Value :0 M.72: Main/O Device Type : Toggle Control Input pin 1 : Reset (Any, Any) Output pin 1 : Toggle Wait For Event : off Auto execute : off Initialize At Prerun : on Initialize at Activate : off Constant size fixed : off Password masking : off Indices Enabled : on Int32 Value :1 M.74: Main/AlphaNumeric Device Type : AlphaNumeric Input pin 1 : Data (Any, Any) Clear At Prerun : on Clear at Activate : on Indices Enabled : on M.75: Main/AlphaNumeric Device Type : AlphaNumeric Input pin 1 : Data (Any, Any) Clear At Prerun : on Clear at Activate : on Indices Enabled : on M.76: Main/Stop Device Type Exit code M.77: Main/Gate Device Type Input pin 1 Output pin 1

: Stop :0

: Gate : A (Any, Any) :X

M.78: Main/Button Device Type : Toggle Control Output pin 1 : Toggle Wait For Event : off Auto execute : off Initialize At Prerun : off Initialize at Activate : off Constant size fixed : off Password masking : off Indices Enabled : on Int32 Value :0 -------------------------------------------------M.82: Digital Out Config <Secured UserObject, no documentation generated> M.83: Main/Bit 0 Device Type : Toggle Control Output pin 1 : Toggle Wait For Event : off Auto execute : off Initialize At Prerun : off Initialize at Activate : off Constant size fixed : off Password masking : off Indices Enabled : on Int32 Value :0 M.84: Main/Bit 1 Device Type Output pin 1 Wait For Event Auto execute

: Toggle Control : Toggle : off : off

Initialize At Prerun : off Initialize at Activate : off Constant size fixed : off Password masking : off Indices Enabled : on Int32 Value :0 M.85: Main/Bit 2 Device Type : Toggle Control Output pin 1 : Toggle Wait For Event : off Auto execute : off Initialize At Prerun : on Initialize at Activate : off Constant size fixed : off Password masking : off Indices Enabled : on Int32 Value :0 M.86: Main/Bit 3 Device Type : Toggle Control Output pin 1 : Toggle Wait For Event : off Auto execute : off Initialize At Prerun : on Initialize at Activate : off Constant size fixed : off Password masking : off Indices Enabled : on Int32 Value :0 M.87: Main/Bit 4 Device Type : Toggle Control Output pin 1 : Toggle Wait For Event : off Auto execute : off Initialize At Prerun : on Initialize at Activate : off Constant size fixed : off Password masking : off Indices Enabled : on Int32 Value :0 M.88: Main/Bit 5 Device Type : Toggle Control Output pin 1 : Toggle Wait For Event : off Auto execute : off Initialize At Prerun : on Initialize at Activate : off Constant size fixed : off Password masking : off Indices Enabled : on Int32 Value :0 M.89: Main/Bit 6 Device Type : Toggle Control Output pin 1 : Toggle Wait For Event : off Auto execute : off Initialize At Prerun : on Initialize at Activate : off Constant size fixed : off Password masking : off Indices Enabled : on Int32 Value :0 M.90: Main/Bit 7 Device Type : Toggle Control Output pin 1 : Toggle Wait For Event : off Auto execute : off Initialize At Prerun : on Initialize at Activate : off Constant size fixed : off Password masking : off Indices Enabled : on

Int32 Value

:0

M.91: Main/Channel Device Type : Constant Output pin 1 : Int32 Wait For Event : off Auto execute : off Initialize At Prerun : off Initialize at Activate : off Constant size fixed : off Password masking : off Indices Enabled : on Int32 Value :0 M.92: Main/DoutMux Device Type : Formula Input pin 1 : A (Any, Any) Input pin 2 : B (Any, Any) Input pin 3 : C (Any, Any) Input pin 4 : D (Any, Any) Input pin 5 : E (Any, Any) Input pin 6 : F (Any, Any) Input pin 7 : G (Any, Any) Input pin 8 : H (Any, Any) Output pin 1 : Result Formula : a+(2*b)+(4*c)+(8*d)+(16*e)+(32*f)+(64*g)+(128*h) M.93: Main/Put Single Value Device Type : Call Input pin 1 : hSubsys (Int32, Any) Input pin 2 : Channel (Int32, Any) Input pin 3 : Value (Real64, Any) Output pin 1 : hSubsys Function name : dtv.PutSingleValue M.94: Main/a AND b Device Type : Formula Input pin 1 : a (Any, Any) Input pin 2 : b (Any, Any) Output pin 1 : Result Formula : a AND b M.95: Main/Delay Device Type : Delay Input pin 1 : Delay (Real64, Scalar) Output pin 1 : Done Delay : 0.5680000000000001 M.96: Main/Gate Device Type Input pin 1 Output pin 1

: Gate : A (Any, Any) :X

M.97: Main/Temporiz pornire Device Type : Knob Output pin 1 : Real64 Wait For Event : off Auto execute : off Initialize At Prerun : off Initialize at Activate : off Constant size fixed : off Password masking : off Indices Enabled : on Value Range : 0 10 Detent Size : 0.001 Real64 Value : 0.5680000000000001 M.121: Main/AlphaNumeric Device Type : AlphaNumeric Input pin 1 : Data (Any, Any) Clear At Prerun : on Clear at Activate : on Indices Enabled : on M.123: Main/Get Single Value Device Type : Call Input pin 1 : hSubsys (Int32, Any)

Input pin 2 Output pin 1 Output pin 2 Function name

: Channel (Int32, Any) : hSubsys : Value : dtv.GetSingleValue

M.124: Main/Until Break Device Type : Until Break Output pin 1 : Continuous M.125: Main/Channel Device Type : Constant Output pin 1 : Int32 Wait For Event : off Auto execute : off Initialize At Prerun : off Initialize at Activate : off Constant size fixed : off Password masking : off Indices Enabled : on Int32 Value :0 -------------------------------------------------M.126: DinDemux Device Type : UserObject Input pin 1 : A (Any, Any) Output pin 1 : Bit 0 Output pin 2 : Bit 1 Output pin 3 : Bit 2 Output pin 4 : Bit 3 Output pin 5 : Bit 4 Output pin 6 : Bit 5 Output pin 7 : Bit 6 Output pin 8 : Bit 7 Context is secured : off Trig mode : Degrees Popup Panel Title Text : DinDemux Show Popup Panel Title : on Show Popup Panel Border : on Popup Moveable : off Popup Panel Title Text Color : Object Title Text Popup Panel Title Background Color : Object Title Popup Panel Title Text Font : Object Title Text M.126.0: DinDemux/If/Then/Else Device Type : If/Then/Else Input pin 1 : A (Any, Any) Output pin 1 : Then Output pin 2 : Else If Output pin 3 : Else If/Else cases : 1. bit(a, 0)*1 2. ( NOT bit(a, 0))*0.01 M.126.1: DinDemux/If/Then/Else Device Type : If/Then/Else Input pin 1 : A (Any, Any) Output pin 1 : Then Output pin 2 : Else If Output pin 3 : Else If/Else cases : 1. bit(a, 1)*1 2. ( NOT bit(a, 1))*0.01 M.126.2: DinDemux/If/Then/Else Device Type : If/Then/Else Input pin 1 : A (Any, Any) Output pin 1 : Then Output pin 2 : Else If Output pin 3 : Else If/Else cases : 1. bit(a, 2)*1 2. ( NOT bit(a, 2))*0.01 M.126.3: DinDemux/If/Then/Else Device Type : If/Then/Else Input pin 1 : A (Any, Any) Output pin 1 : Then

Output pin 2 : Else If Output pin 3 : Else If/Else cases : 1. bit(a, 3)*1 2. ( NOT bit(a, 3))*0.01 M.126.4: DinDemux/If/Then/Else Device Type : If/Then/Else Input pin 1 : A (Any, Any) Output pin 1 : Then Output pin 2 : Else If Output pin 3 : Else If/Else cases : 1. bit(a, 4)*1 2. ( NOT bit(a, 4))*0.01 M.126.5: DinDemux/If/Then/Else Device Type : If/Then/Else Input pin 1 : A (Any, Any) Output pin 1 : Then Output pin 2 : Else If Output pin 3 : Else If/Else cases : 1. bit(a, 5)*1 2. ( NOT bit(a, 5))*0.01 M.126.6: DinDemux/If/Then/Else Device Type : If/Then/Else Input pin 1 : A (Any, Any) Output pin 1 : Then Output pin 2 : Else If Output pin 3 : Else If/Else cases : 1. bit(a, 6)*1 2. ( NOT bit(a, 6))*0.01 M.126.7: DinDemux/If/Then/Else Device Type : If/Then/Else Input pin 1 : A (Any, Any) Output pin 1 : Then Output pin 2 : Else If Output pin 3 : Else If/Else cases : 1. bit(a, 7)*1 2. ( NOT bit(a, 7))*0.01 M.126.8: DinDemux/JCT Device Type : Junction Input pin 1 : A (Any, Any) Input pin 2 : B (Any, Any) Output pin 1 : Data M.126.9: DinDemux/JCT Device Type : Junction Input pin 1 : A (Any, Any) Input pin 2 : B (Any, Any) Output pin 1 : Data M.126.10: DinDemux/JCT Device Type : Junction Input pin 1 : A (Any, Any) Input pin 2 : B (Any, Any) Output pin 1 : Data M.126.11: DinDemux/JCT Device Type : Junction Input pin 1 : A (Any, Any) Input pin 2 : B (Any, Any) Output pin 1 : Data M.126.12: DinDemux/JCT Device Type : Junction Input pin 1 : A (Any, Any) Input pin 2 : B (Any, Any) Output pin 1 : Data M.126.13: DinDemux/JCT

Device Type Input pin 1 Input pin 2 Output pin 1

: Junction : A (Any, Any) : B (Any, Any) : Data

M.126.14: DinDemux/JCT Device Type : Junction Input pin 1 : A (Any, Any) Input pin 2 : B (Any, Any) Output pin 1 : Data M.126.15: DinDemux/JCT Device Type : Junction Input pin 1 : A (Any, Any) Input pin 2 : B (Any, Any) Output pin 1 : Data -------------------------------------------------M.135: Digital In Config <Secured UserObject, no documentation generated> M.137: Main/AlphaNumeric Device Type : AlphaNumeric Input pin 1 : Data (Any, Any) Clear At Prerun : on Clear at Activate : on Indices Enabled : on M.139: Main/AlphaNumeric Device Type : AlphaNumeric Input pin 1 : Data (Any, Any) Clear At Prerun : on Clear at Activate : on Indices Enabled : on M.142: Main/AlphaNumeric Device Type : AlphaNumeric Input pin 1 : Data (Any, Any) Clear At Prerun : on Clear at Activate : on Indices Enabled : on M.145: Main/AlphaNumeric Device Type : AlphaNumeric Input pin 1 : Data (Any, Any) Clear At Prerun : on Clear at Activate : on Indices Enabled : on M.148: Main/AlphaNumeric Device Type : AlphaNumeric Input pin 1 : Data (Any, Any) Clear At Prerun : on Clear at Activate : on Indices Enabled : on M.151: Main/AlphaNumeric Device Type : AlphaNumeric Input pin 1 : Data (Any, Any) Clear At Prerun : on Clear at Activate : on Indices Enabled : on M.154: Main/AlphaNumeric Device Type : AlphaNumeric Input pin 1 : Data (Any, Any) Clear At Prerun : on Clear at Activate : on Indices Enabled : on -------------------------------------------------M.157: Converter Device Type : UserObject Input pin 1 : x (Any, Any) Input pin 2 : x (Any, Any) Input pin 3 : x (Any, Any) Input pin 4 : x (Any, Any)

Input pin 5 : x (Any, Any) Input pin 6 : x (Any, Any) Input pin 7 : x (Any, Any) Input pin 8 : x (Any, Any) Output pin 1 : Result Output pin 2 : Result Output pin 3 : Result Output pin 4 : Result Output pin 5 : Result Output pin 6 : Result Output pin 7 : Result Output pin 8 : Result Output pin 9 : Result Output pin 10 : Result Output pin 11 : Result Output pin 12 : Result Output pin 13 : Result Context is secured : off Trig mode : Degrees Popup Panel Title Text : UserObject Show Popup Panel Title : on Show Popup Panel Border : on Popup Moveable : on Popup Panel Title Text Color : Object Title Text Popup Panel Title Background Color : Object Title Popup Panel Title Text Font : Object Title Text M.157.0: Converter/asVariant(x) Device Type : Formula Input pin 1 : x (Any, Any) Output pin 1 : Result Formula : asVariant(x) M.157.1: Converter/asInt32(x) Device Type : Formula Input pin 1 : x (Any, Any) Output pin 1 : Result Formula : asInt32(x) M.157.2: Converter/asVariant(x) Device Type : Formula Input pin 1 : x (Any, Any) Output pin 1 : Result Formula : asVariant(x) M.157.3: Converter/asInt32(x) Device Type : Formula Input pin 1 : x (Any, Any) Output pin 1 : Result Formula : asInt32(x) M.157.4: Converter/asVariant(x) Device Type : Formula Input pin 1 : x (Any, Any) Output pin 1 : Result Formula : asVariant(x) M.157.5: Converter/asInt32(x) Device Type : Formula Input pin 1 : x (Any, Any) Output pin 1 : Result Formula : asInt32(x) M.157.6: Converter/asVariant(x) Device Type : Formula Input pin 1 : x (Any, Any) Output pin 1 : Result Formula : asVariant(x) M.157.7: Converter/asInt32(x) Device Type : Formula Input pin 1 : x (Any, Any) Output pin 1 : Result Formula : asInt32(x) M.157.8: Converter/asVariant(x) Device Type : Formula

Input pin 1 Output pin 1 Formula

: x (Any, Any) : Result : asVariant(x)

M.157.9: Converter/asInt32(x) Device Type : Formula Input pin 1 : x (Any, Any) Output pin 1 : Result Formula : asInt32(x) M.157.10: Converter/asVariant(x) Device Type : Formula Input pin 1 : x (Any, Any) Output pin 1 : Result Formula : asVariant(x) M.157.11: Converter/asInt32(x) Device Type : Formula Input pin 1 : x (Any, Any) Output pin 1 : Result Formula : asInt32(x) M.157.12: Converter/asVariant(x) Device Type : Formula Input pin 1 : x (Any, Any) Output pin 1 : Result Formula : asVariant(x) M.157.13: Converter/asInt32(x) Device Type : Formula Input pin 1 : x (Any, Any) Output pin 1 : Result Formula : asInt32(x) M.157.14: Converter/asVariant(x) Device Type : Formula Input pin 1 : x (Any, Any) Output pin 1 : Result Formula : asVariant(x) M.157.15: Converter/asInt32(x) Device Type : Formula Input pin 1 : x (Any, Any) Output pin 1 : Result Formula : asInt32(x)

Pentru conectarea intrrilor, respectiv a ieirilor sistemului DT 304 la schema electric a aplicaiei, sunt necesare informaii n legtur cu semnificaia fiecrui pin al conectorului acestuia, aa cum se prezint n tabelul 17 din documentaia de firm .

S-ar putea să vă placă și