Sunteți pe pagina 1din 218

UNIVERSITATEA POLITEHNICA DIN TIMIOARA

Facultatea de Electronic i Telecomunicaii








EXAMEN LICEN
SPECIALIZAREA
ELECTRONIC APLICAT





2012-2013

UNIVERSITATEA POLITEHNICA DIN TIMIOARA


Facultatea de Electronic i Telecomunicaii






EXAMEN LICEN
SPECIALIZAREA
ELECTRONIC APLICAT





2012-2013

Cuprins
Discipline fundamentale
Uniti de msur ..1
Noiuni generale de Fizic.4
Concepte/teoreme matematice de uz practic n exercitarea profesiei de inginer.......9
Circuite electronice fundamentale...17

Circuite integrate analogice.31
Circuite integrate digitale48
Sisteme de prelucrare numeric cu procesoare............................... 61
Semnale i sisteme.......82
Zona tematic 5 (aplicaii)...94
Discipline de specialitate
Aparate electronice de msurat......112
Bazele sistemelor flexibile inteligente...126
Electronic de putere n comutaie....168
Radiocomunicaii...179
Sisteme cu logic programabil.190
Sisteme de achiziii de date...199
Testarea echipamentelor pentru EA..206
1
UNITTI DE MSUR
ale Sistemului International
2
1. Specificai unitatea SI pentru mas i simbolul ei. Specificai factorul de multiplicare i simbolul pentru
micro (exemplu: atto = 10
-18
, a).
Unitatea SI pentru mas este kilogramul. Simbolul su este kg. Factorul de multiplicare pentru micro este
10
-6
. Simbolul su este .
2. Specificai unitatea SI pentru lungime. Specificai factorul de multiplicare i simbolul pentru mili (exemplu:
atto = 10
-18
, a).
Unitatea SI pentru lungime este metrul. Simbolul su este m. Factorul de multiplicare pentru mili este 10
-
3
. Simbolul su este m.
3. Specificai unitatea SI pentru timp. Specificai factorul de multiplicare i simbolul pentru micro (exemplu:
atto = 10
-18
, a).
Unitatea SI pentru timp este secunda. Simbolul su este s. Factorul de multiplicare pentru micro este 10
-6
.
Simbolul su este .
4. Specificai unitatea SI pentru curentul electric. Specificai factorul de multiplicare i simbolul pentru mili
(exemplu: atto = 10
-18
, a).
Unitatea SI pentru curentul electric este amperul. Simbolul su este A. Factorul de multiplicare pentru mili
este 10
-3
. Simbolul su este m.
5. Specificai unitatea SI pentru viteza unghiular. Specificai factorul de multiplicare i simbolul pentru kilo
(exemplu: atto = 10
-18
, a).
Unitatea SI pentru angular viteza unghiular este radianul pe secund. Simbolul su este rad/s. Factorul
de multiplicare pentru kilo este 10
3
. Simbolul su este k.
6. Specificai unitatea SI pentru frecven. Specificai factorul de multiplicare i simbolul pentru tera
(exemplu: atto = 10
-18
, a).
Unitatea SI pentru frecven este herul. Simbolul su este Hz. Factorul de multiplicare pentru tera este
10
12
. Simbolul su este T.
7. Specificai unitatea SI pentru energie, lucru mecanic i cldur. Specificai factorul de multiplicare i
simbolul pentru mega (exemplu: atto = 10
-18
, a).
Unitatea SI pentru energie, lucru mecanic i cldur este joulul. Simbolul su este J. Factorul de
multiplicare pentru mega este 10
6
. Simbolul su este M.
8. Specificai unitatea SI pentru putere i flux radiant. Specificai factorul de multiplicare i simbolul pentru
giga (exemplu: atto = 10
-18
, a).
Unitatea SI pentru putere i flux radiant este wattul. Simbolul su este W. Factorul de multiplicare pentru
giga este 10
9
. Simbolul su este G.
9. Specificai unitatea SI pentru for sarcin electric i cantitate de electricitate. Specificai factorul de
multiplicare i simbolul pentru femto (exemplu: atto = 10
-18
, a).
Unitatea SI pentru sarcin electric i cantitate de electricitate este coulombul. Simbolul su este C.
Factorul de multiplicare pentru femto este 10
-15
. Simbolul su este f.
10. Specificai unitatea SI pentru tensiune electric, diferen de potenial i tensiune electromotoare.
Specificai factorul de multiplicare i simbolul pentru nano (exemplu: atto = 10
-18
, a).
3
Unitatea SI pentru tensiune electric, diferen de potenial i tensiune electromotoare este voltul.
Simbolul su este V. Factorul de multiplicare pentru nano este 10
-9
. Simbolul su este n.
11. Specificai unitatea SI pentru intensitatea cmpului electric. Specificai factorul de multiplicare i simbolul
pentru mega (exemplu: atto = 10
-18
, a).
Unitatea SI pentru intensitatea cmpului electric este voltul pe metru. Simbolul su este V/m. Factorul de
multiplicare pentru mega este 10
6
. Simbolul su este M.
12. Specificai unitatea SI pentru rezisten electric, impedan i reactan. Specificai factorul de
multiplicare i simbolul pentru kilo (exemplu: atto = 10
-18
, a).
Unitatea SI pentru rezisten electric, impedan i reactan este ohmul. Simbolul su este . Factorul
de multiplicare pentru kilo este 10
3
. Simbolul su este k.
13. Specificai unitatea SI pentru conductana electric. Specificai factorul de multiplicare i simbolul pentru
kilo (exemplu: atto = 10
-18
, a).
Unitatea SI pentru conductana electric este siemensul. Simbolul su este S. Factorul de multiplicare
pentru kilo este 10
3
. Simbolul su este k.
14. Specificai unitatea SI pentru capacitatea electric. Specificai factorul de multiplicare i simbolul pentru
pico (exemplu: atto = 10
-18
, a).
Unitatea SI pentru capacitatea electric este faradul. Simbolul su este F. Factorul de multiplicare pentru
pico este 10
-12
. Simbolul su este p.
15. Specificai unitatea SI pentru inductan. Specificai factorul de multiplicare i simbolul pentru mili
(exemplu: atto = 10
-18
, a).
Unitatea SI pentru inductan este henry. Simbolul su este H. Factorul de multiplicare pentru mili este
10
-3
. Simbolul su este m.
4
Noiuni generale de fizic
5
6
7
8
9
CONCEPTE / TEOREME MATEMATICE DE UZ
PRACTIC
N EXERCITAREA PROFESIEI DE INGINER
10
11
12
13
14
15
16
17
CIRCUITE ELECTRONICE FUNDAMENTALE
Anul II
18
1. Pentru un amplificator cu un tranzistor n conexiunea colector comun (repetor pe
emitor), desenai schema si comentai valorile pentru: amplificarea in tensiune,
rezistena de intrare i cea de ieire.
Curs 14 (pg. 1-2).
Obs
La studiul comportrii cu frecvena a tranzistorului bipolar au fost introduse o serie de frecvene
caracteristice: frecvena de tiere i frecvena de tranziie. Aceste mrimi caracterizeaz tranzistorul n
conexiune EC.
Se definete un parametru similar frecventei de taiere ,, i pentru conexiunea BC.

T
EC
B
BC
f f
f f
~

n conexiunea BC tranzistorul se comporta mult mai bine cu frecvena deoarece


B T
f f f >> ~

Comportarea la frecvene medii a etajului


de amplificare a tranzistorului bipolar
Din analiza precedent a rezultat c la frecvene medii unde pot fi neglijate reactanele condensatoarelor din circuit
i la care ns putem utiliza modelul cu parametrii h schema echivalent de semnal mic a unui etaj de amplificare pentru
orice tip de conexiune (EC, BC, CC) poate fi redus la o singur schem echivalent:
Obs
S-a preferat utilizarea parametrilor h deoarece analiza poate fi fcut pentru toate cele trei conexiuni
utiliznd o singur schem echivalent i particulariznd valorile parametrilor h corespunztori conexiunii
avute n vedere.
19
( )
( )
( )
( ) 2
1
1
1
1
22
21
12 11
12 11 1 1
1 12 1 11 12 2 1 11 0 12 1 11 1
1
1
22
21
1 21 22 2
2 22 1 21 22 0 1 21 2
2 0
1
2
1
L
L i i
i L
i L L
i
L
i
L
L
L
e
i
R h
h
h R h Z R
A h R h I U
I A h R I h h R I I h U h I h U
I
U
Z
R h
h
A
I h R h I
R I h I h h U I h I
R I U
I
I
I
I
A
+
= =
+ =
+ = = + =
=
+
=
= +
= + =
=
= =
Obs
1. semnul ,,- n expresia unei amplificri semnific faptul c acel amplificator introduce un defazaj de
180
o
2. se constat apoi c mrimea rezistenei de intrare este influenat de rezistena de sarcin
L
R
O O O =
O =
K K R
K R
i
L
100 , 20 , 2
1
2. Desenati schema electric a unei reele Wien precum i modul de conectare.
pentru a realiza un oscilator Wien. Explicati modul de functionare al oscilatorului.
Curs 26 (pg. 7-8).
20
( )
( )
( )
3
1
1
1
1 1
0
1
,
1
1
1
1 1
1
1
1 1
1
1
1
1
1
1
1
1
2
2
1
0
2 2 1 1
0 1 2 0
2 1
0
2 1
2 2 1 1
2
2 1
2 2 1 1
2 1
2 1
2 2 1 1
2
1 1 2 2
2
2 2
1
1 1
2 2
2
1
1 1
2
2
2
2
1
1
2
1
2
1
2 1
2
=
+ +
=
= = = 9 e =

+
+ +
=
+ + +
+
=
+

+
=
+
+
=
+
+
=
+
=
+
= =
C
C
R
R
RC R C R C
R C
R C
j
R C j
R C R C
R C
R C R C
R C j
R C j
R C R C R C j R C j
j
R
R C j
C j
R C j
R C j
R
C j
R C j
C j
R
C j
R
C j
R
Z
Z
Z
Z
Z Z
Z
U
U
j
o
o
r


Se constat comportamentul selectiv ca urmare apariia i mulimea oscilaiilor este determinat nu
numai de condiia de faz dar i de condiia de amplitudine deoarece pentru ( ) max ,
0
= j respectiv
( )
min
1
=
j
i prin urmare pentru aceast pulsaie poate fi satisfcut condiia de amplitudine (1).
De regul acest oscilator este cu frecvena reglabil, reglarea frecvenei fcndu-se n trepte, prin
comutarea capacitilor
2 1
,C C , i continuu, modificndu-se simultan rezistenele
2 1
, R R
21
D
e regul oscilatoarele cu circuitul Wien se realizeaz n jurul unui amplificator operaional ca n figura:
3. In ce regim (clas) de funcionare a amplificatoarelor apar distorsiunile de
racordare? Explicai apariia lor pe baza unei figuri.
Curs 19 (pg. 5-6).
Obs
1. pe durata cte unei semialternane tranzistorul funcioneaz n conexiune CC.
2. pentru a asigura o excursie simetric la ieire tensiunile celor dou surse de tensiune se iau egale
E E E = = +
3. Datorit tensiunii de deschidere semnalul de ieire va prezenta distorsiuni neliniare, aa numitele
distorsiuni de racordare.
22
4. distorsiunile de racordare pot fi diminuate prin prepolarizarea tranzistoarelor finale (aducndu-se
uor spre limita de conducie). Polarizarea tranzistoarelor final prezint dezavantajul c poate
conduce la ambalarea termic a tranzistoarelor.
5. Exist posibilitatea alimentrii etajului de ieire de la o singur surs de alimentare.
6. ponderea distorsiunilor de racordare (de trecere) scade pentru semnalele de intrare mai mari.
7. Pentru semnale de intrare foarte mari apar distorsiuni datorate intrrii tranzistoarelor n saturaie.
ETAJE DE IEIRE N CONTRATIMP
CU TRANZISTOARE COMPUSE
Etajul de ieire prezentat anterior necesit o putere de comand nsemnat de la etajul prefinal care n precede.
Pentru a diminua aceast putere de comand se utilizeaz aa-numitele tranzistoare compuse. Acestea constituie combinaii
de dou sau chiar trei tranzistoare astfel conectate nct echivaleaz funcional cu un tranzistor dar care necesit un curent
de comand de baz substanial mai redus.
Se constat uor reducerea substanial a curenilor de comand dar n acelai
timp circuitul prezint dezavantajul nserierii a dou jonciuni emitoare fapt ce reduce
panta tranzistorului echivalent.
4. Cum trebuie s fie frecvena polului dominant din metoda de
compensare cu
acelai nume, fa de frecvenele polil or amplificatorului necompensat. Justificai
rspunsul.
Curs 25 (pg. 1).
23
Compensarea cu poli dominant se realizeaz prin conectarea unui condensator de compensare ntre
dou etaje succesive ale amplificatorului.
) 2 (
1 1 1 1
) (
) 1 (
1 1 1
) (
3 2 1
3 2 1
|
|
.
|

\
|
+
|
|
.
|

\
|
+
|
|
.
|

\
|
+
|
|
.
|

\
|
+
=
|
|
.
|

\
|
+
|
|
.
|

\
|
+
|
|
.
|

\
|
+
=

j j j j
A
j A
j j j
A
j A
d
C
0 0 0 0
0
0
1
1
1
1
1
1
1
1
1
R R C j R R
R
R R C j R R
R
C j
R
R
C j
R
C j
R
C j
R
R
C j
R
C j
R
i C i
i
i C i
i
C
i
C
i
C
i
C
i
C
i
C
i

+
=
+ + +
=
+
+
+
=
+

+
+

d
d
d C i
T
T C R R
1
0
=
=

5. Explicai rolul tranzistorului pilot al unui amplificator in contratimp respectiv cel al


tranzistoarelor finale.
Curs 20 (pg. 2-3).
Etaje de ieire de clas A B
24
Aceste etaje funcioneaz ntr-un regim care se situeaz ntre regimul de funcionare clas A i regimul
de funcionare clas B (mai aproape de clas B, n lipsa semnalului tranzistoarele nc conduc puin). Etajele n
clas AB prezint distorsiuni de racordare mai reduse.
Aceste distorsiuni sunt cu att mai sczute cu ct tranzistoarele conduc mai mult n lipsa semnalului.
Acest fapt poate conduce ns pe de alt parte la pericolul ambalrii termice. n clas AB randamentul este i el
ceva mai sczut.
Dezavantajul schemei l constituie instabilitatea funcionrii cu temperatura.
|
|
.
|

\
|
+ =
+
=
2
1
2 1
2
1
R
R
u u
R R
R
u u
BE D
D BE
Neajunsul schemei l constituie lipsa unui control facil al tranzistorului de prepolarizare. Acest neajuns
poate fi eliminat nlocuind cele doua diode cu o superdioda.
Bootstraparea etajului final
Bootstraparea este o metod de a obine excursia maxim posibil la ieire n
condiiile n care se utilizeaz aceleai tensiuni de alimentare.
Vom considera situaia n care conduce T
1
. Care este valoarea tensiunii
de comand?
( ) 1 E u E u u
ces BE icm
> + =
Din relaia (1) rezult c etajul prefinal ar trebui alimentat cu o
tensiune E E > ' pentru a putea asigura comanda necesar pentru excursia maxim la ieire.
Pe de alt parte excursia de la ieirea etajului prefinal este diminuat fa de tensiunea de alimentare
ca urmare a dreptei de sarcin dinamic.
25
6. Cum se modific rezistena echivalent de intrare i de ieire in cazul introducerii
n circuit a unei reacii negative de tip paralel-paralel. Justificati raspunsul prin relatii.
Curs 23 (pg. 3).
Reacie serie paralel
Sch,sch,sch,sch,sch
) 1 (
, :
, :
2 22 1 21 2
2 12 1 11 1
2 1
2 1
U H I H I
U H I H U
I U VD
U I VI
+ =
+ =
0
0
0
=
=
=
=
=
=
if
r
r
I
r
r
of
U
if
R
U
if
if
if
I
U
R
I
I
I
U
R

Se rearanjeaz schema.
7. Calculati frecventa limita la inalte a unui amplificator cu reactie, cunoscind frecventa limita la
inalte pentru amplificatorul fara reactie si factorul de desensibilizare F=1+A.
Curs 21 (pg. 7-8).
Efectul reaciei negative asupra caracteristicii de frecven
Vom considera pentru nceput comportarea la nalt frecven. Cazul unui amplificator avnd funcia de rspuns la
frecven cu un singur pol.
26
( ) ( )
( )
( )
( )
( ) ( )
( ) ( )
i i
i
r
i
i
i
i
r
i
T A T j A j A
T j
A
A
T j
A A T j
A A
T j
A
T j
A
j A
j A
j A
j
T j
A
j A

lg 20 lg 20 1 log 20 log 20 log 20


1
1
1
1
1 1
1
1
1
1 1
1
1
2
= + =
+
=

+

=
+

+
=

=
=
+
=
( )
r
i
ir ir
ir
A
A
A
A A T
T
A
T
lg 20
1
lg 20
1 lg 20 lg 20
1
log 20 lg 20
1
=

=
= = = =


Obs
Se constat c reacia negativ mrete de A 1 ori frecvena limit superioar a benzii de trecere.
Analiza la joase frecvene
Presupunem o funcie cu rspuns la frecven cu un singur pol.
27
( ) ( )
( )
( )
( ) ( )
( )
( )
( )
jr
jr r
r
j
j
j j
j
j
j
j
j
r
j
j
T j
T A
j A
A T j
A T j
A
A
T j A T j
T j
A
T j
T j
A
T j
T j
A
j A
j A
j A
A
T j
T j
j A

+

=
+

=
+
=
+

+
=

+
=
9 e
1 1 1
1
1
1
1
1
1
1 1
1
1
8. Desenati schema echivalenta de zgomot a unui amplificator si definit factorul de zgomot F.
Curs 20 (pg. 7-8).
Factorul de zgomot este parametrul prin care se apreciaz performanele de zgomot ale unui amplificator.
2 2
2
1
1
A U
U
F
F
P
P
F
zgo
zto
zgo
zto

> =
n general din punct de vedere al zgomotelor unui amplificator este apreciat pe baza urmtoarei
scheme echivalente. Studiul zgomotelor se face ntotdeauna pentru ansamblul generator-amplificator.
t - total
28
g generatorului
( )
( ) 1 1
4
4
1
4
1
2
2 2
2
2
2
2 2
2
2
2
2
2
2 2 2 2
2 2
2
Zp
g
g
ZD
z
g
z g
g
z
g zg
zg
z g
zg
z
zg
L
L
z g z zg
zg
zto
R
R
R
R
I
R f T K
I R
R f T K
U
F
R f T K U
U
I R
U
U
U
R
A
R
A
I R U U
U A
U
F
+ + =
A

+
A
+ =
A =

+ + =

+ +
=

=
9. Demonstrati efectul reactie negative asupra variatiei relative a amplificarii.
Curs 21 (pg. 1-2).
Zgomotul tranzistorului bipolar
Sursele de zgomot ce caracterizeaz un tranzistor bipolar se introduc cel mai frecvent n modelul cu parametrii
naturali.
( )
( ) 2
1
2
4
1000 500
1
2
1
4
2
'
2
|
|
.
|

\
|
+
A
=

|
|
.
|

\
|
+ A =

f
m
z
t
m
bb z
g
f T K
I
Hz cst f
g
R f T K U
n cazul tranzistorului bipolar se pot defini mrimile
m
bb zs
g
R R
2
1
'
+ =
29
min
; 1
1
2
F F
R
R
R
R
F
g
R
zp
g
g
zs
f
m
zp
+ + =
|
|
.
|

\
|
+
=

Obs.
1. Deoarece factorul de zgomot depinde de mrimile
m
g i mrimi care la rndul lor sunt
determinate de curentul de colector, nseamn c exist o valoare a curentului de colector
c
I
pentru care F=F
min
.
2. n cataloage se specific o serie de informaii privind zgomotul tranzistoarelor bipolare.
3.
4.
3. ntr-un amplificator principalele surse de zgomot sunt rezistenele.
4. Pentru a obine un amplificator nezgomotos se minimizeaz efectul surselor de zgomot i se caut
s se fac adaptarea cu rezistena generatorului de semnal pentru a se obine F
min
.
Se demonstreaz c n cazul unui amplificator cu mai multe etaje zgomotele primului etaj influeneaz
n cea mai mare msur performanele de zgomot ale amplificatorului.
2
1
2
2
2
1
A
F
R
R
F F
g
g
+ =
10. Prezentati schema si functionarea unui oscilator Colpitts.
Curs 27 (pg. 5-6).
Oscilatorul COLLPITS
Utilizeaz transformatorul capacitiv.
30
Analizm varianta cu tranzistor cu efectul de cmp.
Tensiunile
r
U i
0
U sunt la rezonan defazate cu 180 deoarece amplificatorul introduce i el un
defazaj de 180 nseamn c exist reacie pozitiv i prin urmare, pot aprea oscilaii.
Frecvena oscilaiilor este egal cu frecvena de rezonan a circuitului oscilant.
2 1
2 1
0
;
1
C C
C C
C
LC
e
e
+
= ~
Pentru stabilirea condiiei de amplitudine se va calcula separat amplificarea respectiv ( ) j ce
caracterizeaz circuitul de reacie.
( )
( )
( ) amorsare de conditia - 1
1
1
1
1
1
1
2
2
1
0
2
1
1
2
0
0
p
m
p m
r
p m
gs
p gs m
gs
R C
C
g
C
C
R g
j A
C
C
C j
I
C j
I
U
U
j
R g
U
R U g
U
U
A
>
>
|
|
.
|

\
|

>
=

= =
=

= =


Obs
1. bobina de oc
L
X asigur alimentarea cu tensiune continu a circuitului n condiiile n care
blocheaz componenta variabil spre sursa de semnal (care reprezint pentru aceast component un
scurtcircuit).
2. la acest oscilator frecvena este dificil de reglat n mod continuu, de aceea, se utilizeaz pentru
generarea unor frecvene fixe.
31
CIRCUITE INTEGRATE ANALOGICE
Anul II
32
1. Specificati si definiti cinci parametri referitori la circuitul de intrare a AO. (2.2, pag.68-69)
1. Parametri referitori la circuitul de intrare:
- tensiunea de decalaj iniial U
io
(sau decalaj iniial de tensiune ori offset de tensiune) reprezentnd
o tensiune de eroare cauzat de inegalitatea tensiunilor U
BE
(U
GS
) ale tranzistoarelor de la intrrile etajului
diferenial de intrare. Acest decalaj iniial se msoar prin tensiunea ce trebuie aplicat la o intrare, cu o
polaritate sau alta, pentru a realiza o tensiune de ieire nul (exemplu: 1...5 mV la amplificatoare operaionale
cu tranzistoare bipolare uzuale, 10 mV la cele cu intrare pe TECJ sau MOS, 10 V la amplificatoare hibride cu
pereche TECJ, 1 V la amplificatoare hibride cu chopper);
- deriva termic de tensiune, U
io
/T, denumit i sensibilitate termic sau coeficient de temperatur
al tensiunii de decalaj iniial; arat variaia cu temperatura a acestei tensiuni i se msoar n V/
o
C;
- curentul de polarizare a intrrilor (sau curent de intrare), reprezentnd valoarea medie a curenilor
de la cele dou intrri. Exemplu:
2
I I
I
2 B 1 B
B
+
=
pentru intrare pe tranzistoare bipolare. Valoarea acestui curent depinde de tipul etajului diferenial de intrare;
- curentul de decalaj iniial, I
io
(sau offset de curent) reprezentnd eroarea cauzat de inegalitatea
curenilor de intrare ai etajului diferenial; este msurat ca diferen a curenilor de la cele dou intrri n
situaia cnd U
e
=0 (de obicei I
io
<0,1 I
B
);
- deriva termic de curent, denumit i sensibilitate termic sau coeficient de temperatur al
curentului de decalaj iniial; reprezint raportul AI
io
/AT i se msoar n nA/
o
C sau pA/
o
C;
- rezistena de intrare diferenial (pentru semnal diferenial), care reprezint deseori i rezistena de
intrare nesimetric;
- factorul de rejecie a semnalului comun, CMRR (de obicei 90...110 dB);
- factorul de rejecie a variaiei tensiunilor de alimentare SVRR, msurat n dB (sau inversul lui, n
V/V).
Acesta reprezint raportul ntre variaia tensiunii simetrice de alimentare i semnalul diferenial, ce
produc aceeai tensiune de ieire diferenial.
33
2. Specificati si definiti doi parametri referitori la comportarea in regim dinamic a AO. (2.2, pag.70)
2. Parametri referitori la comportarea n regim dinamic (ca amplificator):
- amplificarea de tensiune, fr reacie, la semnal mare, n condiii de E i R
S
precizate. Valoarea
amplificrii este n mod obinuit 100.000...300.000;
- banda de frecven la amplificare unitar, ce reprezint frecvena de tiere a axei logf de ctre
caracteristica de frecven a amplificatorului fr reacie corectat (sau frecvena de tiere a amplificatorului cu
reacie n regim de repetor, cnd A
ur
=1, respectiv cnd 20log A
ur
=0);
- viteza maxim de cretere a tensiunii de ieire, slew-rate, notat SR, pentru semnal mare. La unele
amplificatoare (cu corecie extern) se d viteza maxim realizabil pentru diferite corecii (care se aleg n
funcie de amplificarea cu reacie dorit).
Pentru ca un semnal sinusoidal cu anumit amplitudine s sufere distorsiuni mici - 1% - la trecerea prin
amplificator, trebuie ca mrimea SR s aib o valoare:
SR 2f
max
(u
em
)
max
,
iar pentru distorsiuni mai mici, coeficientul
2 se nlocuiete cu unul mai mare (3...4
pentru 0,5% sau chiar 8...10 pentru
distorsiuni neglijabile). Deseori se d n
catalog caracteristica (u
em
)
max
= F(f
max
)
rezultat din relaia de mai sus, pentru
semnal sinusoidal cu distorsiuni 1% i o
anumit corecie (deci o anumit vitez
SR), (fig.2.9). Abaterea de la forma de
variaie hiperbolic este datorat atingerii
excursiei maxime de tensiune la ieirea AO
impus de alimentare i sarcin.
3. Prezentati oglinda de curent cu tranzistor tampon si analizati valoarea raportului dintre curentii de
pe cele doua ramuri in contextul utilizarii sale ca si sarcina activa pentru un etaj diferential de
intrare dintr-un AO integrat. (1.1, pag.26)
E, R
S
sinus cu
f
max
log f
u
em
E-1V
C
C
dat
(u
em
)
max
Fig. 2.9. Amplitudinea maxim a semnalului
sinusoidal de la ieirea AO n funcie
de frecven, n condiiile n care
34
3. Oglinda de curent cu tranzistor tampon
Schema acestei oglinzi, folosit ca sarcin activ n etajul diferenial de intare al amplificatoarelor
integrate (operaionale) este dat n fig.1.6. Tranzistorul T
3
, denumit tampon, prelund un curent foarte mic
din I
ref
, face ca cei doi cureni I
e
i I
ref
s fie foarte apropiai.
Efectul Early apare i aici deoarece tranzistoarele
lucreaz la tensiuni colector-emitor diferite. Astfel, U
CE2
=
U
BE3
+U
BE2
~1,2 V iar U
CE3
>U
CE1
>U
CE2
, ceea ce face ca
|
3
>|
1
>|
2
(tranzistorul tampon prezint cea mai mare
tensiune colector-emitor deoarece are colectorul legat la
+E). Aa cum e de ateptat, inegalitatea factorilor | va
conduce la apariia unei diferene semnificative ntre
curentul de ieire al sursei i cel de referin. Astfel,
admind c T
2
i T
3
au cureni de baz egali, conform cu
cele din fig.1.6 i n absena rezistenei R
E3
(al crei rol se
va vedea puin mai trziu) se obine:
Fig. 1.6. Oglind cu tranzistor tampon
I
e
= |
1
I
B
i I
ref
=
B
3
2 3 2
3
B
B 2
I
1
2
1
I 2
I
+ |
+ | + | |
=
+ |
+ |
caz n care:
2
1
3 2
3 1
2 3 2
1 3 1
ref
e
2 I
I
|
|
=
| |
| |
~
+ | + | |
| + | |
=
Aadar raportul este net supraunitar (tensiunile U
CE
ale celor dou tranzistoare nu mai sunt att de apropiate
ca i la oglinda Wilson). Pentru a compensa acest lucru se introduce rezistena R
E3
care mrete artificial
curentul prin T
3
i prin intermediul curentului su de baz, mrete i pe I
ref
. Astfel se obine:
I
ref
=
B
3
2 3 2
3
B
B 2
I
1
2 n
1
I ) 2 n (
I
+ |
+ + | + | |
=
+ |
+
+ |
i raportul devine:
T
1
|
2
I
B
R
e
R
E
I
e
R
E
2I
B
I
ref
+E
T
3
T
2
I
B
I
B
2
|
3
+1
I
B
=|
1
I
B
R
E3
(nI
B
)
35
1
n 2 I
I
2 3 2
1 3 1
ref
e

+ + | + | |
| + | |
=
care poate fi fcut apropiat de 1 alegnd potrivit valoarea rezistenei R
E3
. Aceast rezisten are i rolul de a
asigura o mai bun stabilitate termic circuitului.
Rezistenele R
E
pot mri sensibil rezistena de ieire R
e
a sursei de curent (n colectorul lui T
1
). n unele
amplificatoare integrate rezistenele R
E
permit legarea ntre emitoarele lui T
1
i T
2
, din exteriorul integratului, a
unui poteniometru care poate ajusta fin raportul I
e
/ I
ref
(echilibrarea amplificatorului).
4. Ce este o sursa band-gap, care este forma generala a tensiunii sale de iesire si explicati pe baza
acestei formule principiul sau de functionare. (1.2, pag.32, 33, 34)
4. Surs de tensiune de referin de tip band-gap
Coeficientul de temperatur de 2mV/K al tensiunii U
BE
se poate compensa dac se nsumeaz cu
aceasta o tensiune avnd un coeficient de temperatur de +2mV/K. Acest procedeu este utilizat n circuitul din
fig.1.19, unde A este un amplificator diferenial. Aici prin T
2
se realizeaz o reacie negativ mai puternic dect
reacia negativ introdus prin T
1
. Rezistena de ieire a acestei surse de tensiune este foarte mic datorit
reaciei negative n configuraie cu nod la ieire.
Deoarece cele dou intrri ale amplificatorului (care are o amplificare de tensiune > 1000) au
aproximativ acelai potenial U
I
rezult:
U
RC1
= U
RC2
deci:
I
C2
= n I
C1
Cderea de tensiune pe rezistena R
1
este:
I
C1
R
1
= U
BE2
U
BE1
= U
T
ln n ln U
I
I
ln U
I
I
ln U
I
I
T
1 C
2 C
T
0 C
1 C
T
0 C
2 C
= =
Cu aceasta rezult:
1
T
1 C
R
n ln U
I =
care este un curent dependent de temperatur prin intermediul lui U
T
.
Cderea de tensiune pe rezistena R
2
este:
36
U
R2
= (I
C1
+ I
C2
)R
2
= )
R
n ln U
n
R
n ln U
( R
1
T
1
T
2
+ = n ln U ) 1 n (
R
R
T
1
2
+ = NU
T
unde s-a notat (R
2
/ R
1
)(n+1)lnn = N (constant). Aceast tensiune (U
R2
) trebuie s aib un coeficient de
temperatur de +2mV/K.
Fig. 1.19. Surs de tensiune de referin de tip band-gap
Cunoscnd c U
T
= kT/q (n care k este constanta lui Boltzmann iar q sarcina electronului), se scrie:
q
k
N
dT
dU
N
dT
dU
T 2 R
= =
care este o constant independent de temperatur. Se obine n continuare:
K
mV
2
T
NU
qT
kT
N
dT
dU
T 2 R

+ = = =
Considernd o anumit situaie, de exemplu aceea cu T=300K i U
T
= 26 mV, rezult:
23
10 26
300
10 2 N
3
3
~

.
+
U
BE2
T
2
U
e
U
BE1
T
1
R
1
R
C1
R
C2
=
= R /n
+E
R
2 U
R2
+E
A
U
i
U
i
I
C2
I
C1
I
B
~0
I
C1
R
1
37
Acest numr este realizat suficient de precis prin rapoarte de rezistene. Astfel:
U
e
= U
BE2
+ U
R2
= U
BE2
+ NU
T
= const. (T)
adic tensiunea U
e
este compensat termic (n realitate nu total).
5. Amplificator inversor cu AO. Schema, expresia amplificarii si conditia de minimizare a erorilor
statice. (3.1)
6. Amplificator neinversor cu AO. Schema, expresia amplificarii si conditia de minimizare a erorilor
statice. (3.1)
5.6. Proprietatile amplificatorului operaional ideal
n multe aplicaii A.O. se poate considera ideal, calculul circuitelor fiind atunci mult mai simplu.
Apropierea funcionrii amplificatoarelor reale de a celor ideale se datorete performanelor atinse n
fabricarea lor.
Amplificatoarele operaionale ideale au urmtoarele proprieti:
- amplificare de tensiune infinit,
- rezisten de intrare diferenial infinit,
- rezisten de ieire nul,
- curent de polarizare (intrare) nul,
- band de frecven foarte larg (astfel nct nu intervine n funcionarea circuitului),
- decalaje iniiale, derive, zgomot nule,
- factor de rejecie a semnalului comun infinit,
- factor de rejecie a variaiei tensiunilor de alimentare infinit.
Pe baza acestor proprieti se poate lucra cu AO folosind conceptele:
- curentul de intrare al AO ideal este nul,
- diferena de potenial dintre intrri este nul.
Calculele circuitelor folosind AO ideal sunt valabile att timp ct erorile AO real nu intervin semnificativ
n tensiunea de ieire. Deci acestea trebuie totui apreciate sau verificate i comparate cu semnalul util de la
intrare.
38
a) Amplificatorul inversor (fig.3.1).
Amplificarea cu reacie ideal a acestui circuit este:
1
r
1 1
r 1
1
e
ur
R
R
R I
R I
U
U
A =

= =
i poate fi fcut de orice valoare. Rezistena de intrare R
ir
vzut de sursa U
1
este aproximativ egal cu R
1
i este de
valoare relativ redus (n 10 K) din cauza reaciei negative de tip
paralel-paralel. Pentru a se lucra cu R
1
de valoare mare trebuie
folosit un amplificator cu R
i
foarte mare. Rezistena de ieire este
neglijabil datorit reaciei negative cu configuraie paralel la
ieire.
b) Amplificator neinversor (fig.3.2).
Amplificarea de tensiune cu reacie este:
1
r
r 1
1
e
e
2
e
ur
R
R
1
R R
R
U
U
U
U
A + =
+
= =
i poate fi doar supraunitar pentru acest circuit. Rezistena de intrare vzut de sursa U
2
este foarte mare,
datorit reaciei negative de tipul paralel-serie. Totui ea este limitat la valoarea rezistenei de intrare pentru
semnal comun care a fost ignorat fa de rezistena de intrare diferenial pn acum. La amplificatoarele
uzuale rezistena de intrare pentru semnal comun are o valoare de ordinul n 10 M.
Pentru realizarea unei amplificri de tensiune subunitare se poate utiliza un divizor de tensiune la
intrarea + dar n acest caz rezistena de intrare coboar la o valoare obinuit (n 10K), (fig.3.3). Pentru acest
circuit se poate scrie tensiunea de ieire:
|
|
.
|

\
|
+
+
=
|
|
.
|

\
|
+ =
1
r
3 2
3
2
1
r '
e
R
R
1
R R
R
U
R
R
1 U U
Fig. 3.1. Amplificator inversor cu AO
+
R
2
=R
1
,,R
r
R
ir
R
S
R
1
R
r
U
e
U
1
I
1
I
1
0V
0V
39
i acum amplificarea lui U
2
poate fi fcut subunitar.
Rezistena de intrare devine ns relativ redus: R
ir
~ R
2
+R
3
Pentru dimensionarea divizorului se vor utiliza condiiile:
- realizarea unei divizri impuse de relaia de mai sus;
- realizarea unei erori minime prin egalitatea rezistenelor echivalente de la cele dou intrri.
7. Amplificator logarithmic realizat cu un singur AO. (3.2, pag. 91, 92)
7. Amplificatorul logaritmic.
Carcteristica volt-amperic exponenial a diodelor semiconductoare i a tranzistoarelor poate fi
utilizat pentru realizarea unor amplificatoare cu caracteristc de transfer u
e
= f(u
1
) logaritmic. Este vorba de
relaia:
T
BE
U
u
Co C
e I i = sau
Co
C
T BE
I
i
ln U u =
Folosirea tranzistoarelor n aceste amplificatoare este justificat de pstrarea caracterului exponenial al
relaiei i
C
-u
BE
ntr-o gam mai larg de variaie a curentului dect al relaiei i
D
-u
D
de la diode.
+
R
2
=R ,,R
R
ir
R
S
R
1
R
r
U
e
U
2
U
2
U
2
+
R
2
R
ir
R
S
R
1
R
r
U
e
U
2
U
U
R
3
Fig. 3.2. Amplificator neinversor cu AO Fig. 3.3. Amplificator neinversor cu divizor
40
Schema de principiu a amplificatorului logaritmic este dat n fig.3.11, iar schema se completeaz n
practic aa cum se arat n fig.3.12.
Pentru amplificatorul din fig.3.11 avnd i
C
=u
1
/R
1
se scrie:
Co 1
1
T
Co
C
T BE e
I R
u
ln U
I
i
ln U u u = = =
i se constat c u
e
este proporional cu ln u
1
, adic se realizeaz o caracteristic de transfer logaritmic.
Practic, la schema de principiu se mai adaug cteva componente:
C
c
pentru corecia caracteristicii de frecven (eliminarea oscilaiei de nalt frecven a
amplificatorului cu reacie negativ);
R
p
pentru limitarea curentului de ieire al amplificatorului (n situaii incidentale) dar mai ales pentru
reducerea amplificrii de tensiune a tranzistorului T (R
p
realizeaz o reacie negativ local);
D pentru protecia jonciunii emitoare a tranzistorului contra unei tensiuni inverse incidentale mari
(n mod normal este blocat).
Circuitul analizat mai sus prezint ns cteva dezavantaje importante:
- dependena de temperatur a tensiunii de ieire prin mrimile U
T
i I
Co
;
- domeniul de variaie restrns al tensiunii de ieire (cteva zecimi de V deoarece |u
e
|=|u
BE
|).
8. Prezentati amplificatorul de masura (clasic) cu 3 amplificatoare operationale. (3.4, pag.101,102)
8. Amplificatorul de masura (clasic) cu 3 amplificatoare operationale.
Totui, schema clasic de amplificator de instrumentaie este mai complicat dar ofer n schimb mai multe
faciliti (fig.3.23). Ea se poate realiza cu 3 AO distincte, din care primele dou trebuie s fie de precizie, sau se
poate gsi sub form de circuit integrat monolitic la care se ataeaz din exterior R
A
. Simetria circuitului de
intrare duce la o cretere a factorului CMRR global.
+
R
1
R
1
u
e
=-u
BE
<0
u
1
>0
i
C
i
C
0V
0V
u
BE
T
u
CE
=u
BE
+
R
1
R
1
u
e
u
1
T
R
P
(2kO)
D
C
C
Fig. 3.11. Schema de principiu a unui Fig. 3.12. Schem practic pentru un amplificator
amplificator logaritmic logaritmic simplu
41
Relaia tensiunii de ieire se stabilete innd cont c amplificatorul realizat cu A
3
este diferenial, iar
amplificatoarele cu A
1
i A
2
sunt neinversoare, fiecare utiliznd rezistena R
A
care impune amplificarea (i poate
fi deci programabil):
( ) ( ) ( ) = +

= + = =
1
2
3 A
A
1 2
1
2
3 A
1
2
1 e 2 e e
R
R
R 2 R
R
U U
R
R
R 2 R I
R
R
U U U
( ) ( )
1 2 ur
1
2
A
3
1 2
U U A
R
R
R
R 2
1 U U =
|
|
.
|

\
|
+ =
Deci amplificatorul este diferenial i avnd la ambele
intrri rezisten foarte mare este un amplificator de
instrumentaie.
Un astfel de amplificator monolitic prezint pini
pentru intrrile i +, pini pentru conectarea unei
rezistene R
A
(notai Amplificare), precum i un pin
numit Reacie i un pin numit Referin (marcai n
fig.3.23). Acetia din urm permit eliminarea efectelor
nedorite ale firelor lungi spre sarcin (ambii pini se leag
prin fire separate direct pe bornele sarcinii), iar pinul
Referin mai permite introducerea unui circuit de ehilibrare (fig.3.24). Se cunosc soluii speciale pentru
folosirea amplificatorului de instrumentaie cu fire lungi la intrare i (sau) ieire [3]. n cazul de fa, circuitul de
echilibrare, folosind un AO repetor, nu introduce rezisten n serie cu R
2
la pinul Referin, deci nu produce
erori n amplificarea total.
9. Prezentati redresorul de precizie monoalternanta inversor. (3.5, pag.104, 105)
9. Redresor de precizie monoalternanta inversor.
+
+
R
1
R
2
U
2
U
e2
U
e
pini
A
1
A
3
+
A
2
+
U
1
R
1
R
2
R
3
R
3
R
A
(ext)
I
I
I
U
e1
Etaj de intrare
Sarcin
Etaj diferenial
pin
fir
fire
pin
Fig. 3.23. Amplificator de msur clasic
-E
+
+
+E
Echilibrare
Repetor Referin
Reacie
R
2
R
2
A
3
A
4
Sarcin
Fig. 3.24. Realizarea echilibrrii la amplificatorul de msur
42
Exist, de asemenea, varianta de redresor de precizie monoalternan inversor (fig.3.28), care poate realiza i
o amplificare.
n semiperioada negativ tensiunea u
eA
>0 i D
1
conduce, iar D
2
este blocat. n acest caz se pot scrie
ecuaiile:
u
1
=i
1
R
1
+u
i
(1)
u
1
=-i
1
R
2
+u
i
(2)
u
eA
=-u
i
A
u
(3)
u
eA
=u
d1
+u
e
(4)
Eliminnd i
1
, u
eA
i u
i
, rezult pentru semiperioada negativ a tensiunii u
1
:
c +
c +
=
|
+
|
+
=
1
u
R
R
u
A
1
1
A
u
R
R
u
u
1 d
1
2
1
u u
u u
1 d
1
2
1
e
cu:
2 1
1
u
R R
R
+
= | ,
u u
A
1
|
= c
(
u
= factorul de reacie de tensiune). Deoarece
u
A
u
>>1 rezult cu aproximaie:
1
2
1 e
R
R
u u ~
adic forma tensiunii de la ieire repet forma tensiunii de la intrare. Prin urmare se asigur precizia redresrii i se poate
realiza amplificarea dorit.
Dioda D
1
are rolul de redresor dar tensiunea u
d1
este mprit cu
u
A
u
>>1, i efectul acesteia, inclusiv
efectul termic, este neglijabil. Cu alte cuvinte, dioda D
1
prezint o comportare ideal ce se datorete cuprinderii
ei n bucla de reacie.
Pentru semiperioada pozitiv a tensiunii u
1
, tensiunea u
eA
<0 i dioda D
1
este blocat. n lipsa diodei D
2
ieirea amplificatorului ajunge la saturaie spre E i comutarea acesteia spre u
eA
>0 n semiperioada urmtoare
ar fi lent, D
1
nu se deschide la timp provocnd deformarea tensiunii u
e
deci imprecizie, ca n fig.3.26. Prezena
diodei D
2
asigur evitarea saturaiei ieirii amplificatorului (diod antisaturaie), meni-nnd pe u
eA
apropiat
de zero (- 0,6 V). Astfel, dioda D
2
conduce curentul ce vine de la intrare. Tensiunea u
i
foarte mic produce prin
divizorul R
2
, R
S
o tensiune de ieire:
S 2
S
i e
R R
R
u u
+
=
care este neglijabil. Pe lng tensiunea u
i
redus, n semiperioada pozitiv a lui u
1
conteaz la intrare i
decalajul iniial de tensiune (nu se face echilibrarea).
43
Forma tensiunii de ieire a redresorului monoalternan i caracteristica de transfer sunt date n
fig.3.29a i 3.29b.
Se pot redresa tensiuni mici de ordinul milivolilor. Amplificatoarele integrate cu etaj final n clas C (cu
zon moart n caracterisitca de transfer) nu sunt ns potrivite pentru redresoare de precizie de semnale mici
(exemplu 709, 324 etc.).
Rezistena de intrare a redresorului de precizie inversor este modest.
Dac se dorete obinerea unei
tensiuni redresate negative se
inverseaz sensul celor dou diode.
Pentru creterea frecvenei
tensiunii ce se redreseaz, cu menine-
rea preciziei, s-au mai aplicat unele
soluii de mbuntire a compensrii
de frecven [3]. Astfel, tiind c n
timpul scurt de comutare diodele D
1
i
D
2
nu conduc, se poate crete factorul
SR prin suspendarea coreciei. Cnd
corecia e prin efect Miller, condensatorul de corecie nu se conecteaz direct la ieirea amplificatorului ci prin
diodele D
1
, respectiv D
2
(fig.3.30). Cnd o diod conduce corecia acioneaz normal.
10. Precizati cateva tipuri de comparatoare, desenati-le caracteristica de transfer si explicati care
dintre acestea elimina riscul bascularilor multiple atunci cand tensiunea de intrare este insotita de
zgomote. (3.11)
10. Comparatoare.
Comparatoare simple (fr reacie)
u
1
u
e
0
0
t
t
u
e
u
1
0
-R
2
/R
1
Fig. 3.29a. Formele de und la intrarea i ieirea Fig. 3.29b. Caracteristica de transfer a
redresorului
u
1
+
R
S
u
e
D
1
D
2
R
1
R
2
R
1
,,R
2
C
c
C
c
pin de corecie
(intrare etaj II)
Fig. 3.30. Redresor cu frecvena de lucru mrit
44
Comparatoarele sunt circuite care indic, prin tensiunea de ieire, situaia relativ a dou tensiuni
aplicate la intrri (fig.3.74). Este vorba aici de un comparator pentru tensiuni cu acelai semn. De obicei una din
tensiuni este variabil iar cealalt este fix, reprezentnd cu aproximaie pragul comparatorului. Cnd
tensiunea variabil este U
1
comparatorul este inversor, iar cnd tensiunea variabil este U
2
comparatorul
este neinversor.
Caracteristica de transfer a acestor comparatoare este prezentat n fig.3.75a (pentru inversor) i b
(pentru neinversor).
Pentru situaia U
1
< U
2
rezult la ieire U
e
= U
emp
nivelul logic
superior (pozitiv), iar pentru U
1
> U
2
rezult U
e
= U
emn
nivelul logic inferior
(negativ de obicei, dac se alimenteaz AO cu dou surse). Se folosete
comparator inversor dac se dorete bascularea ieirii de la nivel superior
spre inferior, atunci cnd tensiunea de intrare cresctoare depete
tensiunea fix i comparator neinversor n caz contrar.
Dac ns tensiunile U
1
i U
2
(sau una dintre ele) conin zgomote,
cnd tensiunea variabil ajunge n dreptul zonei de indecizie apare fenomenul de vibraie (oscilaie) a
tensiunii de la ieirea comparatorului (fig.3.76) care nseamn schimbarea de cteva ori, consecutiv, a deciziei
logice deci comenzi false (uneori suprtoare) pentru circuitele i dispozitivele conectate la ieire. Acesta este
dezavantajul major al comparatorului simplu din fig.3.73; tensiunile ce se compar trebuie s fie foarte
curate pentru evitarea vibraiilor.
Comparatoare cu reacie pozitiv (cu histerezis)
Pentru eliminarea fenomenului de vibraie a tensiunii de ieire a comparatorului, cnd tensiunile U
1
i
U
2
(sau una dintre ele) conin zgomote, se utilizeaz o reacie pozitiv (fig.3.79). Prin aceasta apare n
caracteristica de transfer un histerezis (fig.3.80), care este mult mai lat dect zona de indecizie de la
comparatorul fr reacie. Aceasta conduce la o eroare de comparare sensibil mai mare, dar n schimb decizia
logic este ferm.
+
U
1
U
2
U
e
Fig. 3.74. Comparator simplu cu AO
U
e
U
1
AU
i
0
pant A
u
U
2
(prag)
U
emn
U
emp
a
U
e
U
2
AU
i
0
pant A
u
U
1
(prag)
U
emn
U
emp
b
Fig. 3.75. Caracteristicile de transfer pentru comparatorul simplu inversor (a) i neinversor (b)
+
U
1
U
2
U
e
R
r
>>R
2
R
1
~R
2
R
2
Fig. 3.79. Comparator cu reacie pozitiv
45
i n acest caz ntlnim comparator inversor i neinversor, dup intrarea la care este aplicat
tensiunea variabil.
a) Comparatorul inversor
Acest comparator se folosete atunci cnd se dorete bascularea ieirii de la nivel superior spre inferior,
dac tensiunea de intrare cresctoare depete tensiunea fix. Caracteristica de transfer a acestui comparator
este prezentat n fig.3.80.
Pentru explicarea funcionrii comparatorului se consider iniial c U
1
< 0 i de valoare absolut mare
(punctul A de pe caracteristica de transfer), iar U
2
> 0. Atunci U
2
>> U
1
i la ieire se obine nivelul U
emp
. Pe
divizorul R
r
R
2
rezult la intrarea + o tensiune, notat cu
'
1
U , care ndeplinete inegalitatea
'
1
U > U
2
. Dac
tensiunea U
1
crete
U
e
U
1
0
U
2
U
emn
U
emp
0
0
t
t
U
e
U
1
t
2
t
1
U
2
t
1
t
2
0,1mV
U
1med
C
U
e
0
U
emn
A
U
1
U
emp
U
1

U
1

D
B
U
emn
R
2
R
2
+R
r
U
emp
R
2
R
2
+R
r
U
2
R
2
R
2
+R
r

U
2
AU
H
Fig. 3.80. Caracteristica de transfer a
comparatorului inversor
46
i atinge valoarea
'
1
U (punctul B pe caracteristic) intervine bascularea comparatorului care are loc din cauza
situaiei tensiunilor existente direct la intrrile + i . Datorit reaciei pozitive realizat prin R
r
, bascularea se
accelereaz pentru c diferena dintre tensiunile de la intrrile + i se mrete rapid prin scderea tensiunii
U
e
ncepnd din punctul B. Astfel, trecerea la nivelul U
emn
are loc pentru o variaie foarte mic a tensiunii U
1
i
n caracteristica de transfer apare o ramur practic vertical.
Creterea n continuare a tensiunii variabile U
1
conduce la atingerea unui punct C pe caracterisitic.
Acum, pe divizorul R
r
R
2
apare la intrarea + o tensi-une notat cu
' '
1
U i de valoare
' '
1
U < U
2
(fig.3.80). Dac n
continuare U
1
scade, bascularea spre nivelul logic superior ncepe la atingerea valorii
' '
1
U - punctul D - i are loc
la fel de brusc ca i prima basculare, datorit accenturii diferenei tensiunilor de la intrri prin reacie pozitiv.
Nivelurile
'
1
U i
' '
1
U , la care apar basculrile se numesc pragurile comparatorului. Ele se pot calcula innd
cont de cele dou situaii ale tensiunilor pe divizorul R
r
R
2
(fig.3.81) la momentul nceperii basculrii.
Eroarea de comparare n acest caz este determinat n primul rnd de distanele dintre praguri i
tensiunea fix U
2
i se consider cea mai mare dintre cele dou distane.
(dac acestea nu sunt egale ntre ele). Limea zonei de histerezis este stabilit de utilizator, ntruct ea
trebuie s depeasc amplitudinea vrf-la-vrf a zgomotelor nsumate ale tensiunilor ce compar, U
1
i U
2
,
(fig.3.82). n acest fel nu mai apar vibraiile ieirii comparatorului. n concluzie, se adopt:

> A
. v . v . zg H
U 2 , 1 U
pentru a avea sigurana c la traversarea zonei de histerezis nici un vrf negativ al zgomotelor nsumate nu va
duce la coborrea tensiunii U
1
dup momentul t
1
pn sub pragul
' '
1
U . Bascularea va fi ferm i are loc n
momentul t
1
al atingerii pentru prima dat a pragului
'
1
U dac U
H
este bine adoptat. Desigur, n prealabil se
va face tot posibilul ca zgomotele suprapuse peste cele dou tensiuni s fie ct mai reduse, spre a se putea
lucra cu U
H
mic.
47
Fig. 3.82. Comportarea comparatorului cu histerezis de tip inversor
U
e
U
1
0 U
2
U
emn
U
emp
0
0
t
t
U
e
U
1
t
1
U
2
t
1
AU
H
U
1med
U
1

U
1

48
CIRCUITE INTEGRATE DIGITALE
Anul II
49
1. Prezentai funcionarea unui decodificator pe post de demultiplexor
Utilizarea DCD 74HC(T)138 pe post de DMUX se poate face n urmtoarele moduri:
- dac intrarea de date (D
i
) este o intrare de validare activ pe 0 (G
2A
sau G
2B
) i codul de selecie este
A = 1, B=1, i C = 0, datele prezente la intrarea de date se vor regsi la ieirea Y
3
. Pentru D
i
= 0, circuitul
este validat corect i ieirea selectat este Y
3
= 0 (figura 1). Pentru D
i
= 1, circuitul nu este validat i ieirea
selectat este Y
3
= 1 (figura 2). Astfel datele prezente la intrarea de date se regsesc nemodificate la ieirea
selectat.
- dac intrarea de date (D
i
) este o intrare de validare activ pe 1 (G
1
) i codul de selecie este A = 0,
B=1, i C = 1, datele prezente la intrarea de date se vor regsi la ieirea Y
6
. Pentru D
i
= 1, circuitul este
validat corect i ieirea selectat este Y
3
= 0 (figura 3). Pentru D
i
= 0, circuitul nu este validat i ieirea
selectat este Y
3
= 1 (figura 4). Astfel datele prezente la intrarea de date se regsesc negate la ieirea
selectat.
Figura 1; Figura 2; Figura 3; Figura 4.
Concluzie: Nu se fabric DMUX. Pe post de DMUX se poate folosi orice DCD care are o intrare de
validare. Dac aceasta este activ pe 0 se obine un DMUX neinversor iar dac este activ pe 1 se obine un
DMUX inversor.
Y0
Y1
Y2
Y3
G1
A
B
74HC138
C
G2A
G2B
Y4
Y5
Y6
Y7
1
1
0
1
1
1
1
1
1
1
1
1
1
0
Y0
Y1
Y2
Y3
G1
A
B
74HC138
C
G2A
G2B
Y4
Y5
Y6
Y7
1
0
0
1
1
1
1
1
1
1
0
0
1
1
Y0
Y1
Y2
Y3
G1
A
B
74HC138
C
G2A
G2B
Y4
Y5
Y6
Y7
0
0
0
1
1
1
1
1
1
1
1
0
1
1
Y0
Y1
Y2
Y3
G1
A
B
74HC138
C
G2A
G2B
Y4
Y5
Y6
Y7
1
0
0
1
1
0
1
1
1
1
1
1
1
0
Di Di
Di Di
50
2. Desenai reprezentarea simbolic a unui bistabil de tip D care comut pe frontul cresctor al impulsului de
tact, tabelului lui de funcionare i formele de und aferente
Unul dintre cele mai simple bistabile care se produce sub form integrat este bistabilul de tip D, activ
pe frontul cresctor al impulsului de tact aplicat la intrarea CK (figura 5).
Figura 5. Bistabilul D care comut pe frontul cresctor al tactului.
Informaia aflat la intrarea D este transferat la ieirea Q pe frontul cresctor al tactului (conform
tabelului 1). Dac semnalul CK este pe palier (durata ct are valoarea 1 sau 0), semnalul aplicat la intrarea
D nu influeneaz ieirea.
Tabelul 1
D Q
0 0
1 1
Pe lng intrarea D, circuitul poate avea i dou intrri asincrone prioritare /S i /R. Funcionarea se
bazeaz pe tabelul 2 cu observaia c dac ambele intrri prioritare sunt inactive circuitul funcioneaz sincron
conform tabelului 1.
Tabelul 2
/S /R Q /Q
0 1 1 0
1 0 0 1
1 1
Funcionare sincron conform
tabelului 1
0 0 1 1 Stare interzis
CK
t
D
t
Q
t
/Q
t
51
3. Desenai reprezentarea simbolic a unui bistabil de tip T care comut pe frontul descresctor al impulsului
de tact, tabelului lui de funcionare i formele de und aferente
Bistabilul T se obine numai din CBB JK-MS prin conectarea mpreun a intrrilor J i K (CBB JK-MS este
forat s funcioneze doar n situaiile J = K = 0 i J = K = 1).
Tabelul de funcionare:
Obs:
Dac T este permanent 1,
n n
Q Q =
+1
,
bistabilul basculeaz la fiecare impuls de tact.
4. Descriei modalitile de realizare a conversiei serie-paralel,
respectiv paralel-serie a datelor
Conversia serie-paralel necesit utilizarea unui registru SIPO; ea se face n n tacte corespunztoare
celor n bii ai cuvntului binar.
Funcionare:
Se terge coninutul registrului punnd intrarea /CLR la 0 (cu toate c principial nu este necesar
iniializarea coninutului registrului, deoarece el se va suprascrie oricum dup n impulsuri de tact).
Considernd un registru SIPO de 8 bii, secvena de nscriere a informaiei este D
7
, D
6
,..., D
0
fiind
necesare 8 impulsuri de tact pentru ca bitul D
7
(cel mai semnificativ) s ajung la ieire pe poziia corect Q
7
.
n acest moment cuvntul este nscris n totalitate n registru i poate fi citit paralel.
Ritmul n care sunt adui biii la intrarea serial SIN trebuie s fie corelat cu secvena de aplicare a
impulsurilor de tact. Registrul comut pe frontul cresctor al tactului (chiar dac bistabilele comut pe frontul
descresctor). Secvena care se convertete este 10101101.
CK
t
SIN
t
D
i7
D
i6
D
i5
D
i4
D
i3 D
i2 D
i1
D
i0
52
Obs.: Fiecare ieire Qi poate fi folosit ca ieire serial (circuitul se poate folosi ca SISO1, ... SISO8).
Conversia paralel-serie necesit utilizarea unui registru PISO. Conversia se face n n tacte
corespunztoare celor n bii ai cuvntului binar.
Pentru nscrierea paralel a datelor D
i7
, ..., D
i0
se pune intrarea SH//LD = 0 i se aplic un impuls de
tact (nscrierea propriu-zis se face pe frontul cresctor al semnalului de tact). Pentru citirea serial a datelor (a
cuvntului de n bii) se pune intrarea SH//LD = 1 i se aplic n-1 impulsuri de tact.
ntreaga operaie de conversie necesit n perioade de tact, prima fiind destinat pentru ncrcarea
paralel, iar restul pentru citirea serial.
5. Descriei, pe scurt, principalele de realizare a memoriilor temporare FIFO i LIFO
Memoriile temporare sunt organizate pe n cuvinte binare de cte b bii compuse din b registre de
deplasare seriale SISO de cte n bii fiecare.
Memoria FIFO (First In First Out) se realizeaz cu ajutorul unor registre SISO care permit deplasarea
ntr-un singur sens (spre dreapta).
nscrierea cuvintelor binare de b bii n memorie se face n paralel pe cele b intrri seriale prin aplicarea
a cte unui impuls de tact i deplasarea acestora spre dreapta.
Memorie este plin atunci cnd s-au nscris toate cele n cuvinte binare. Dup umplerea complet a
memoriei, primul cuvnt citit (paralel pe cele b ieiri seriale) este primul cuvnt nscris n memorie.
n procesul de citire, informaia se deplaseaz n continuare spre dreapta cu fiecare impuls de tact
aplicat. Prin citire, informaia se pierde!
Acest tip de memorie poate fi utilizat la gestionarea adreselor altor memorii pe durata ntreruperilor
unui sistem cu microprocesor.
53
Memoria temporar LIFO (Last In First Out) necesit registre SISO care pot deplasa informaia n
ambele sensuri (o intrare
L R/
- Right//Left - specific sensul deplasrii).
nscrierea cuvintelor se face ca la memoria FIFO, prin deplasarea spre dreapta a datelor (
1 / = L R
) iar
citirea se face prin deplasarea acestora spre stnga (
0 / = L R
).
Astfel ultimul cuvnt nscris va fi primul citit.
Memoria LIFO se utilizeaz ca memorie stiv n sistemele cu microprocesoare.
6. Desenai schema unui numrtor asincron binar, pe 4 bii, explicai funcionarea sa,
i trasai formele de und aferente
Un numrtor asincron binar, pe 4 bii, este format din 4 bistabile de tip T (provenite din JK-MS) cu T
permanent pe 1. Impulsurile de tact se aplic doar primului bistabil. Urmtoarele bistabile au ca semnal de
tact ieirea Q a bistabilului anterior (MR Master Reset este o denumire sinonim cu R - Reset sau CLR).
f
CLK
f
CLK
/2
f
CLK
/4
f
CLK
/8
f
CLK
/16
54
Obs:
1). Numrtorul numr n sens cresctor (direct) adic cu fiecare impuls de CK aplicat, valoarea
numrtorului crete cu o unitate.
2). Numrtorul este modulo 16 (are 4 bistabile), al 16-lea impuls de tact ncheie ciclul, el aducnd
numrtorul pe zero. Cel de-al 17-lea tact global este primul impuls de tact din cel de-al doilea ciclu.
3). La un moment dat, codul binar obinut citind ieirile corespunde cu numrul de impulsuri de tact
aplicate n ciclul respectiv (citind ieirile dup 11 tacte rezult Q
3
Q
2
Q
1
Q
0
= 1011 care corespunde cu numrul 11
codat binar). Aceasta este practic funcia de numrare.
4). Bistabilele funcioneaz ca divizoare de frecven cu 2. Ieirea Q
0
divizeaz cu 2 frecvena tactului,
Q
1
divizeaz cu 2 frecvena semnalului Q
0
i cu 4 frecvena tactului, etc.
5). Pentru extinderea capacitii de numrare se pot conecta mai multe numrtoare n cascad prin
conectarea ieirii Q
3
la intrarea de tact a urmtorului numrtor.
7. Desenai schema unui numrtor sincron binar, pe 4 bii, explicai funcionarea sa, i trasai formele de
und aferente
Numrtoare sincrone sunt numrtoare la care impulsul de tact se aplic simultan tuturor bistabilelor
(de tip T) permind, astfel funcionarea la frecvene de tact mult mai mari (tipic 35MHz).
n cadrul unui ciclu de numrare, la trecerea dintr-o stare n alta, unele bistabile trebuie s comute,
altele nu. nseamn c numrtoarele trebuie realizate cu bistabile de tip T care au intrarea T accesibil pentru
a permite ca, naintea aplicrii urmtorului impuls de tact, intrarea T a bistabilului ce trebuie s comute s fie
conectat la 1 iar intrarea T a bistabilului ce nu trebuie s comute s fie conectat la 0.
Apare, astfel, necesitatea utilizrii unor circuite logice pentru generarea valorilor T ce corespund celor n
bistabile folosite pentru ca funcionarea numrtorului s decurg n conformitate cu tabelul de funcionare
dorit.
Din tabel se deduc urmtoarele:
- bistabilul
0
Q
trebuie s basculeze la fiecare impuls de tact, deci
1
0
= T
;
- bistabilul
1
Q
basculeaz numai dac naintea aplicrii tactului
1
0
= Q
deci
0 1
Q T =
;
- bistabilul
2
Q
basculeaz numai dac naintea aplicrii tactului
0
Q
i
1
Q
sunt pe 1 adic:
1 1 1 0 2
T Q Q Q T = =
.
55
- bistabilul
3
Q
basculeaz numai dac naintea aplicrii impulsului de tact
0
Q
,
1
Q
i
2
Q
sunt pe 1
deci
2 2 2 1 0 3
T Q Q Q Q T = =
.
- n general se poate scrie:
2 2 2 1 0 1
...

= =
n n n n
Q T Q Q Q T
.
n funcie de modul de scriere al valorilor
T
se disting dou metode de generare a acestora:
- serial dac valoarea curent a lui
T
se obine din cea anterioar:
1 1 2
Q T T =
i
2 2 3
Q T T =
.
Schema numrtorului sincron obinut prin metoda serial:
Durata minim a impulsului de tact este:
( ) t t n t T
PSI Q PCLK CLK
A + + =

2
min
.
Dezavantaj: - t
p
mai mare dect n cazul generrii paralele a valorilor T.
Avantaj: - se utilizeaz numai pori I cu dou intrri.
- paralel dac valorile lui T se obin direct din valorile lui Q:
1 0 2
Q Q T =
i
2 1 0 3
Q Q Q T =
Schema numrtorului sincron obinut prin metoda paralel:
56
n cazul generrii paralele a valorilor T durata minim a impulsurilor de tact este:
t t t T
PSI Q PCLK CLK
A + + =
min
.
Se observ c t
p
este mai mic ceea ce conduce la o frecvena de tact mai ridicat. Din acest motiv
aceasta este varianta preferat la realizarea numrtoarelor sincrone integrate.
Semnalul Carry (semnalul de transport) se genereaz din semnalele Q
0
, Q
1
, Q
2
, i Q
3
.
3 2 1 0
Q Q Q Q Cy =
i se aplic intrrii
T
a numrtorului (bistabilului) urmtor n cazul extinderii capacitii
de numrare (cascadarea numrtoarelor).
8. Prezentai, sumar, principalele metode de obinere
a divizoarelor de frecven programabile
Divizoarele de frecven programabile sunt divizoare de frecven la care raportul de divizare se poate
modifica de la un ciclu de divizare la urmtorul.
Varianta 1 cu numrare n sens invers i ncrcare paralel.
Este cea mai utilizat metod de obinere a unui divizor programabil. Se bazeaz pe utilizarea unui
numrtor reversibil cu posibilitatea de a fi ncrcat paralel. Numrul cu care se realizeaz divizarea (k) se
aduce la intrrile paralel i se ncarc n numrtor prin activarea liniei /LD. Numrtorul este decrementat cu
frecvena f
CLK
aplicat la intrarea Count Down (Dn) pn cnd el ajunge n starea 0000. n acel moment ieirea
Borrow (/Bo) trece pe 0, activeaz intrarea /LD, i iniiaz o nou ncrcare a numrtorului cu numrul k.
Deoarece bistabilele din componena numrtorului nu au acelai timp de ncrcare i, astfel apare
riscul unei ncrcri incomplete, este necesar intercalarea unui bistabil SR de memorare a impulsului de
ncrcare (la fel ca la numrtoarele modulo p).
Astfel, la ieirea /Q a acestuia se obine semnalul f
CLK
/k.
Dn
Up
LD
CLR
74HCT193
Q0
Cy
Q1 B
Q2
D Q3
Bo
A
C
X1
Q
X2
1
k
fCLK
fCLK/k
57
Varianta 2 cu numrare n sens direct i comparator.
Metoda utilizeaz un numrtor asincron (4040) i dou comparatoare pe 4 bii (74LS85) care specific
raportul de divizare k. Numrtorul numr n sens direct, de la 0 pn la valoarea k prestabilit de
comutatoarele [KPD1 i KPD2]. n acel moment comparatoarele sesizeaz egalitatea i activeaz semnalul de
tergere /MR. Schema prezentat este pe 8 bii.
Pentru obinerea unui divizor de frecven pe 12 bii sunt necesare un numrtor i un comparator pe
12 bii.
Schema prezentat este una care funcioneaz foarte bine n regim de simulare digital, dar nu n
realitate deoarece folosete circuite CMOS i TTL LS n acelai montaj. Pentru a rezolva acest neajuns, cel mai
bine este s se foloseasc variantele HC sau HCT ale circuitelor prezentate: 74HCT4040 i 74HCT85, caz n care
schema nu va mai prezenta nici un neajuns.
Varianta 3 combinat, cu posibilitatea numrrii n ambele sensuri.
Este cea mai versatil metod. Se bazeaz pe folosirea numrtoarelor 4029 la care intrarea de
ncrcare este comandat de o poart SAU-NU cu un numr de intrri egal cu numrul de circuite 4029
utilizate.
Circuitul ofer:
- numrare n sens cresctor, de la numrul prestabilit k la 255 (dac
1 / = D U
);
- numrare n sens descresctor, de la p la 0 (dac
0 / = D U
);
- numrare binar (dac
1 / = D B
);
- numrare zecimal (dac
0 / = D B
).
58
9. Enumerai principalele avantaje i dezavantaje ale memoriilor SRAM
n comparaie cu memoriile DRAM
Memoriile RAM se clasific n:
- RAM statice (SRAM Static Random Access Memory) la care celula elementar de memorare este
un latch D realizat n tehnologie bipolar sau unipolar;
- RAM dinamice (DRAM Dynamic Random Access Memory) - celula elementar este o capacitate;
sunt realizate numai n tehnologie unipolar NMOS sau CMOS.
Memoria SRAM pstreaz datele pentru o perioad de timp nelimitat, pn n momentul n care ea
este rescris. n schimb, memoria DRAM necesit rescrierea permanent, la cteva fraciuni de secund, altfel
informaiile fiind pierdute.
Avantajele memoriei SRAM: utilitatea crescut datorit modului de funcionare i viteza foarte mare
(raportul de timp de acces SRAM/DRAM = 8-16).
Dezavantajele memoriei SRAM: densitatea de integrare mai redus i preul mult mai mare dect al
memoriei DRAM (de obicei raportul de capacitate DRAM/SRAM = 4-8 iar raportul de cost SRAM/DRAM = 8-16).
Aplicaiile de baz ale memoriilor RAM se regsesc la PC-urile. Memoria SRAM este folosit cel mai
adesea ca memorie intermediar/cache, pe cnd DRAM-ul este utilizat ca memorie principal a oricrui sistem.
10. Explicai, pe scurt, funcionarea unei memorii DRAM
59
(citire, scriere, remprosptare)
Citirea informaiei memorate ntr-o memorie DRAM
La liniile de adres se aduce adresa de linie A
0
A
7
. Dup ce aceasta s-a stabilizat se activeaz linia /RAS
pentru ncrcarea adresei de linie n registrul din circuitul de comand. n continuare adresa se decodific, se
selecteaz linia i coninutul tuturor celulelor de memorare aferente liniei se scrie n registrul de linii.
Apoi se aduce la intrare adresa de coloane A
8
A
15
. Dup ce aceasta s-a stabilizat se activeaz semnalul
/CAS. Pe frontul descresctor al /CAS se investigheaz linia /WE. Aceasta trebuie s fie pe 1 deoarece se
execut o operaie de citire. Tot pe frontul descresctor al semnalului /CAS se memoreaz adresa coloanei
A
8
A
15
n registrul corespunztor din circuitul de comand. Cu ajutorul lor i al MUX-ului, se selecteaz una
dintre cele 256 de coloane ale liniei memorate n registrul de linii, i coninutul celulei selectate se transmite,
prin buffer (aflat n stare normal), spre ieire D
out
.
n continuare se dezactiveaz /RAS-ul (coninutul registrului de linii se renscrie n matricea de
memorare) apoi se dezactiveaz i /CAS-ul i linia D
out
trece pe Z.
Citirea informaiei Scrierea informaiei
Scrierea informaiei n memorie
Furnizarea adresei locaiei de memorare n care urmeaz s se scrie informaia se face la fel ca la
operaia de citire.
Deosebirile apar pe frontul descresctor al /CAS cnd n urma investigrii se gsete linia /WE pe 0.
Acest fapt nseamn c urmeaz o operaie de scriere i, tot n acel moment datele care urmeaz a fi scrise
trebuie s fie prezente pe linia D
in
. n continuare se memoreaz adresa coloanei A
8
A
15
n registrul
corespunztor din circuitul de comand. Cu ajutorul lor i al DMUX-ului, se selecteaz una dintre cele 256 de
coloane ale liniei memorate n registrul de linii, i informaia de pe D
in
se memoreaz n aceast celul.
n continuare se dezactiveaz /RAS-ul (coninutul registrului de linii se renscrie n matricea de
memorare) apoi se dezactiveaz i /CAS-ul.
7 7
60
Remprosptarea informaiei memorate
Se folosete un numrtor pe 8 bii, cu funcionare continu care genereaz adresele celor 256 de linii.
Pe frontal descresctor al semnalului /RAS se selecteaz o linia ce corespunde adresei. Coninutul fiecrei
celule ale acestei linii se nscrie n registru de linii. Pe frontul cresctor al semnalului /RAS se renscrie
informaia din registru de linii, regenerat n celulele corespunztoare.
n continuare se trece la urmtoarea adres i se remprospteaz informaiile din celulele liniei
urmtoare.
61
Sisteme de prelucrare numeric cu procesoare
Anul II
62
1. Arhitectura i modul de operare al unui microprocesor. Ciclul instruciune, ciclul main, fazele de execuie
ale unui ciclu main.
[1], slide nr. 28, 29; [2], pag. 911;
Arhitectura unui microprocesor
Modul de operare al CPU
Fazele de exectie ale unui ciclu masina:
Extragere (fetch),
Decodificare (decode),
Executie (execute),
Scriere rezultat (writeback).
Observatii:
Un ciclu masina include de regula 2 operatii de extragere (fetch)
Executia unei instructiuni , adica un ciclu instructiune, se
realizeaza pe unul sau doua cicluri masina.
Ciclu masina: o succesiune de patru
Faze (pasi) intre doua extrageri
succesive de instructiuni din memoria
program.
63
SPN cuprinde un grup de registre de 8, 16 sau 32 bii, numite interne, avnd funcii dedicate (speciale) prin
structura sistemului. Aceste registre conin date, adrese i informaii de control. Astfel, exist registre dedicate
care se utilizeaz ca surse cu operanzi sau ca destinaii ale rezultatelor pentru anumite operaii. Un registru din
grupul de registre cu funcii dedicate este registrul acumulator, notat cu A sau ACC, utilizat ca surs i
destinaie n multe operaii aritmetice, logice i de transfer.
Transferul de date ntre SPN i echipamentele de intrare/ieire (I/E) se realizeaz prin porturi de I/E,
care pot fi de tip paralel sau serie. n cazul unui port paralel, transferul unui cuvnt ntre SPN i un echipament
de I/E se realizeaz printr-o magistral de I/E cu 8, 16 sau 32 de linii, funcie de lungimea cuvntului. Transferul
unui cuvnt de la un echipament la SPN se numete operaie de intrare, iar transferul invers se numete
operaie de ieire. n cazul unui port serial, transferul de date ntre SPN i un echipament de I/E se realizeaz
prin dou linii de comunicaie, de transmisie i, respectiv, de recepie (din punctul de vedere al SPN). Biii
corespun-ztori unui cuvnt se ncarc n ordine succesiv, cu frecvena de comunicaie, pe linia de recepie
sau transmisie, funcie de sensul transferului. Adresarea porturilor de I/E se realizeaz prin magistrala de
adrese a SPN.
Din cele prezentate mai sus rezult c magistrala de adrese conine cuvinte de adresare a datelor din:
memoria de date, registrele cu funcii dedicate i porturile de intrare. Aceste componente ale SPN pot ncrca
magistrala de date. Deoarece la un moment dat o singur component a SPN poate ncrca magistrala de date,
rezult necesitatea seleciei componentelor sistemului funcie de operaiile executate de acesta. Aceast
selecie se realizeaz prin magistrala de control a SPN de ctre unitatea de control i sincronizare.
Funcia de prelucrare numeric este realizat de ctre sistem prin execuia secvenial a unor operaii
aritmetice, logice i de transfer. Operaiile de transfer se realizeaz ntre componentele SPN sau ntre SPN i
echipamentele de I/E. O operaie se realizeaz prin execuia de ctre SPN a unei instruciuni. Rezult c o
succesiune de operaii corespunde unei succesiuni de instruciuni, care formeaz un program. O instruciune
este definit prin 16 cuvinte de 8 sau 16 bii, care conin codul operaiei de executat, operanzii sau adresele
operanzilor i adresa destinaiei. Cuvintele care definesc o instruciune reprezint codul main al instruciunii.
Elaborarea unui program prin scrierea codurilor main ale instruciunilor corespunztoare se numete
programare n limbaj main.
Fiecrei instruciuni i corespunde o scriere simbolic (cu caractere alfa-numerice) care trebuie s
precizeze aceleai informaii ca i codul main, informaii care constau n codul operaiei, operanzii sau
adresele operanzilor i adresa destinaiei. Simbolul corespunztor codului operaie se numete mnemonic.
Elaborarea unui program prin scrierea simbolic a instruciunilor se numete programare n limbaj de
asamblare.
64
Codurile main ale instruciunilor unui program sunt plasate la adrese succesive n memoria de program a
SPN. Memoria de program, de tip ROM sau RAM, este conectat, ca i memoria de date, la magistralele de
date i de adrese ale SPN. Rezult c magistrala de date se ncarc i cu cuvinte care reprezint codurile
instruciunilor.
Execuia unei instruciuni ncepe cu extragerea din memoria de program a primului cuvnt din codul
main, cuvnt care precizeaz codul operaiei corespun-ztoare instruciunii. Sub comanda unitii de control
i sincronizare, acest cuvnt este transferat prin magistrala de date n registrul de instruciuni al SPN. Registrul
de instruciuni realizeaz memorarea temporar a cuvntului cod operaie n scopul decodificrii. Rezultatul
decodificrii este transmis la unitatea de control i sincro-nizare care comand funcionarea componentelor
SPN pentru execuia instruciunii identificat prin decodificare. Aceast comand se realizeaz prin magistrala
de control a SPN. n cazul n care codul main al instruciunii conine mai mult de un cuvnt, execuia
instruciunii cuprinde i extragerea din memoria de program a celorlalte cuvinte coninnd date i/sau adrese.
Extragerea n ordine succesiv a cuvintelor reprezentnd codurile main ale instruciunilor unui program se
realizeaz prin adresarea memoriei de program cu registrul numrtor de adrese ale programului (PC).
Registrul PC (de 16 bii) se incrementeaz cu o unitate dup fiecare extragere de cuvnt cod instruciune.
Unitatea de control i sincronizare poate comanda ncrcarea n registrul PC i a altor valori dect cele rezultate
din numrare n ordine natural, rezultnd salturi n citirea memoriei de program. Efectuarea unui astfel de salt
se numete transfer al controlului i poate rezulta ca urmare a execuiei unei instruciuni de transfer al
controlului (salt, apel de subrutin, revenire din subrutin) sau ca urmare a unei cereri de ntrerupere.
Din cele prezentate rezult c execuia unei instruciuni de ctre un SPN cuprinde urmtoarele operaii
de baz:
- extragere cod operaie, transferul din memoria de program n registrul de instruciuni al primului
cuvnt din codul main al instruciunii, cuvnt care conine codul operaiei de executat prin instruciune;
- decodificare, analiza cuvntului cod operaie cu circuitele pentru decodifi-carea instruciunilor i
transferul rezultatului decodificrii la unitatea de control i sincronizare;
- transfer operanzi, transferul operanzilor ntre componentele SPN (memorie de program, memorie de
date, registre, porturi de I/E) n scopul execuiei instruciunii;
- execuie, execuia operaiei aritmetice, logice sau de transfer precizat de codul operaie al
instruciunii.
Execuia unei instruciuni ncepe cu extragere cod operaie i decodificare, continund cu o secven
specific de operaii de baz de transfer operanzi i execuie. Astfel, este necesar funcionarea secvenial i
sincronizat a SPN. Aceast funcionare se obine prin comanda componentelor SPN de ctre unitatea de
control i sincronizare.
Viteza de execuie a instruciunilor este funcie de frecvena semnalului de la generatorul de tact al SPN. n
general, o operaie de baz se efectueaz pe durata a unei perioade sau a mai multor perioade ale semnalului
de tact. Intervalul corespunztor efecturii unei operaii de baz se numete un ciclu main al SPN. Ciclurile
main corespunztoare unei instruciuni definesc un ciclu instruciune. Execuia unei instruc-iuni dureaz
65
cteva cicluri main incluznd: ciclu de extragere cod operaie, ciclu de decodificare i, apoi, funcie de tipul
instruciunii, cicluri de citire/scriere, cicluri de intrare/ieire i cicluri de execuie. Efectuarea acestor cicluri
poate implica componente diferite din structura SPN. Rezult posibilitatea ca SPN s efectueze simultan cicluri
diferite din execuia unei instruciuni sau din execuia unor instruciuni succesive. Aceast tehnic de
suprapunere n timp a execuiei ciclurilor main (operare paralel) se numete tehnic pipeline i utilizarea ei
n funcionarea unui SPN conduce la mrirea vitezei de lucru a acestuia.
2. Structura tipic a unui microcontroler. Definii arhitectura Harward i von Neumann. Scheme, avantaje i
dezavantaje.
[1], slide nr. 30, 31; [2], pag. 11.
Arhitectura tipica de microcontroler
Microcontrolerul (uC) este un
microcalculator pe un singur chip
utilizat pentru comanda altor
dispozitive si circuite electronice.
Un microcontroler include pe chip:
Unitatea centrala de procesare (CPU),
Memorii,
Interfete de intrare/iesire,
Dispozitive periferice integrate
(interne),
Convertoare A/D si D/A.
66
Microcontroler cu arhitectura HARWARD
Avantaje arhitecturii HARWARD:
Viteza de executie mai ridicata,
Siguranta sporita in functionare
Observatie: de regula, cele doua memorii sunt
conectate la CPU printr-o singura magistrala.
n general, realizarea unui SPN se bazeaz pe utilizarea unui circuit integrat pe scar larg de tip microprocesor,
microcontroler sau procesor numeric de semnal, care conine, pentru orice tip, o unitate central de prelucrare
UCP cu urmtoarele compo-nente din structura general a unui SPN: unitate aritmetic i logic, registru cu
indicatorii de condiii, registru numrtor de adrese, registru de instruciuni, circuite de decodificare a
instruciunilor, unitate de control i sincronizare i registre cu funcii dedicate. Toate aceste componente se
numesc interne, relativ la circuitul integrat utilizat ca baz pentru realizarea SPN. n acest sens, registrele din
structura SPN se numesc registre interne. Un circuit de tip microcontroler conine toate componentele din
structura general a unui SPN, incluznd memorie intern, porturi de I/E, precum i alte periferice.
3. Conectarea unei memorii program externe. Schema de conectare, semnale utilizate.
[1], slide nr. 46; [4], pag. 135.
67
Conectarea unei memorii program externe
PSEN Program Store Enable
P0 Adrese low (A7-A0) multiplexate in timp cu date (D7-D0)
ALE Address Latch Enable
P2 Adrese high (A15-A8)
Memorii program externe sunt rar utilizate
68
69
4. Memoria stiv. Definiie, funcionare, tipuri de memorie stiv, iniializare.
[1], slide nr. 59, 60; [2], pag. 13.
Memoria stiva (1)
Este o zona de memorie amplasata in memoria interna RAM si care
stocheaza temporar urmatoarele tipuri de date:
Automat, adresele de revenire din subrutine (de tratare a
intreruperilor sau subrutine apelate prin instructiuni CALL),
Prin program, continutul unor registri utilizati in subrutine si care
trebuie recontuit inainte de revenirea in progeamul principal,
Datele sunt manipulate cu instructiunile PUSH (incarca date in
stiva) si POP (extrage date din stiva).
Stiva este o memorie LIFO (last in, first out
ultimul intrat primul iesit,
Stiva poate creste in sus ca in figura sau in
jos,
Adresele de scriere/citire sunt date de registrul
Stack Pointer (SP); continutul acestuia indica
adresa ultimei locatii ocupata din stiva.
Memoria stiva (2)
Stabilirea zonei din RAM intern alocata stivei se face prin initializarea
continutului registrului SP.
Exixta posibilitatea prevenirii citirii/scrierii inafara limitelor memoriei
stiva, utilizind 2 registri: Stack Overflow respectiv Stack Underflow,
care contin adresele limita ale stivei. La atingerea lor sunt generate
intreruperi.
Exemple de utilizare a memoriei stiva
CSEG AT 23H
PUSH A
PUSH PSW
CALL SBRT
POP PSW
POP A
RETI
SBRT: PUSH A
PUSH PSW
-----------
-----------
POP PSW
POP A
RET
70
Adresa de revenire este necesar pentru execuia instruciunii de revenire din subrutin, RET. Deoarece o
subrutin, la rndul ei, poate apela alte subrutine, rezult necesitatea unei zone de memorie pentru
memorarea adreselor de revenire. Aceast memorie a SPN se numete stiv, deoarece adresele de revenire
sunt depuse n ea n ordine succesiv, una peste cealalt. Ordinea de scoatere din memoria stiv a adreselor de
revenire este invers n raport cu ordinea de depunere n stiv, adic ultima introdus este prima extras. Altfel
spus, memoria stiv este de tip LIFO.
Un SPN poate conine n structura sa o memorie LIFO dedicat pentru stiv. O alt variant, des utilizat,
const n organizarea stivei n memoria de date a SPN prin utilizarea registrului indicator de stiv SP din grupul
registrelor cu funcii dedicate. Registrul SP este destinat pentru adresarea memoriei stiv. Astfel, coninutul
registrului SP este iniializat la conectarea SPN sau poate fi stabilit, imediat dup conectare, prin programare.
Dup aceste operaii, coninutul registrului SP urmrete adresa corespunztoare vrfului stivei. Aceast
urmrire se realizeaz prin incremen-tare/decrementare cu o unitate a coninutului registrului SP la fiecare
operaie de scriere a unui cuvnt n stiv, respectiv prin decrementare/incrementare cu o unitate la fiecare
operaie de citire (extragere) din stiv. O alt variant de realizare a stivei, utilizat n SPN care nu conin
registru SP, const n implementarea prin program a logicii de funcionare corespunztoare registrului SP. O
astfel de stiv se numete stiv soft. Se precizeaz c memoria stiv poate fi utilizat i ca memorie de uz
general, cu acces rapid, de tip LIFO i adresabil cu registrul SP. Accesul stivei ca memorie de uz general se
realizeaz cu instruciuni de scriere n stiv (PUSH ) i cu instruciuni de citire din stiv (POP). n acest caz,
gestiunea coninutului stivei pentru funcionarea corect la reveniri din subrutine i la extrageri de date din
stiv este sarcina programatorului.
5. Sistemul de ntreruperi. Generaliti privind ntreruperile, reguli privind execuia ntreruperilor.
[1], slide nr. 61, 65; [2], pag. 15,16.
71
Sistemul de intreruperi
Intreruperile sunt semnale asincrone ale sistemului hardware (intreruperi
hardware) care solicita atentia unitati centrale de procesare (CPU) sau
evenimente sincrone software (intreruperi software), care indica
schimbari in executia programului.
Aparitia unei intreruperi determina salvarea starii de executie a
programului si executia unei subrutine de tratare a intreruperii, dupa care
se revine in programul principal, in punctul in care a fost parasit.
Intreruperile hardware, sunt generate de regula de evenimente externe
microcontrolerului, de interfetele de intrare/iesire sau de dispozitivele
periferice interne ale acestuia.
Intreruperile software sunt implementate cu instructiuni speciale de
intrerupere, incluse in setul de instructiuni ale microcontrolerului. Uneori,
intreruperile software sunt utilizate pentru a implementa apeluri de sistem
(System Call), prin care programele de aplicatie solicita servicii sistemului
de operare.
Reguli privind executia intreruperilor:
1. Executia unei intreruperi nu poate fi sistata de aparitia unei
intreruperi avind aceeasi prioritate,
2. O intrerupere cu prioritate ridicate poate intrerupe executia unei
intreruperi cu prioritate scazuta,
3. O intrerupere cu prioritate scazuta poate fi executata numai daca
nici o alta intrerupere nu este in curs de executie,
4. Daca doua intreruperi apar in acelasi timp, va fi executata mai intii
intreruperea cu prioritate ridicata. Daca ambele intreruperi au
aceeasi prioritate, va fi executata mai intii prima intreruperea
deservita de secventa de pooling.
Tehnica ntreruperilor este utilizat pentru sincronizarea i corelarea activitii SPN cu evenimente rezultate din
funcionarea acestuia i a echipamentelor de I/E. De exemplu, tehnica ntreruperilor este utilizat pentru
sincronizarea i realizarea transferului de date ntre un SPN i echipamente de I/E de vitez redus, cu acces
periodic sau aleator.
72
Conform tehnicii ntreruperilor, SPN i desfoar activitatea de baz n cadrul unui program, numit
program principal, a crui execuie poate fi ntrerupt de o cerere de ntrerupere efectuat de ctre un
echipament de I/E sau rezultat din funcionarea SPN. Recepia i acceptarea de ctre SPN a unei cereri de
ntrerupere determin, dup terminarea instruciunii n curs de execuie, apelul unei subrutine de ntrerupere
specific sursei a crei cerere de ntrerupere a fost acceptat. Astfel, n subrutina de ntrerupere se realizeaz
un transfer de date sau o funcie, n corelaie cu sursa a crei cerere de ntrerupere a fost acceptat. Dup
execuia subrutinei de ntrerupere, SPN revine la execuia programului principal ncepnd cu instruciunea
urmtoare celei dup care s-a acceptat ntreruperea. Pentru execuia corect a pro-gramului principal se
impune ca la nceputul i la sfritul subrutinei de ntrerupere s se salveze, respectiv s se restabileasc starea
programului ntrerupt. De exemplu, operaiile de salvare i restabilire vizeaz coninuturile registrelor interne
cu care ope-reaz i programul principal i subrutina de ntrerupere. Salvarea strii programului principal se
poate face, de exemplu, n memoria stiv cu instruciuni PUSH. n acest caz, restabilirea strii programului
principal se face din memoria stiv cu instruciuni POP.
Sursele de cereri de ntrerupere pot fi nemascabile sau mascabile. O surs se numete nemascabil
dac nu exist posibilitatea de blocare prin programare a acceptrii de ctre SPN a cererilor de ntrerupere
corespunztoare sursei. n cazul surselor mascabile exist posibilitatea de validare sau invalidare prin
programare a acceptrii de ctre SPN a cererilor de ntrerupere corespunztoare. Validarea sau invalidarea se
poate realiza global, pentru toate sursele de cereri de ntrerupere mascabile sau individual, pentru fiecare
surs. n general, un SPN conine un bit (bistabil) care poate fi stabilit prin programare la un nivel logic pentru
invalidarea tuturor surselor mascabile sau la nivelul logic complementar, pentru care se permite
validarea/invalidarea individual a surselor. Pentru aceast din urm operaie, SPN conine un registru de
validare (mascare) a surselor de cereri de ntrerupere. Fiecrei surse mascabile i corespunde un bit al acestui
registru, care poate fi stabilit prin programare pentru validarea/invalidarea sursei corespunztoare.
Din cele prezentate mai sus a rezultat c un SPN poate primi cereri de ntrerupere de la mai multe
surse, fiecrei surse corespunzndu-i o subrutin de ntrerupere specific. Deoarece, la un moment dat, un SPN
poate deservi o singur cerere de ntrerupere, prin rularea subrutinei de ntrerupere corespunztoare, rezult
necesitatea stabilirii unei ordini de prioritate a surselor de cereri de ntrerupere, ordine bazat pe criterii
funcionale. Ordinea de prioritate a surselor de cereri de ntrerupere ntr-un SPN se stabilete att prin
structura sistemului, ct i prin programare.
Funcionarea unui SPN la acceptarea unei cereri de ntrerupere ncepe, ca i n cazul instruciunilor de
apel de subrutine, cu salvarea n memoria stiv a adresei de revenire din subrutina de ntrerupere. Aceast
adres de revenire coincide cu coninu-tul registrului numrtor de adrese PC, existent dup execuia ultimei
instruciuni din programul principal, nainte de apelul subrutinei de ntrerupere. Dup salvarea adresei de
revenire, se efectueaz apelul subrutinei de ntrerupere, prin ncrcarea coninutului registrului PC cu adresa
de nceput a subrutinei de ntrerupere, n corelaie cu sursa a crei cerere de ntrerupere se servete. n funcie
de tipul SPN, exist dou variante de principiu pentru efectuarea apelului subrutinei de ntrerupere.
Conform primei variante, ntr-o zon a memoriei SPN se construiete, n prealabil, o tabel cu adresele
de nceput ale subrutinelor de ntrerupere cores-punztoare diferitelor surse. Poziia tabelei n memorie,
precum i poziiile n tabel corespunztoare diferitelor surse pot fi stabilite prin structura SPN i/sau prin
programare, funcie de tipul SPN. Astfel, apelul subrutinei de ntrerupere corespun-ztoare unei surse se
73
realizeaz prin ncrcarea registrului PC cu adresa de nceput a subrutinei de ntrerupere extras din tabel, de
la locaiile de memorie corespunztoare sursei.
Conform variantei a doua, registrul PC se ncarc cu o adres corespunztoare memoriei de program a
crei valoare este n corelaie cu sursa de cerere de ntrerupere i este stabilit prin structura SPN i/sau prin
programare. Pentru diferite surse de cereri de ntrerupere, adresele cu care se ncarc registrul PC sunt
decalate cu 2, 3 sau 8 poziii, funcie de tipul SPN. Acest decalaj este egal cu sau mai mare dect numrul de
cuvinte din codul main al unei instruciuni de salt corespunztoare sistemului. Astfel, pentru fiecare surs de
cerere de ntrerupere, exist locaiile de memorie necesare scrierii prealabile a unei instruciuni de salt la
adresa de nceput a subrutinei de ntrerupere.
Dup execuia unei subrutine de ntrerupere, SPN revine la execuia progra-mului ntrerupt, printr-o
procedur similar cu procedura de revenire din subrutine apelate cu instruciuni CALL. Astfel, revenirea se
realizeaz prin ncrcarea registrului PC cu adresa de revenire din memoria stiv, ca urmare a execuiei de ctre
SPN a unei instruciuni RETI de revenire din subrutina de ntrerupere, care este ultima instruciune a subrutinei.
Aceast instruciune specific pentru funcionarea cu ntreruperi rea-lizeaz i informarea logicii de control
ntreruperi a SPN cu privire la terminarea execuiei subrutinei de ntrerupere. Informarea este necesar pentru
acceptarea, pe baza sistemului de prioriti, a unei eventuale cereri de ntrerupere de la alt surs de nivel de
prioritate egal cu sau mai sczut dect sursa tocmai deservit. Se precizeaz c execuia unei subrutine de
ntrerupere poate fi la rndul ei ntrerupt de o cerere de ntrerupere de la o surs de nivel de prioritate mai
ridicat.
6. Care este rolul magistralei de adrese (MA) ntr-un sistem de prelucrare numeric cu procesor? Dac MA are
16 linii, care este dimensiunea spaiului de adresare? Determinai intervalul de adresare corespunztor unei
capaciti de memorie de 8 Koctei care ncepe la adresa 2500h.
[3], slide nr. 7, 8.
7
2. Memoria unui SPN
MEMORIE
magistral
de adrese
(16 bii)
magistral
de date
(8 bii)
magistral
de control
74
8
INTERVAL DE ADRESARE CAPACITATE MEMORIE
0000h00FFh 256 octei
0100h01FFh 256 octei
0200h02FFh 256 octei
0300h03FFh 256 octei
0000h03FFh 1024 octei =1 koctet
0400h07FFh 1 koctet
0800h0BFFh 1 koctet
0C00h0FFFh 1 koctet
0000h0FFFh 4 koctei
1000h1FFFh 4 koctei
2000h2FFFh 4 koctei
3000h3FFFh 4 koctei
0000h3FFFh 16 koctei
4000h7FFFh 16 koctei
8000hBFFFh 16 koctei
C000hFFFFh 16 koctei
0000hFFFFh 64 koctei
Din tabel se observ c la 8 koctei corespunde intervalul 0000-1FFFh. Pentru obinerea intevalului cerut, se
translateaz capetele intervalului 0000-1FFFh cu 2500h.
7. Prezentai pe baza schemei de mai jos funcionarea unui circuit numrtor-temporizator.
[1], slide nr. 81; [2], pag. 70; [4], pag. 138.
75
Circuite temporizator/numarator
Functii:
Temporizator=> Marcarea cu precizie, prin intreruperi, a unor intervale
de timp cu durate programabile
Numarator => Numararea unor evenimente externe (tranzitii aplicate la
un pin extern)
Functionarea este programabila prin intermediul unor registri SFR (TMOD
si TCON)
Sunt disponibile trei circuite temporizator/numarator: T0, T1, T2
Un temporizator genereaz evenimente periodice concretizate prin semnale i/sau cereri de ntrerupere.
Perioada evenimentelor (temporizarea) se stabilete prin numrarea unui anumit numr de impulsuri de
frecven dat. Astfel, temporizatorul conine un numrtor al crui coninut se decrementeaz cu cte o
unitate la fiecare impuls de intrare. Temporizarea (evenimentul) corespunde anulrii coninutului
numrtorului. Primul impuls de intrare dup anularea coninutului numrtorului declaneaz ncrcarea
numrtorului cu o constant de timp CT memorat ntr-un registru i a crei valoare determin durata
temporizrii. Dup ncrcarea constantei de timp se continu cu decrementarea coninutului numrtorului
rezultnd temporizri periodice. Procedura prezentat corespunde funcionrii unui numrtor modulo (CT +1)
cu decrementare (modulus down-counter) care realizeaz divizarea frecvenei f de la intrarea numrtorului cu
(CT +1). Rezult c perioada temporizrii este (CT +1)/f.
76
77
8. Prezentai pe baza schemei de mai jos, funcionarea unui circuit numrtor-temporizator n modul de lucru
captare.
[1], slide nr. 88; [2], pag. 73.
Funcia de acumulare const n numrarea unor evenimente definite prin fronturi ale unui semnal. Funciile de
captur i comparare se refer la coninutul unui registru numrtor comandat la intrare cu un semnal de
frecven dat. Funcia de captur const n memorarea coninutului registrului numrtor la un moment dat
de un front al unui semnal. Funcia de comparare const n generarea unui eveniment (front, cerere de
ntrerupere) n momentul n care coninutul registrului numrtor ajunge la o anumit valoare. Rezult c prin
operaii de captur se pot msura parametri de timp ai unor semnale de intrare (durate ale impulsurilor) i prin
operaii de comparare se pot genera semnale cu parametri de timp stabilii cu precizie (impulsuri cu durate de
valori programabile cu precizie).
9. Circuitul watch dog. Definiie, funcionare, mod de utilizare.
[1], slide nr. 92; [2], pag. 46.
78
Circuitul watch dog (WD)
La o executie normala a programului continutul WD este sters
inainte sa fie atinsa capacitatea maxima de nmarare, cind este
initiata operatiunea de resetare.
Stergerea continutului WD se face cu o instructiune
corespunzatoare, plasata din loc in loc pe firul de executie
normala a programului.
WD este un circuit temporizator care reseteaza microcontrolerul
atunci cind acesta a parasit executia normala a programului.
Intirzierea WD poate fi programata.
In faza de punere la punct a programului functionarea WD este
inactivata.
Iniializarea execuie incorect aplicaie (Computer Operating Properly watchdog reset) se genereaz de un
circuit temporizator (watchdog timer) la anularea coninutului numrtorului. Programul aplicaie trebuie s
ncarce periodic numrtorul pentru evitarea anulrii coninutului acestuia, deci pentru evitarea iniializrii.
Rezult c o astfel de iniializare se genereaz n cazul execuiei incorecte a aplicaiei.
10. Circuitul PWM. Structur i funcionare. Aplicaii.
[1], slide nr. 109; [2] pag. 7778.
79
Sync)
Up/Down
Clock Source
fPWMx
From Port PWMP
Data Register
(Clock Edge
U
PWMEx
M
To Pin
PPOLx
Q
Q
Reset
8-bit Compare =
PWMPERx
T
Q
Q
M
U
8-bit Compare =
PWMDTYx
Gate
8-Bit Counter
PWMCNTx
Circuit pentru modularea impulsurilor
in durata (PWM)
Fig. 4.6
Logica de funcionare a unui generator de impulsuri modulate n durat cu aliniere la stnga este prezentat n
figura 4.7 i este implementat cu circuitele din figura 4.6. n aceast variant, registrul PWMCNTx numr
impulsuri de tact cu frecvena f
PWMx
n sens cresctor conform sistemului modulo (PWMPERx). n fiecare
80
moment de egalitate ntre coninuturile registrelor PWMCNTx i PWMDTYx, circuitul comparator
corespunztor genereaz un impuls care comand prin intrarea T a bistabilului de ieire comutarea la nivel
logic 1 a ieirii Q a acestuia. n momentele de trecere pe zero ale coninutului registrului PWMCNTx se
comand comutarea Q = 0 a bistabilului de ieire prin intrarea R. Durata i perioada impulsurilor generate sunt
indicate n figura 4.7. Multiplexorul comandat cu bitul PPOLx al registrului polaritate PWMPOL (PWM Polarity
Register) selecteaz ieirea Q sau /Q a bistabilului de ieire pentru stabilirea polaritii impulsurilor generate.
Logica de funcionare a unui generator de impulsuri modulate n durat cu aliniere central este prezentat n
figura 4.8 i este implementat cu circuitele din figura 4.6. n aceast variant, registrul PWMCNTx numr
impulsuri de tact cu frecvena f
PWMx
n sens cresctor de la zero pn la valoarea dat de coninutul registrului
PWMPERx, dup care numr n sens descresctor pn la anularea coninutul registrului PWMCNTx. n fiecare
moment de egalitate ntre coninuturile registrelor PWMCNTx i PWMDTYx, circuitul comparator
corespunztor genereaz un impuls care comand prin intrarea T a bistabilului de ieire comutarea acestuia.
Durata i perioada impulsurilor generate sunt indicate n figura 4.8. Multiplexorul comandat cu bitul PPOLx al
registrului polaritate PWMPOL (PWM Polarity Register) selecteaz ieirea Q sau /Q a bistabilului de ieire
pentru stabilirea polaritii impulsurilor generate.
Fig. 4.7
(PWMPERx-1)
(PWMCNTx)
(PWMDTYx)
1/f
PWMx
(PWMDTYx)/f
PWMx
(PWMPERx)/f
PWMx
Ue PIN
t
t
81
Bibliografie:
[1] Curs SPNP.ppt, n https://intranet.etc.upt.ro/~SPNP_B/LICENTA/
[2] L. Toma .a., Microcontrolere HCS12X, Teorie i aplicaii, Editura de Vest, Timioara, 2008.
[3] L1.pdf, n https://intranet.etc.upt.ro/~SPNP_B/LICENTA
[4] L. Toma, Sisteme de achiziie i prelucrare numeric a semnalelor, Editura de Vest, Timioara, 1996.
82
CIRCUITE I SISTEME
ANUL II
83
1. Confuzii datorate eantionrii. Exemple
Prin eantionarea ideal a semnalului ( ) t A t x
0
cos = e cu pasul T
e
se obine semnalul n timp discret
[ ] n A n x
0
cos = O cu
e
T
0 0
= e O . Pentru diferite alegeri ale pasului de eantionare ar trebui s se obin semnale n
timp discret diferite. Exist ns alegeri diferite ale pasului de eantionare care conduc la acelai semnal n timp
discret. De exemplu pentru
0 1
4 = e t/
e
T se obine semnalul n timp discret [ ]
n A n x
4
cos =
1
t
iar pentru
0 2
4 7 = e t/
e
T se obine semnalul [ ] n A n x
4
7
cos =
2
t
. Dar, datorit periodicitii funciei cosinus cu perioada 2t,
se poate scrie: [ ] ) [ ] n x n A n x
1 2
=
4
- 2 cos( =
t
t . Cele dou semnale n timp discret sunt reprezentate grafic n
figura de mai jos.
Analiznd figura se constat c cele dou semnale n timp discret sunt identice.
n consecin, exist alegeri diferite ale pasului de eantionare, care pot conduce la semnale n timp discret
identice, producnd confuzie. Exemple similare pot fi observate i n figura de mai jos.
84
2. Semnale complexe. Fazori. Conceptul de frecven negativ
Este bine cunoscut prima formul a lui Euler:
2
1 +
=
0 0
0
t j t j
e e
t
e e
e
/
cos .
Cele dou exponeniale complexe din membrul drept sunt semnale complexe care se mai numesc i fazori. Ele
pot fi reprezentate ca i vectori rotitori n planul complex. Aceti vectori au module unitare i se rotesc cu
viteze unghiulare e
0
i respectiv -e
0
. Ei sunt reprezentai n figura de mai jos.
85
Dar e
0
reprezint i pulsaia (sau frecvena) semnalului t
0
e cos . De aceea se mai spune c frecvena
fazorului e
-je
0
t
este negativ. Acest concept nu are un suport fizic, dar este util pentru simplificarea
calculelor. Construcia semnalului t
0
e cos cu ajutorul celor doi fazori este prezentat n figura
urmtoare.
3. Teorema proieciei. Exemplu de aplicare n teoria aproximrii
Enunul teoremei proieciei este urmtorul.
Fie H un spaiu Hilbert i H
s
un subspaiu Hilbert nchis al acestuia. Oricare ar fi vectorul x din H exist
un vector x
~
din H
s
care reprezint cea mai bun aproximare a lui x cu elemente din H
s
care are
proprietile:
- distana de la x la x
~
este cea mai mic distan de la x la orice element din H
s
;
- eroarea comis, e=x- x
~
, este ortogonal pe subspaiul H
s
.
Dac dimensiunea spaiului Hilbert H este 3 i dac dimensiunea spaiului Hilbert H
s
este 2, atunci
teorema proieciei se particularizeaz la teorema celor trei perpendiculare aa dup cum se vede n
figura de mai jos.
86
Cea mai bun aproximare a vectorului OA=x cu elemente din planul generat de versorii
2
u

i
3
u

este
dat de vectorul OB= x
~
, proiecia vectorului OA pe acest plan (H
s
).
n acest caz, eroarea de aproximare este dat de vectorul BA=e, care este ntr-adevr ortogonal pe H
s
(aa dup cum afirm cea de a doua consecin a teoremei proieciei).
Dac se consider c spaiul Hilbert H are dimensiunea n fiind generat de baza { }
n
u u u ,..., ,
2 1
i c
spaiul Hilbert H
s
este generat de baza { }
m
u u u ,..., ,
2 1
cu m<n atunci cea mai bun aproximare a lui

1 =
=
n
k
k k
u u x x , cu elemente din H
s
este pe baza teoremei proieciei
1 =
=
m
k
k k
u u x x ,
~
obinut prin
trunchierea descompunerii lui x la un numr de melemente.
4. Fenomenul Gibbs
n anul 1898, Albert Michelson, fizician experimental, a construit primul analizor de spectru. El a dorit
s fac analiza spectral a semnalului periodic din figura de mai jos.
Fiind de band limitat, ca orice aparat experimental, analizorul su nu a putut s msoare
componentele armonice ale semnalului dect pn la un anumit ordin. Folosind aceste valori,
Michelson a ncercat s reconstruiasc semnalul analizat, dar n loc s obin forma de und
reprezentat cu rou n figura urmtoare, a obinut forma de und reprezentat cu negru.
87
Nenelegnd motivul pentru care s-a obinut prin reconstrucie o form de und diferit de cea
analizat, Michelson i-a cerut ajutorul lui Gibbs. Acesta i-a oferit explicaia urmtoare.
Descompunerea n serie Fourier trigonometric a semnalului din prima figur este:
( ) +
3
3 4
+
4
=
0
0
...
sin
sin
t
e
e
t
t
t t y
i are o infinitate de termeni. Trunchiind aceast descompunere la primii si n termeni, se obine
aproximarea:
( )
( )
( )
( ) [ ]
1 - 2 cos + ... + 3 cos + cos
4
=
1 - 2
1 - 2 sin 4
+ ... +
3
3 sin 4
+ sin
4
=
~

0
0 0 0
0 0 0
0
t
d n
n
t n t
t t y t t e t e t e
t
e
t
e
t
e
e
t
,
sau, exprimnd n form compact suma de cosinusuri i aproximnd cu ajutorul funciei sinus
integral Si:
( ) ( )
t n Si t y
0
2
2
~
e
t
al crei grafic este tocmai curba neagr din ultima figur. Oscuilaiile sale au condus la denumirea de
fenomen Gibbs, specific tuturor reconstruciilor prin trunchiere.
5. Rspunsul sistemelor liniare i invariante n timp continuu la semnale periodice. Metoda armonic
Exponeniala complex de modul unitar este funcie proprie pentru orice sistem liniar i invariant n
timp, aa cum este ilustrat n figura urmtoare.
88
Valoarea proprie corespunztoare este rspunsul n frecven al sistemului calculat la frecvena
exponenialei complexe (e
0
).
Dac la intrarea sistemului se aduce o combinaie liniar de exponeniale complexe,
( )

0
=
k
t jk
k
e c t x
e
, atunci, pe baza principiului suprapunerii efectelor, se va obine semnalul
( ) ( )

0
0
=
k
t jk
k
e k H c t y
e
e .
Pentru 1 = pentru
2
=
0
k
A
c
k
i c
k
=0 n rest, se obine metoda armonic, prezentat n figura
urmtoare.
Rspunsul poate fi exprimat i n forma echivalent:
( ) ( ) { } ( )
0 0 0 0
arg + cos e e e H t H A
care permite msurarea caracteristicilor de modul i de faz ale rspunsului n frecven al
sistemului. Pentru valori succesive ale lui e
0
se msoar amplitudinea rspunsului, valorile obinute
servind la trasarea caraceristicii de modul i faza iniial a rspunsului, valorile obinute servind la
trasarea caraceristicii de faz a rspunsului n frecven al sistemului.
6. Teorema simetriei. Aplicaii
Majoritatea proprietilor transformrii Fourier sunt valabile pentru semnale de clas L
1
L
2
. n cazul
semnalelor de clas L
2
sunt valabile cteva proprieti suplimentare. Una dintre acestea este teorema
simetriei. Enunul acestei teoreme este urmtorul.
Transformata Fourier a transformatei Fourier a semnalului x(t) este proporional cu x(-t).
( ) { }( ) { }( ) ( ) t x t t x F F - 2 = t e .
89
Aceast teorem permite calculul transformatelor Fourier ale unor noi semnale pe baza unor
transformate Fourier deja cunoscute.
Fcnd schimbrile de variabile i de constante de forma
t e i e t se obine schema de calcul a unor noi transformate Fourier din figura de mai jos.
n continuare se prezint dou aplicaii ale acestei teoreme.
7. Relaia de incertitudine Heisenberg-Gabor
90
Este bine cunoscut faptul c semnalele de durat limitat sunt de band nelimitat i c semnalele de
band limitat sunt de durat nelimitat. Totui este necesar localizarea semnalelor n domeniile
timp i frecven. Pentru localizarea n timp se folosesc dou mrimi: timpul central, t
c
i dispersia
semnalului n jurul timpului central,
2
t
o , definite dup cum urmeaz:
( )
( )

-
2
2

-
=
dt t x
dt t x t
t
c
,
( ) ( )
( ) dt t x
dt t x t t
c
t
2

-
2
2
2

-
= o . La fel i n domeniul frecven, pentru localizare se folosete
frecvena central, e
c
i dispersia spectrului semnalului n jurul frecvenei centrale,
2
e
o , definite n
ecuaiile urmtoare:
( )
( )

-
2
2

-
=
e e
e e e
e
d X
d X
c
,
( ) ( )
( ) e e
e e e e
o
e
d X
d X
c
2

-
2
2
2

-
= .
Cu ajutorul acestor dispersii se poate enuna relaia de incertitudine Heisenberg-Gabor. Enunul su
este urmtorul.
Dac o
t
i o
e
pot fi calculate pentru un anumit semnal, atunci este valabil relaia:
2
1

e
o o
t
oricare ar fi semnalul considerat.
Egalitatea are loc dac i numai dac semnalul considerat este Gaussian.
n consecin, dac localizarea temporal a unui semnal este mai bun dect localizarea temporal a
unui alt semnal, atunci localizarea frecvenial a primului semnal va fi mai slab dect localizarea
frecvenial a celui de al doilea semnal. Pentru numeroase semnale o
t
sau o
e
au valori infinite. Pentru
aceste semnale relaia lui Heisenberg-Gabor este inoperant.
8. Diagrame spectrale pentru semnale periodice n timp discret
Caracterizarea n domeniul frecven a semnalelor periodice n timp discret se face cu ajutorul
coeficienilor descompunerii n serie Fourier a acestor semnale. Exist mai multe tipuri de diagrame
spectrale, de modul, de faz i de putere. Diagrama spectral de modul reprezint mulimea
dubletelor de forma (modulul coeficientului, frecvena corespunztoare coeficientului). Diagrama
spectral de faz se refer la dublete de forma (faza coeficientului, frecvena corespunztoare
coeficientului) iar diagrama spectral de putere la dublete de forma (ptratul modulului
91
coeficientului, frevena corespunztoare coeficientului). Coeficienii Fourier ai semnalului x[n],
periodic de perioad N se calculeaz cu formula,
[ ]

1 -
0 =
2
-
1
=
N
n
n
N
jk
k
e n x
N
c
t
.
Secvena acestor coeficieni este periodic de perioad N. n continuare se prezint un exemplu. Se
consider semnalul
[ ]
n
N
n x
t 2
sin = , care este periodic de perioad N. El poate fi descompus n serie
Fourier pe baza celei de a doua formule a lui Euler:
[ ]
n
N
j n
N
j
e
j
e
j
n x
t t 2
-
2
2
1
-
2
1
= ,
sau, pe baza periodicitii exponenialei complexe:
[ ]
( )
.
2
1
-
2
1
=
1 -
2 2
n N
N
j n
N
j
e
j
e
j
n x
t t
Prin identificare cu formula de descompunere n serie Fourier a semnalelor periodice n timp discret:
[ ]

1 -
0 =
2
=
N
k
n
N
jk
k
e c n x
t
,
se obin valorile celor doi coeficieni Fourier nenuli:
j
c
2
1
=
1
i
j
c
N
2
1
- =
1 -
. Pentru N=6, se obin
diagramele spectrale de modul i de faz din figura urmtoare.
9. Funcia de corelaie pentru semnale discrete de energie finit
Funcia de corelaie a dou semnale x i y, msoar gradul de asemnare dintre aceste dou
semnale. Ea se mai numete i funcie de intercorelaie a semnalelor x i y i pentru semnale de
energie finit se definete cu relaia:
92
[ ] [ ] [ ]

- =
*
+ =
n
xy
k n y n x k R .
Spectrul su se numete densitate interspectral de energie a celor dou semnale i poate fi calculat
cu relaia:
( ) ( ) ( ) O O O Y X S
XY
*
= .
n cazul n care cele dou semnale sunt identice, x=y, vorbim despre autocorelaie i despre densitate
spectral de putere. n continuare se prezint cteva proprieti ale funciei de autocorelaie.
Proprietatea 1. Teorema Wiener-Hincin
Autocorelaia unui semnal este pereche Fourier cu densitatea sa spectral de putere:
[ ] ( ) ( ) O O
x x
S X k R =
2
.
Proprietatea 2. Funcia de autocorelaie are un maxim n origine. Aceast valoare este egal cu
energia semnalului.
[ ] ( )

2
2
2
1
= 0 =
t
O O
t
d X R W
x x
.
Proprietatea 3. Funcia de corelaie este par.
Se consider semnalul din figura de mai jos.
Autocorelaia sa este reprezentat grafic n figura urmtoare.
93
Analiznd ultima figur se constat c s-a obinut o funcie par cu maximul n origine de valoare
egal cu energia semnalului din figura anterioar.
10. Relaia ntre densitile spectrale de putere i de energie ale semnalelor ce trec prin sisteme
discrete, liniare i invariante n timp
Sistemul cu rspunsul la impuls h[n] rspunde la semnalul de intrare x[n] cu semnalul y[n]. Legtura
dintre aceste semnale este dat de ecuaia [ ] [ ] = n x n y - [ ] n h . Lund n ambii membri transformata
Fourier n timp discret, se obine:
( ) ( ) ( ) O O O H X Y = sau ( ) ( ) ( )
2 2 2
= O O O H X Y adic
( ) ( ) ( )
O O O
x y
S H S
2
= . Dac semnalul x[n] este
de energie finit, atunci funciile ( ) O
x
S i
( )
O
y
S reprezint densiti spectrale de energie iar dac
semnalul x[n] este de putere medie finit atunci funciile ( ) O
x
S i
( )
O
y
S reprezint densiti
spectrale de putere.
Dac semnalul de intrare n sistem este un zgomot alb atunci ( )
0
= N S
x
O , o valoare constant, iar
densitatea spectral de putere a semnalului de la ieire devine egal cu ptratul modulului
rspunsului n frecven al sistemului. n consecin, cu ajutorul unui zgomot alb, se poate identifica
ptratul modulului rspunsului n frecven al unui sistem liniar i invariant n timp discret. Aceasta
este o metod de identificare a rspunsului n frecven al unui sistem liniar i invariant n timp
discret echivalent cu metoda armonic.
94
Zona Tematica 5
95
CIRCUITE ELECTRONICE FUNDAMENTALE
- Probleme zona tematic 5 -
11. Se consider circuitul amplificator din figur de mai jos, pentru care se cunosc
parametrii TEC-J: g
m
= 5mA/V, r
ds
= , C
gd
= 5pF, C
gs
= 10pF, C
ds
= 10pF.
S se determine frecvena de trecere la nalte:
a) Folosind teorema lui Miller;
b) Folosind metoda constantelor de gol.
Bibliografie: Seminar 2, pag. 6
https://intranet.etc.upt.ro/~CEF_A/
Rezolvare:
Se deseneaz schema echivalent la frecvene nalte i semnal mic (fig. 9).
Rg
R1
R3
Cgd
Cds vg
RL
Uo
gmUgs
Ugs
Cgs
C2
160F
C3
16F
C1
0.16F
R3
2K
R2
1K
RL
2K
R1
1M
VA+
vg
J1 Rg
10K
96
Fig. 9. Schema echivalent la frecvene nalte i semnal mic pentru circuitul din fig. 8.
a) Folosind teorema lui Miller se elimin capacitatea C
gd
rezultnd schema echivalent din fig. 10.
Fig. 10. Schema echivalent dup aplicarea teoremei lui Miller.
i
o
U
U
K = i U
o
= - g
m
U
gs
(R
3
||R
L
),
gs i
U U = K = A
U0
= - g
ms
R
3
||R
L
= -5
(21)
C
iM
= C
gd
(1-K) = 30 pF, C
oM
= C
gd
1
1

|
\

|
.
|
K
= 6 pF
(22)
C
i
= C
gs
||C
iM
= C
gs
+ C
iM
= 40 pF, C
o
= C
ds
||C
oM
= C
ds
+ C
oM
= 16 pF
(23)
Frecvenele introduse de aceste capaciti sunt:
1
1
2
1
P i
P
R C
f

=

, KHz f K R R R R
P g g P
400 10
1 1 1
= O ~ ~ =
(24)
2
2
2
1
P o
P
R C
f

=

, MHz f K R R R
P L P
10 1
2 3 2
= O = = .
(25)
Funcia de transfer la nalt frecven va fi atunci:
)
10 10
1 ( )
10 4 . 0
1 (
1
5 ) (
6 6

+
=
f
j
f
j
j A
U

(26)
Relaia de mai sus este aproximativ deoarece condensatorul C
gd
introduce i o frecven de zero.
Frecvena de trecere la nalte se poate apoxima prin f
P1
= 400KHz sau se poate calcula pe baza definiiei:
Co
Uo
Rg
RL
gmUgs
vg
R1
R3
Ugs
Ci
97
=
=
0
2
1
) (
U

f f
U
A j A f

= 393,7KHz
(27)
b) Metoda se aplic, relativ la schema echivalent la frecvene nalte, prezentat fig. 9. Se analizeaz pe rnd
efectul fiecrei capaciti:
b1) Analiza efectului capacitii C
gs
.
Schema echivalent obinut prin aplicarea metodei constantelor de gol este cea din fig. 11.
Fig. 11. Schema echivalent, cazul C
gs
.
1
1
2
1
P gs
P
R C
f

=

, MHz f K R R R R
P g g P
6 , 1 10
1 1 1
= ~ ~ =
(28)
b2) Analiza efectului capacitii C
gd
.
Schema echivalent obinut prin aplicarea metodei constantelor de gol este prezentat n fig. 12.
Fig. 12. Schema echivalent, cazul C
gd
.
2
2
2
1
P gd
P
R C
f

=

,
I
U
R
P
=
2

(29)
Aplicnd teoremele lui Kirchhoff se pot scrie urmtoarele relaii:
Rg
RL
gmUgs
R1
Ugs
Cgs
R3
I1
Ugs R1
R3
U
Cgd
Rg
RL
gmUgs
I
98
+ = = i R R u u i R R
g gs gs g 1 1
0
(30)
) 1 (
1 1
R R g I I u g I
g m gs m
+ = + =
(31)
L g m g L g
R R R R g I R R I U R R I R R I U
3 1 1 3 1 1
) 1 ( 0 + + = =
(32)
L g m g P
R R R R g R R
I
U
R
3 1 1 2
) 1 ( + + = = = 61K. KHz f
P
6 , 524
2
=
(33)
b3) Analiza efectului capacitii C
ds
Schema echivalent obinut prin aplicarea metodei constantelor de gol este cea din fig. 13.
3
3
2
1
P ds
P
R C
f

=

, MHz f K R R R
P L P
16 1
3 3 3
= = =
(34)
Fig. 13. Schema echivalent, cazul C
ds
.
Efectul cumulat al celor trei capaciti se determin astfel:
1 1 1 1
1 2 3
f f f f
i i i i
= + + f

~ 385,2KHz.
12. Amplificatorul A, din figura de mai jos este considerat dup o schem de cuadripol
avnd R
i
= 1K, amplificarea de tensiune A
u
= 100 i R
o
= 0,1K i variaia relativ a
amplificrii de tensiune este % 20 =
A
u
u
A
A
. S se calculeze la frecvene medii
mrimile:
Ugr
Ugr
or ir
g
o
Ugr
A
A
R R
v
u
A
A
= , , ,
*
.
Ugs
Rg
RL
gmUgs
Cds
R1
R3
99
Bibliografie: Seminar 4, pag. 2
https://intranet.etc.upt.ro/~CEF_A/
Rezolvare:
- Se identific cuadripolul de reacie pe baza faptului c acesta aduce o fraciune din tensiunea de
la ieirea amplificatorului napoi la intrare. Acest lucru este realizat de R
2
.
Fig. 2. Cuadripolul de reacie.
- Se redeseneaz schema amplificatorului innd cont de influena pasiv a cuadripolului de
reacie.
R2
VCC VCC
Ur
Uo
Ir
Ro
Uo
Ui
R2 Ri
R1 R2
AuUi
Ii RL
RiA
RoA
RL
10K
R1
1K
R'ir
-
+
A
vg
Uor
R2
10K
R*ir
Ror
100
Fig. 3. Schema echivalent, cu influena cuadripolului de reacie inclus, pentru circuitul din fig. 1.
Pentru aceast schem fr reacie se calculeaz mrimile de interes:
O ~ =
O = =
100
47 , 0
2
2 1
o oA
i iA
R R R
K R R R R
O ~
+
=

+
= = K 7 , 46
2
2
2 1
2
2
R R R
R R
A
R R R
U
R R R
R R
U A
I
U
Z
L o
L
u
i
i
L o
L
i u
i
o
T
( )
1
2 2
0
K 1 , 0
1

=
O = =

= =
R R I
I
U
I
r
r
U
o
r
r

- Se obin parametrii amplificatorului cu reacie:


O ~
+
= k
Z
Z
Z
T
T
Tr
24 , 8
1
, O ~
+
= 84
1
T
iA
ir
Z
R
R

, O ~
+
= 6 , 17
1
t
oA
or
Z
R
R

1
'
1
* ' '
1
'
1
*
;
R R R R R R R R
R R R
ir ir ir ir ir
ir ir
~ + = ~ =
+ =
% 5 , 3
1
1
24 , 8
1
1
=
A

+
=
A
= = =
U
U
T Ugr
Ugr
tr
g
g
g
o
Ugr
A
A
Z A
A
R
Z
v
I
I
U
A

101
CIRCUITE INTEGRATE ANALOGICE
Problema1. Pentru circuitul din figur se cer: a) Amplificarea de tensiune
1
e
ur
U
U
A = . b) Valorile lui A
ur
pentru poziiile extreme i la mijloc ale cursorului, apoi valoarea minim a lui n. c) Condiia de erori
minime pentru rezistene.

Soluie
a) Tensiunea de iesire a amplificatorului cu ntroducerea unei divizri d la poteniometrul R
1
este:
|
.
|

\
|
+ + =
ech
r
1
r
r
1 e
R
R
1 dU
n
R
R
U U
in care
1 n 2
R
R
R
1 n
R
n
R
1 r
ech
r r ech

=

+ =
Cu aceasta:
( ) ( )
1 1 1 e
U 1 d 2 n 1 n 2 1 dU nU U = + + = i ( ) 1 d 2 n
U
U
A
1
e
ur
= =
b) Pentru poziiile impuse cursorului avem:
-sus, d=1 i A
ur
= +n
-la mijloc, d=0,5 i A
ur
= 0
-jos, d=0 i A
ur
= -n
Prin urmare , este vorba de un amplificator cu amplificare programabil prin potentiometrul R
1
.
c) Condiia de erori minime, n cazul existenei unui poteniometru n circuitele de
intrare, se scrie pentru situaia n care erorile conteaz cel mai mult, adic, atunci cnd
102
tensiunea de ieire a amplificatorului este minim n valoare absolut. Aici corespunde
cazului cu cursorul la mijloc . Deci:
4 / R R 2 / R 2 / R R R R
1 2 1 1 2 r ech
+ = |
.
|

\
|
+ =
Problema2. S se alctuiasc schema cu AO care realizeaz calculul cu tensiuni conform expresiei
U
e
=1,5U
1
+1,5U
2
-0,25U
3
-0,75U
4
i s se stabileasc valorile rezistenelor dac rezisena de reacie se adopt de 20k. Se va
verifica dac schema se poate concepe cu un singur AO i, dac este cazul, se va alctui cu
mai multe AO. S se hasureze rezistenele ce trebuie s fie de precizie mare.
Soluie
Dac toate tensiunile cu semn plus din expresie (n la numr) au acelai coeficient a iar
coeficienii tensiunilor cu semn minus, notai b
i
(orici) ndeplinesc inegalitatea na>1+b
i
atunci
schema se poate face cu un singur AO. Pentru cazul concret dat 21,5>1+0,25+0,75 deci acest
lucru este posibil.
Schema va avea forma din figur, unde, rezistena R
/
are rolul de a face independeni
coeficientul tensiunilor cu semn plus fa de coeficienii tensiunilor cu semn minus. Pentru schema
dat se poate scrie direct expresia:


( )
4
2
r
3
1
r
ech
r
2 1 e
U
R
R
U
R
R
R
R
1 U U
2
1
U
|
.
|

\
|
+ + =
cu R
ech
=R
1
R
2
R
/
103
Avnd R
r
=20k, rezult prin identificarea n cele dou expresii a coeficienilor tensiunilor cu semn
minus:
25 , 0
R
R
1
r
= deci O = = k 80
25 , 0
20
R
1
i 75 , 0
R
R
2
r
= deci O = = k 6 , 26
75 , 0
20
R
1
Prin identificarea coeficientului tensiunilor cu semn plus rezult:
5 , 1
R
R
1
2
1
ech
r
=
|
.
|

\
|
+ sau 2
R
R
ech
r
= deci R
ech
=10k.
Din R
ech
se obine rezistena R
/
: 80k26,6kR
/
=10k i R
/
=20k.
Rezistena R
3
, care nu apare implicit n expresia tensiunii de ieire, se calculeaz din condiia
de erori minime:
R
3
R
3
= R
ech
R
r
sau 0,5R
3
=10k20k sau 0,5R
3
=6,66k ori R
3
=13,32k .
Toate rezistenele (inclusiv cele dou R
3
care trebuie s fie perfect egale pentru precizia
nsumrii !) trebuie s fie de precizie mare deci trebuie hasurate pe schema dat.
104
CIRCUITE INTEGRATE DIGITALE
1. S se proiecteze un numrtor asincron modulo 51. Acesta va fi prevzut cu un circuit de reacie
care va permite tergerea numrtorului dup aplicarea a p impulsuri de tact. Se vor reprezenta
grafic formele de und ale semnalelor CLK, X
1
, X
2
pentru impulsurile de tact 50 53.
Numrul de bistabile necesare n este: 2
n-1
<51<2
n
. Relaia este ndeplinit pentru n=6
(32<51<64).
Funcionarea numrtorului cu p=51 implic resetarea sa dup aplicarea celui de al 51-lea
impuls de tact. Acest lucru este posibil prin identificarea strii 51 cu ajutorul unui circuit (o poart
I/I-NU) i tergerea numrtorului prin activarea liniei /CLR.
Tabelul de funcionare al numrtorului este:
Nr. impuls tact Q
5
Q
4
Q
3
Q
2
Q
1
Q
0
0
1
.
0
0
0
0
0
0
0
0
0
0
0
1
50 1 1 0 0 1 0
51 (0) 0 1 0 1 0 0 0 1 0 1
Determinarea acestei stri se face cu o poart I-NU cu 4 intrri conectate la ieirile Q
5
, Q
4
,
Q
1
, Q
0
care sunt simultan pe 1 doar cnd apare stare 51. n acel moment se activeaz intrarea /CLR
(ieirea porii I-NU este 0 doar n aceast stare) care terge numrtorul transformnd starea 51
n starea 0. n acest fel numrul strilor distincte ale numrtorului este redus la 51.
105
Schema prezentat nu prezint o funcionare sigur datorit dispersiei timpilor de propagare
t
CLR-Q
. Bistabilul cu timpul de propagare cel mai scurt se terge primul i ieirea sa Q (care este una din
intrrile porii I-NU) trece pe 0. Astfel, ieirea porii trece pe 1 i ntrerupe procesul de resetare
integral a numrtorului (celelalte bistabile nu se mai terg).
Pentru nlturarea acestui dezavantaj este necesar un circuit de memorare a semnalului de
tergere (/CLR) pe o durat care s fie mai mic dect perioada impulsului de tact, dar suficient de
mare pentru tergerea sigur a tuturor bistabilelor. Acest circuit un bistabil /S/R se intercaleaz
ntre X
1
i X
2
.
2. Folosind memorii SRAM 6264 (de tip 8k x 8 bii) i un numr minim de circuite logice, s se
obin o memorie de 32k x 8 bii.
a). Numrul necesar de circuite 6264 este:
4
biti 8 x k 8
biti 8 x k 32
N = =
.
b). Memoria de 8k are
13 10 3
2 2 2 =
locaii de memorie care pot fi accesate utiliznd 13 linii de
adres (A
0
, , A
12
).
Memoria de 32k are
15 10 5
2 2 2 =
locaii de memorie, adic 15 linii de adres.
Adresele suplimentare, A
14
i A
13
, decodificate cu ajutorul unui decodificator 2/4 (figura 6), se
folosesc pentru validarea celor patru memorii conform tabelului 3.
Tabelul 3. Tabelul de validare a memoriei SRAM de 32k x 8 bii.
A
14
A
13
A
12
A
0
Memoria
validat
Condiia de validare
0
CE
1
CE
2
CE
3
CE
106
0 0 X.. X 0 0 1 1 1
0 1 X.. X 1 1 0 1 1
1 0 X.. X 2 1 1 0 0
1 1 X.. X 3 1 1 1 1
Figura 6. Memorie SRAM de capacitate 32k x 8 bii.
Y0
Y1
Y2
Y3
G
A
B
74HCT139
CS
A0A12
1
8
D0D7
/OE
/WE
A0
..
CS0
OE0
6264
WE0
D0
..
13
8
0
A0
..
CS1
OE1
6264
WE1
D0
..
13
8
1
A0
..
CS2
OE2
6264
WE2
D0
..
13
8
2
A0
..
CS3
OE3
6264
WE3
D0
..
13
8
3
A13
A14
107
SEMNALE SI SISTEME
1. Exist semnale neidentic nule, a cror convoluie s fie identic nul ?
Da.
Dup cum se tie, operaiei de convoluie n domeniul timp, i corespunde operaia de nmulire n
domeniul frecven. Fie, de exemplu, semnalele x
1
(t) i x
2
(t) cu spectrele
( ) ( )
e e
e
0
=
1
p X i
( ) ( )
2 2
- =
1
e e e
e
p X cu
1 0 2
+ > e e e . Se constat c cele dou spectre au suporturi disjuncte. De
aceea, produsul celor dou spectre este identic nul. Aplicnd acestui produs transformata Fourier
invers, rezult c ( ) ( ) 0 *
2 1
t x t x . Dac se calculeaz i transformatele Fourier inverse ale funciilor
( ) e
1
X i ( ) e
2
X se obin expresiile analitice ale celor dou semnale i se constat c nici unul dintre
acestea nu este identic nul.
2. Poate fi construit un filtru trece-jos a crui caracteristic de modul s scad cu 10 dB/dec. ?
Da.
Se consider sistemul din figur. Amplificatoarele operaionale se consider
ideale.
Referindu-ne numai la primul etaj se tie c:
( )
( )
( )
( )
( )
( )
C R
j
R
C R j
R
Z
X
Z
X
U
H
r
r
1
1
1
1
1
1
1
1 1
1
1
= ;
+ 1
=
+ 1
= ; + 1 = = e
e
e
e
e
e
e
e
e
e
Prin urmare:
.
R + R
R R
= R ;
C R
= ;
j +
j +
R
R + R
=
C R j + R
R
+ = H
P
P 1
1
2
1
2 1
1
1
1
1

) 1 (
1 ) (
e
e
e
108
Rspunsul n frecven al primului etaj este deci:
.
j +
j +
A = H
) / ( 1
) / ( 1
) (
1
2
1
n mod asemntor se determin rspunsurile n frecen ale etajelor realizate cu
amplificatoarele A
2
i A
3
. Deoarece rezistenele din schem sunt aceleai iar
capacitatea scade de 10 ori respectiv de 100 de ori, frecvenele de tiere ce
intervin cresc de 10 ori respectiv de 100 de ori:
.
j +
j +
A = H ;
j +
j +
A H
100

1
100

1
) (
10

1
10

1
= ) (
1
2
3
1
2
2
e
n cazul de fa avem patru subsisteme conectate n cascad. Pentru ele,
rspunsul n frecven echivalent H() este:
.
j + j + j + j +
j + 1 j + j +
A = H
)
1000

1 )(
100

1 )(
10

1 )(

1 (
)
100

( )
10

1 ( )

1 (
) (
1 1 1 1
2 2 2
3
Elementele schemei se aleg astfel nct: . = e e
1 2
3,162 Rezult A=3,162.
Deoarece lg3,162 = 0,5, rezult c
2
se plaseaz, n scar logaritmic la
jumtatea distanei ntre
1
i 10
1
. Se calculeaz 20lgA
3
= 60lg3,162 = 60x0,5 =
30dB i se obine pentru modulul rspunsului n frecven, n scri logaritmice,
expresia:
( )
(
(

|
|
.
|

\
|
+
(
(

|
|
.
|

\
|
+
(
(

|
|
.
|

\
|
+ +
+
(
(

|
|
.
|

\
|
+
(
(

|
|
.
|

\
|
+ +
(
(

|
|
.
|

\
|
+ =
2
1
2
1
2
2
2
1
2
2
2
1
1000
1 10
100
1 10
10
1 10
10
1 10 1 10 1 10 30 20

lg lg lg
lg lg lg H lg
109
Caracteristica de modul corespunztoare este prezentat n figura urmtoare. Cu
excepia valorii iniiale de +30dB, nemarcat n figur, toi ceilali 7 termeni sunt
marcai, n ordinea n care apar n ultima relaie. Termenii 1, 3, 5 i 7 corespund
unor linii frnte ce cad cu 20dB/decad ncepnd cu frecvenele de tiere
(frngere)
1
, 10
1
, 100
1
i, respectiv 1000
1
. nsumnd toate cele 7
caracteristici, i adunnd valoarea iniial de 30 dB, se obine o caracteristic ce
poate fi aproximat cu caracteristica desenat cu linie plin. Deoarece frecvenele

2
, 10
2
i 100
2
sunt logaritmic plasate la jumtate ntre
1
i 10
1
, 10
1
i
100
1
respectiv 100
1
i 1000
1
, caracteristica cade, n medie, cu 10 dB/decad.
Cu linie - punctat este marcat n figur caracteristica medie. Aproximarea este
valabil pe trei decade.
110
SISTEME DE PRELUCRARE NUMERICA CU PROCESOARE
Subiecte de tip studiu de caz sau problema
1. S se scrie o secven de program n limbaj de asamblare care permite transmiterea prin portul
serial asincron (UART) a octetului existent n registrul acumulator.
[2], pag. 153-154.
Soluie general, valabil pentru un procesor generic
MOV A, TBUF Se transfer con. A n bufferul de transmisie
e1 MOV STAT_R, A Se transfer reg. de stare n A
TI=STAT_R&Masca1 Se izoleaz flagul pentru transmisie, TI
JZ e1 Dac TI=0, procesul se reia
CLR TI Dac TI=1, caracterul a fost transmis; se terge flagul
Soluie particular, valabil pentru HCS12x
EQU caracter $41
... ; Se configureaz portul pentru transmisie (BR,
... nr. bii/caracter, paritate, stop)
LDAA #caracter ; Se ncarc n A caracterul de transmis
STAA SCI0DRL ; Se transf. con. lui A n reg. de date-SCI0DRL
e1: LDAA SCI0SR1 ; Se citete registrul de stare SR1
ANDA #$80 ; Se izoleaz bitul TDRE
BEQ e1 ; Dac TDRE = 0, se mai citete registrul de stare
SR1
e2: BRA e2 ; Dac TDRE = 1, caracterul a fost transmis
2. S se scrie o secven de program n limbaj de asamblare care permite recepia unui octet prin
portul serial asincron (UART) i nscrierea acestuia n registrul acumulator.
[2], pag. 153-154.
111
Soluie general, valabil pentru un procesor generic
e1 MOV STAT_R, A Se transfer reg. de stare n A
RI=STAT_R&Masca2 Se izoleaz flagul pentru recepie, RI
JZ e1 Dac RI=0, procesul se reia
CLR RI Dac RI=1, caracterul a fost recepionat; se terge flagul
MOV RBUF, A Se transfer bufferul de recepie n A
Soluie particular, valabil pentru HCS12x
... ; Se configureaz portul pentru recepie (BR,
... nr. bii/caracter, paritate, stop)
e3: LDAA SCI0SR1 ; Se citete registrul de stare SR1
ANDA #$20 ; Se izoleaz bitul RDRF
BEQ e3 ; Dac RDRF = 0, se mai citete registrul de
stare SR1
LDAA SCI0DRL ; Se transfer caracterul recepionat din reg. de
date- SCI0DRL, n A
112
Aparate electronice de msurat
Anul III
113
Bibliografie:
Traian Jurca, Dan Stoiciu, Septimiu Mischie Aparate electronice de masurat, Editura Orizonturi
Universitare Timisoara 2001
1. Osciloscop de uz general (schema bloc, mod de functionare)
paragraf 1.2.1.
1.2.1. Schema bloc. Funcionarea osciloscopului
Osciloscopul analogic de uz general este destinat analizei semnalelor periodice. El este un osciloscop
n timp real, adic pe ecranul su se obine o reprezentare direct a semnalului de vizualizat, existnd o
coresponden biunivoc ntre punctele imaginii i punctele de pe curba semnalului. (Dup cum se va vedea
mai jos, aceast coresponden lipsete la osciloscoapele cu eantionare).
Schema bloc a osciloscopului este prezentat n fig. 1.1.
Piesa principal a osciloscopului este tubul catodic. Pentru obinerea unei imagini luminoase, ecranul
luminiscent al acestuia este bombardat cu un fascicul de electroni. n locul de impact apare un punct luminos,
denumit spot. Spotul poate fi deplasat pe ecran cu ajutorul a dou sisteme de deflexie: vertical (Y) i
orizontal (X). Deflexia poate fi electrostatic (cu plci de deflexie) sau electromagnetic (cu bobine
de
A
t
e
n
u
a
t
o
r
A
m
p
l
i
f
i
c
a
t
o
r

Y
C
i
r
c
u
i
t

d
e

s
i
n
c
r
o
n
i
z
a
r
e
B
a
z
a

d
e

t
i
m
p
C
a
l
i
b
r
a
t
o
r

i
n
t
e
r
n
B
l
o
c

d
e

a
l
i
m
e
n
t
a
r
e
A
m
p
l
i
f
i
c
a
t
o
r

X
N
I
V
E
L
I
E

I
R
E

C
A
L
I
B
R
A
T
O
R
I
N
T
E
T
A
L
O
N
A
R
E

X
P
O
Z
I

I
E

Y
E
T
A
L
O
N
A
R
E

Y
P
O
Z
I

I
E

X
E
X
T
R
E

E
A
T
I
M
P
/
D
I
V
V
O
L

I
/
D
I
V
S
I
N
C
R
O
N
I
Z
A
R
E

E
X
T
X
u
x
u
X
u
B
T
u
y
u
Y
Y
x
2
x
1
y
1
y
2
K
1
K
2
K
3
1
2
T
u
b

c
a
t
o
d
i
c
C
C
C
A
C
G
N
D
F
i
g
.

1
.
1
.

S
c
h
e
m
a

b
l
o
c

a

o
s
c
i
l
o
s
c
o
p
u
l
u
i

a
n
a
l
o
g
i
c

d
e

u
z

g
e
n
e
r
a
l
.
114
deflexie). Datorit avantajelor pe care le ofer n ce privete viteza de rspuns, la osciloscoape se folosete cu
precdere deflexia electrostatic, motiv pentru care n continuare numai aceasta va fi prezentat.
La tuburile catodice cu deflexie electrostatic, sistemele de deflexie sunt alctuite din dou perechi
de plci de deflexie, notate Y (pentru deflexia vertical) i, respectiv, X (pentru deflexia orizontal). Acestor
perechi de plci li se aplic tensiunile u
y
i u
x
, iar deplasarea spotului pe fiecare direcie este practic
proporional cu aceste tensiuni.
Pentru vizualizarea depedenei unei tensiuni de o alt tensiune, plcilor X li se aplic tensiunea n
funcie de care se dorete reprezentarea tensiunii aplicate plcilor Y (K3 n poziia 2).
Pentru vizualizarea formei de variaie n timp a unei tensiuni, aceasta se aplic la plcile Y, iar la
plcile X se aplic o tensiune liniar variabil (K3 n poziia 1). Necesitatea unei tensiuni liniar variabile
rezult din aceea c deplasarea pe orizontal a spotului, proporional cu u
x
, trebuie s fie proporional cu
timpul i, ca urmare, u
x
trebuie s fie proporional cu timpul.
Obinerea unei imagini stabile (staionare) se bazeaz pe suprapunerea pe ecran a mai multor imagini
identice, un rol esenial n acest sens revenindu-i circuitului de sincronizare, descris n paragraful 1.2.5.
Tensiunea u
Y
este atenuat sau amplificat pentru a asigura nivelul necesar pentru comanda plcilor
Y. Comutatorul V/DIV permite modificarea dimensiunii verticale a imaginii (modificarea sensibilitii
osciloscopului).
Comutatorul K1 permite conectarea tensiunii u
Y
la intrarea ATY fie direct (K1 n poziia CC), fie
prin condensator (K1 n poziia CA), caz n care componenta continu a tensiunii u
Y
este suprimat. n
aceast situaie se poate vizualiza corespunztor componenta alternativ a unei tensiuni cu component
continu mare (de exemplu, o tensiune redresat i filtrat). n poziia GND (GrouND) a lui K1, intrarea
ATY este conectat la mas, ceea ce permite reglarea poziiei verticale a nivelului zero, prin deplasarea
corespunztoare a imaginii, cu ajutorul poteniometrului POZIIE Y .
Comutatorul K2 permite alegerea modului de sincro-nizare: cu semnalul de vizualizat, cu un semnal
extern sau cu reeaua. Utilitatea fiecrui mod de sincronizare, precum i rolul poteniometrului NIVEL vor fi
prezentate n paragraful 1.2.5.
Comutatorul TIMP/DIV permite vizualizarea cores-punztoare a semnalelor, indiferent de frecvena
acestora, prin modificarea coeficientului de baleiaj pe orizontal.
Calibratorul intern furnizeaz una sau mai multe tensiuni dreptunghiulare avnd frecvena i
valoarea vrf la vrf cunoscute cu o precizie acceptabil, necesare pentru etalonarea celor dou axe ale
ecranului tubului catodic. Aceasta se realizeaz cu ajutorul poteniometrelor ETALONARE Y i, respectiv,
ETALONARE X.
Observaie. Regimul calibrat este singurul pentru care sunt valabili coeficienii de deflexie
inscripionai pe panoul frontal (comutatoarele V/ DIV i, respectiv, TIMP/ DIV) .
Blocul de alimentare asigur alimentarea tuturor circuitelor osciloscopului, precum i polarizarea
adecvat a electrozilor tubului catodic.
2. Sonda cu atenuator pentru osciloscopul de uz general (schema, proiectarea elementelor din
schema)
paragraf 1.2.3,
1.2.3. Sonda
Sonda este elementul care permite aplicarea tensiunii de studiat la intrarea Y, fr ca acest semnal s
fie influenat de perturbaiile exterioare. n plus, sonda trebuie astfel realizat nct s influeneze ct mai
puin circuitul n care se conecteaz.
O sond este constituit dintr-un cap de prob CP urmat de un cablu coaxial CC care face legtura cu
osciloscopul (fig. 1.4).
115
Fig. 1.4. Sonda osciloscopului.
Sondele pot fi pasive sau active. Sondele pasive pot fi cu sau fr atenuator.
Sondele active conin n capul de prob dispozitive de amplificare care permit obinerea unei
impedane de intrare mari (R - mare, de ordinul a 100 MO i C - mic, de ordinul a 3 pF), n condiiile unei
amplificri unitare.
Sondele pasive fr atenuator au avantajul c nu atenueaz semnalul, n schimb au dezavantajul c
prezint o rezisten de intrare relativ sczut (R
in
) i o capacitate de intrare foarte mare deoarece la C
in
se
adun capacitatea cablului coaxial, care este de ordinul a zeci de pF/m. n mod uzual, impedana de intrare a
ansamblului osciloscop-sond fr atenuator este 1 MO n paralel cu 150 pF.
Sondele pasive cu atenuator n capul de prob (fig. 1.5) au dezavantajul c atenueaz semnalul, n
schimb prezint avantajul unei impedane de intrare ridicate (R - mare, de ordinul a 10 MO, C - mic, de
ordinul a 7 pF).
Fig. 1.5. Sond cu atenuator n capul de prob.
Observaie. Capacitatea de compensare a sondei C
c
este ajustabil pentru a permite ndeplinirea
condiiei de compensare indiferent de valoarea capacitilor C
in
i C
cc
, adic indiferent de osciloscop i de
lungimea i tipul cablului coaxial.
Aplicaie. Un osciloscop are R
in
= 1 MO i C
in
= 30 pF. Cablul coaxial utilizat pentru sond are o
capacitate parazit de 70 pF/m. S se calculeze elementele impedanei de intrare a osciloscopului n cazul
unei sonde fr i cu atenuator 10 : 1, la o lungime l = 1,5 m a cablului coaxial. S se calculeze, de
asemenea, modulul impedanei de intrare n cele dou cazuri, pentru frecvena de 10 MHz.
Soluie. Notnd cu R
i
i C
i
elementele impedanei de intrare cutate, n cazul sondei fr atenuator,
pe baza fig. 1.4 se obine:
R R
i in
= = 1 MO,
C C C
i cc in
= + = + = 70 15 30 135 , pF.
La frecvena de 10 MHz, reactana capacitiv a lui C
i
este
X
c
=
1
2 10
120
7

~

t 135 10
12
O,
R
u
Y
C
c
R
in
C
in
Cablu coaxial
OSCILOSCOP
Cap de prob
C
cc
Cap de prob Cablu coaxial
OSCILOSCOP
u
Y
Y
R
in
C
in
Born de mas
116
mult mai mic dect R
i
, astfel nct modulul impedanei de intrare a osciloscopului la aceast frecven este
practic de 120 O.
n cazul sondei cu atenuator, n capul de prob, pe baza fig. 1.5 i a relaiilor (1.1) i (1.2) i innd
cont i de atenuarea de 10 ori a sondei, se poate scrie:
R R
in
= = 9 9 MO,
C C C
c cc in
= + = =
1
9
135
9
15 ( ) pF,
R R R
i in
= + = 10 MO,
C
C C C
C C C
i
c cc in
c cc in
=
+
+ +
=
( )
, 13 5 pF.
La frecvena de 10 MHz, reactana capacitiv a lui C
i
este de 10 ori mai mare n situaia sondei cu
atenuator (1200 O).
Din cele de mai sus se observ c, n cazul sondei cu atenuator, componentele impedanei de intrare
sunt mbuntite - fa de cazul sondei fr atenuator - cu un factor de 10, egal cu raportul de atenuare al
sondei.
3. Tehnica esantionarii secventiale (principiul, caracteristici)
paragraf 1.3.2. pag 25,
1.3.2. Tehnici de eantionare utilizate n osciloscoapele numerice
Tehnicile de eantionare utilizate n osciloscoapele numerice sunt: eantionarea secvenial,
eantionarea aleatoare i eantionarea n timp real.
Eantionarea secvenial este ilustrat n fig. 1.9.
Fig. 1.9. Eantionarea secvenial.
Ea se poate aplica numai n cazul semnalelor periodice i const n prelevarea n fiecare perioad a
semnalului de vizualizat a cte unui eantion, eantioanele succesive fiind ntrziate tot mai mult fa de un
moment de referin R. Primul eantion este prelevat cu o ntrziere At fa de momentul de referin R.
Perioada de eantionare este T+At, T fiind perioada semnalului. Ca urmare, n cea de-a doua perioad a
semnalului, eantionul va fi prelevat cu o ntrziere 2At. n cea de-a treia perioad a semnalului, eantionul
va fi prelevat cu o ntrziere 3At fa de momentul de referin R .a.m.d. Dei eantioanele sunt culese n
perioade diferite, aparent ele aparin aceleiai perioade. Perioada aparent de eantionare este At, iar n
realitate ea este T+At. Dac se ia, de exemplu, At = 0,01T, atunci perioada de eantionare este aproximativ T,
0
1 3 2 4
5
x
y
0
2 4
5
1 3
t
u
i
R R R R
6
R
R
6
A
t
2
3 4 5 6
T T+At T+At T+At T+At
5At -timp echivalent
5(T+At) -timp real
117
iar perioada aparent de eantionare este de 0,01T. Ca urmare, folosind aceast tehnic, banda de frecvene a
osciloscopului poate crete foarte mult, avnd n vedere faptul c frecvena aparent de eantionare este de
100 de ori mai mare dect frecvena real de eantionare.
4. Generator sinusoidal RC de joasa frecventa (schema, relatia pentru frecventa de oscilatie, rolul
reactiei negative)
paragraf 2.2.1. pag.43,
c) Generatoare RC. Oscilatorul RC intr n componena celor mai multe generatoare de joas frecven. n
schema de principiu prezentat n figura 2.4 se observ c amplificatorul A este prevzut cu dou reacii: una
negativ realizat cu termistorul R
T
si rezistena R i una pozitiv realizat cu impedana Z
1
(format din
rezistena R
1
n serie cu capacitatea C
1
) i impedana Z
2
(format din rezistena R
2
n paralel cu capacitatea
C
2
).

Fig.2.4. Oscilatorul RC.
Circuitul din figur va genera oscilaii sinusoidale dac satisface condiia lui Barkhausen:
B A
_ _
= 1 (2.9)
sau
A B exp [ j ( + )] = 1 (2.10)
Unde:
= A exp ( j ) este factorul de ctig al amplificatorului A, iar
_
B = B exp ( j ) este factorul de reacie,
ambele exprimate sub form de numere complexe.
Relaia 2.10 poate fi desfcut n dou condiii:
1) condiia de amplitudine:
A B = 1 (2.11)
2) condiia de faz:
+ = 2n ( n = 0,1,2,3,.) (2.12)
Pentru circuitul din figura 2.4, condiia de faz este ndeplinit pentru o singur frecven, iar
valoarea acesteia va fi calculat n cele ce urmeaz.
Deoarece amplificatorul A are o banda de frecven acoperitoare pentru domeniul de frecvene
generat, defazajul introdus de el este constant i anume = 2. Ca urmare este un numr real. innd
seama i de condiia 2.12, rezult c i B trebuie s fie real. Din figura 2.4 factorul de reacie poate fi
explicitat:
_
2
_
1
_
2
_
Z Z
Z
B
+
= (2.13)
nlocuind :



A
U
ie
R
C
2
C
1
R
ad
R
2
R
2
R
2
R
1
R
1
R
1
F
R
E
C
V
E
N

A
D
O
M
E
N
R
T
118
1
1
1
_
1
C j
R Z

+ =
2 2
2
2
_
1 R C j
R
Z
+
= (2.14)
n practic, innd seama de uurina realizrii elementelor reglabile se iau:
R
1
= R
2
= R; C
1
= C
2
= C. (2.15)
Rezult :
) / 1 ( 3
1
_
CR CR j
B
+
= (2.16)
Din relaia 2.16 se observ c
_
B devine real i ia valoarea B = 1/3 in cazul valorii particulare a
pulsaiei
RC
1
= . (2.17)
Relaia (2.17) arat c pentru modificarea frecvenei de oscilaie, altfel spus, pentru ndeplinirea
condiiei de faz, trebuie modificate valorile RC. Din aceast cauz, reeaua ce alctuiete reacia pozitiv se
mai numete reea de defazare (n cazul dat n figura 2.4 reeaua de defazare este o reea Wien).
nlocuind B = 1/3 n relaia (2.11) aflm valoarea A = 3 pentru care este satisfacut condiia de
amplitudine. Un oscilator construit n jurul unui amplificator cu o amplificare aa de mic este foarte instabil
i de aceea n practic se folosete un amplificator cu o amplificare A
0
n bucl deschis foarte mare, iar
aceasta e redus la A = 3 cu ajutorul unei reacii negative. n cazul din figura 2.4 reacia negativ este
realizat cu un termistor cu coeficient de temperatur negativ a crui valoare este R
T
i cu rezistena R.
Constanta de timp a termistorului este mult mai mare dect perioada cea mai mare a oscilaiei generate de
oscilator. n felul acesta, rezistena termistorului va depinde doar de valoarea efectiv a tensiunii de ieire i
nu va nregistra modificri sensibile pe durata unei perioade a oscilaiei generate. Prezena termistorului
asigur i stabilizarea n amplitudine a oscilaiilor.
5. Voltmetru de curent continuu (caracteristici, schema de principiu, functionare)
paragraf 3.2.1.
3.2.1. Schema bloc. Funcionare
n figura 3.1. se arat schema bloc a unui voltmetru numeric la care circuitele de comand (realizate
fie cu logic cablat, fie cu microprocesor) pot lucra n dou moduri:
-LOCAL, atunci cnd programarea lor se face de la panoul frontal PF, panou pe care se face i
afiarea rezultatelor, depirea de domeniu, funcionarea defectuoas;
-REMOTE (distan), atunci cnd programarea lor i prelucrarea rezultatelor se face de la distan
prin intermediul unei interfee standard (IS). n aparatura de msurare se ntlnete cel mai des interfaa IEEE
488 i mai rar RS 232.
Fig. 3.1. Schema bloc a unui voltmetru numeric.

EI
A
CAN
CC
Conector
IS
Circuite
de
comand
Disp.
afi.
PF
Domenii Fin Zero
U
x
U
R
K
1
K
2
Plan de
referin
119
Prin intermediul comutatorului K
1
etajul de intrare EI poate fi conectat la tensiunea necunoscut U
x
sau la potenialul masei. Corecia de zero este monitorizat de ctre circuitele de comand.
Comutatorul K
2
ne d posibilitatea s verificm al doilea punct de pe caracteristica de transfer prin
msurarea unei tensiuni de referin U
R
cunoscute. Eventualele ajustri se realizeaz cu poteniometrul "Fin"
din cadrul amplificatorului A. Schimbarea de domenii se face prin modificarea amplificrii i prin
schimbarea raportului de divizare (figura 3.2.).
Un convertor analog-numeric CAN, furnizeaz la ieire un numr, cel mai adesea n cod binar,
proporional cu tensiunea msurat. Convertorul de cod CC face transformarea n cod zecimal, care prin
afiare, este mai uor interpretat de operatorul uman.
6. Etaj de intrare pentru voltmetre de curent continuu (caracteristici, schema de principiu,
functionare) paragraf 3.2.2.
3.2.2. Etajul de intrare
Asigur impedana de intrare ridicat i o deriv a nulului ct mai mic.
n figura 3.2. este reprezentat un circuit de intrare compus dintr-un atenuator rezistiv, cu trei trepte
de atenuare i un amplificator cu reacie negativ cu dou trepte de amplificare. Prin combinarea treptelor de
atenuare x1, x0,01 i x0,001 i a treptelor de amplificare x1 i x10 se obin cinci game de msurare.
Se observ c pe gamele de intrare 0,1V i 1V rezistena de intrare este mare (intrarea neinversoare a
AO realizeaz uzual rezistene de intrare n jur de 100 MO), pe cnd pe gamele de 10V, 100V i 1000V
rezistena de intrare este de 10 MO (dat de divizorul rezistiv).
Fig.3.2. Etajul de intrare al unui voltmetru electronic.
7. Convertor analog numeric cu dubla integrare (schema de principiu, functionare)
paragraf 3.2.3. pag 70,
3.2.3. Convertorul analog-numeric cu dubl integrare
Convertorul analog-numeric cu dubl integrare convertete tensiunea continu de msurat ntr-un
interval de timp proporional, care este apoi msurat pe cale numeric. Structura de principiu simplificat a
unui astfel de convertor este redat n figura 3.3. Funcionarea convertorului comport dou faze: integrarea
tensiunii de msurat i, apoi, integrarea tensiunii de referin.
n prima faz, comutatorul K este pus n poziia 1 i la intrarea integratorului se aplic tensiunea de
msurat -U
x
. Admitem, n continuare c U
x
este pozitiv, deci - U
x
este negativ. Admitem, de asemenea, c
amplificatorul operaional din integrator este ideal, n sensul c are amplificare infinit, curent de intrare nul
i tensiune de decalaj nul. Ca urmare, punctul 0 poate fi considerat practic legat la mas, iar curentul prin
rezistena R, n faza 1, are valoarea constant dat de expresia
la A
+

x 1
x 0,01
x 0,001
9,9MO
10kO
x1
x10
1kO 9kO
U
cc
90kO
Gama Atenuarea Amplificarea
0,1V
1V
10V
100V
1000V
x1
x1
x0,01
x0,01
x0,001
x10
x1
x10
x1
x1
120
Fig. 3.3. Structura convertorului analog-numeric cu dubl integrare.
R
U
I
x
=
.
(3.3)
Acelai curent parcurge i condensatorul C i, n consecin, tensiunea pe condensator va avea expresia
t
RC
U
dt
RC
U
dt
R
U
C
idt
C
u
x x x
c } } }
= = = =
1 1
,
(3.4)
adic, pe condensator tensiunea crete liniar n timp (figura 3.4).
Faza 1 are durata fix T
1
. La sfritul acestei faze, tensiunea de la ieirea integratorului, care este aceeai
cu tensiunea de pe condensator, are valoarea U
imax
dat de relaia:
1 max
T
RC
U
U
x
i
=
.
(3.5)
Fig. 3.4. Diagrama de timp aferent funcionrii CAN cu dubl integrare.
Faza a doua ncepe la t = T
1
. Comutatorul K este trecut n poziia 2 i la intrarea integratorului se
aplic tensiunea de referin U
REF
, pozitiv (tensiunea de referin are polaritate opus tensiunii de
msurat). Ca urmare, curentul prin R va avea valoarea constant dat de relaia:
R
U
I
REF
=
(3.6)
i sens opus celui din faza 1, reprezentat n figura 3.3. Aceasta conduce la o scdere liniar a tensiunii de pe
condensator i, implicit, a tensiunii de la ieirea integratorului, u
i
. Faza a doua ia sfrit n momentul n care
tensiunea u
i
atinge valoarea 0 (se anuleaz), moment sesizat de comparatorul COMP. Se noteaz cu t
x
durata
acestei faze. Se poate scrie:
x
REF
i
t
RC
U
U =
max
.
(3.7)
Combinnd relaiile (3.5) i (3.7), se obine:
x REF x
t U T U =
1
, (3.8)
AO
OSCILATOR
f
0
(T
0
)
NUMRTOR DISPOZITIV
DE COMAND
INTEGRATOR
CY
+
_
COMP
_
+
R
C
I
I
K
0
1
2
u
c
U
REF
-U
x
u
i
u
i
U
REF
U
x2
U
imax
t
t
x
0
T
1
U
x
121
care exprim faptul c intervalul t
x
este direct proporional cu tensiunea U
x
, mrimile T
1
i U
REF
fiind
constante. Cu alte cuvinte, t
x
este o msur a lui U
x
i msurnd pe t
x
, se msoar de fapt U
x
.
Relaia (3.8) arat i faptul c precizia de msurare nu depinde de valorile componentelor R i C ale
integratorului.
Msurarea intervalului de timp t
x
se realizeaz prin numrarea, pe durata t
x
, a impulsurilor de
perioad cunoscut T
0
, furnizate de oscilator. Fie n numrul de impulsuri astfel numrate. Rezult
0
T n t
x
= . (3.9)
Analiznd relaiile (3.8) i (3.9), rezult c precizia de msurare depinde de precizia cu care se cunoate T
0
.
Pentru ca precizia de msurare s nu depind nici de valoarea lui T
0
, se face n aa fel nct i durata T
1
s fie
determinat tot n funcie de T
0
. Pentru aceasta, durata T
1
se obine prin numrarea unui numr de N
impulsuri de durat T
0
. Rezult:
0 1
T N T = (3.10)
i, n final:
REF x
U
N
n
U =
.
(3.11)
Fig. 3.5. Diagrame de timp pentru tensiuni de intrare diferite.
n practic, numrul N este capacitatea numrtorului (numrul maxim pe care acesta l poate
numra), astfel nct dup numrarea, n faza nti, a N impulsuri, numrtorul se pune automat pe zero
(adic este pregtit pentru faza a doua) i d un impuls (de transport) la ieirea CY. Acest impuls este preluat
de dispozitivul de comand, care pune comutatorul K n poziia 2, ceea ce iniiaz faza a doua a msurrii.
Dup cum se observ din cele expuse mai sus, n faza a doua panta tesiunii u
i
este constant (ea este
determinat de U
REF
, care este constant). Ca urmare, pentru tensiuni de intrare diferite, U
x1
, U
x2
i U
x3
, se
obin diagrame diferite, reprezentate n figura 3.5.
8. Convertor curent - tensiune pentru multimetre electronice (cerinte, schema de principiu)
paragraf 3.3.1.
3.3.1. Convertor curent-tensiune
Pentru msurarea curentului continuu se poate folosi circuitul din figura 3.9.
U
REF
u
i
t
t
x1
0
T
1
|U
x3
|>|U
x2
|>|U
x1
|
t
x2
t
x3
U
x1
U
x2
U
x3
U
REF
U
REF
122
Fig. 3.9. Schema unui convertor curent-tensiune.
Curentul de msurat parcurge un unt comutabil producnd o cdere de tensiune nominal de 100 mV. Se
observ c amplificatorul de curent continuu este acelai cu cel din figura 3.2, dar fixat pe poziia x10. La
ieirea amplificatorului se furnizeaz spre voltmetrul numeric o tensiune ntre 0 i 1V pentru fiecare domeniu
de msurare a curentului.
n cazul n care cderea de tensiune pe rezistena untului (rezisten ce poate avea o valoare
nsemnat la msurarea curenilor mici) deranjeaz funcionarea n care are loc msurarea, se utilizeaz un
convertor curent-tensiune cu amplificator transimpedan, figura 3.10.
a) b)
Fig. 3.10. Amplificatoare de transimpedan.
Tensiunea de ieire este:
AI U =
0
, (3.12)
iar pentru circuitul din figura 3.10.a) avem:
RI U =
0
(3.13 )
Putem calcula valoarea rezistenei R pentru diferite sensibiliti. De exemplu, pentru 1V/mA avem R=1kO,
iar pentru 1V/A avem R = 1MO. Pentru sensibiliti mai mari valoarea lui R devine nepermis de mare.
Circuitul din figura 3.10.b) elimin necesitatea unei valori foarte mari pentru R. n nodul reelei T
avem
RI U
x
= , (3.14)
iar din relaia lui Kirchoff pentru cureni avem

2
0
1
0 0
R
U U
R
U
R
U
x x x

=

(3.15)
Eliminnd tensiunea U
x
obinem:
I R U
ech
=
0
, (3.16)
unde
R
R
R
R
R
R
ech
) 1 (
1
2 2
+ + = (3.17)
Se observ c R este nmulit cu un factor supraunitar a crui mrime este controlat de raportul
R
2
/R
1
.
0,1mA
1mA
10mA
100mA
1A
0.1O
0.9O
9O
90O
900O

+
1kO
9kO
la VN

U
0
R
+

I
R
+

U
0
I
U
x
R
1
R
2
123
9. Convertoare curent continuu curent alternativ de pentru valori medii (schema de principiu,
functionare, erori la masurarea valorii efective).
paragraf 3.3.3.
3.3.3. Convertoare curent alternativ-curent continuu de valoare medie
Valoarea medie redresat a unei tensiuni alternative este valoarea medie n timp a modulului
tensiunii

}
+
=
T t
t
med
dt t u
T
U ) (
1
(3.28)
Convertoarele c.a.-c.c. de valoare medie se realizeaz practic ntotdeauna prin redresarea tensiunii
alternative (figura 3.15.a), b) ). Circuitul din figura 3.15.a) funcioneaz ca un redresor monoalternan i
folosete un amplificator operaional pentru a corecta neliniaritatea diodelor. n semialternana negativ a
tensiuni de intrare, D
1
este blocat, D
2
conduce, iar raportul dintre valorile instantanee u
2
/u
1
este egal cu
R
2
/R
1
cu o precizie foarte bun. n semialternana pozitiv a tensiunii de intrare D
1
conduce, amplificarea este
mic, D
2
este blocat iar tensiunea de ieire este practic nul.
Schema din figura 3.15.b) realizeaz redresarea dubl alternan, iar amplificatorul operaional
corecteaz practic orice neliniaritate a diodelor (deoarece amplificarea cu reacie crete cnd rezistena
diodelor este mare i scade n situaia contrar). Schema poate fi folosit i ca redresor simpl alternan dac
ieirea se consider ntre A sau B i mas.
Ambele scheme din figura 3.15. au banda de frecven limitat n special datorit prezenei
amplificatoarelor operaionale.
a)
b)
Fig.3.15. Scheme de convertoare c.a.-c.c. de valoare medie.
Pentru a netezi tensiunea pulsatorie rezultat din redresarea simpl sau dubl alternan,
convertoarele c.a.-c.c. de valoare medie au la ieire un filtru trece jos i cum n tehnic intereseaz cel mai
adesea valoarea efectiv, amplificarea global a filtrului este 1,11. Ca urmare, un astfel de convertor c.a.-c.c.
msoar corect valoarea efectiv doar n cazul unei tensiuni sinusoidale la intrare (fr armonici i fr
zgomot alb).
n cele ce urmeaz vom studia erorile ce apar ntre valoarea indicat de un voltmetru de valori
efective echipat cu convertor c.a.-c.c. de valori medii i valoarea efectiv adevrat pentru cteva tipuri de
form de und la intrare: o und triunghiular i o und dreptunghiular (figura 3.16.).
a) b)
Fig. 3.16. Forma de und triunghiular i dreptunghiular.
Calculm valoarea medie n modul a unei tensiuni triunghiulare (figura 3.16.a) ), a crei valoare de
vrf este U
V
:
U
v
u
t 2t
t
t
2t
t
U
v
u

+
R
R
R
D
1
D
2
u
1
u
2
A
B
u
1

+
u
2
R
2
D
1
D
2
R
R
1
124

}
= =
2 /
0
2
2
2
4


v v
med
U
tdt
U
U (3.29)
Valoarea efectiv a aceleiai unde este:

}
=
2 /
0
2
2
2
4
2
4

dt t
U
U
v
3
U
v
= (3.30)
Putem calcula eroarea ce apare ntre valoarea indicat de un voltmetru de valori efective echipat cu
un convertor c.a.-c.c. de valori medii i valoare efectiv adevrat, eroare ce apare la msurarea tensiunilor
triunghiulare.
% 81 , 3 100
3 / 1
3 / 1 2 / 11 , 1
=

=
Pentru cazul undei dreptunghiulare calculele sunt simple deoarece valoarea medie este egal cu
valoarea efectiv. Deci, voltmetrul va indica cu 11% mai mult dect valoarea efectiv adevrat.
Totodat se observ c unda dreptunghiular are, fa de oricare alt form de und, cel mai mic
raport dintre valoarea efectiv i valoarea medie. Se poate spune deci, c un voltmetru de valori efective,
echipat cu convertor c.a.-c.c. de valori medii nu va indica niciodat cu mai mult de 11% fa de valoarea
efectiv adevrat a undei alternative periodice de la intrare.
n concluzie, convertoarele c.a.-c.c. de valoare medie, fiind cele mai uor de realizat, practic sunt i
cele mai des ntlnite n construcia multimetrelor. Se utilizeaz uzual n gama de frecven 10Hz - 100kHz
dar cu circuite speciale (diode i amplificatoare de nalt frecven) gama poate fi extins la 10 MHz.
Precizia convertoarelor c.a.-c.c. de valoare medie este de obicei ntre 0,05% i 0,5%. Se poate obine
un interval de msurare relativ larg, limita superioar fiind dictat de saturarea amplificatorului operaional,
iar limita inferioar de fluctuaii i derive. Totui, n cazul msurrilor de precizie, tendina este de a nlocui
acest tip de convertor cu cele de valoare efectiv.
10. Convertor rezistenta - tensiune pentru multimetre electronice (cerinte, schema de principiu)
paragraf 3.3.5.
3.3.5. Convertoare rezisten - tensiune
Dac pn acum convertoarele studiate preluau energie de la msurand, n procesul de msurare a
rezistenei, aparatul de msur trebuie s fie capabil s furnizeze energie. n principal se folosesc cele dou
scheme prezentate n figura 3.19.
a) b)
Fig.3.19. Scheme de convertoare rezisten - tensiune.
Prima variant (figura 3.19.a) ) folosete o surs de curent constant care determin o cdere de
tensiune pe rezistena necunoscut R
x
. Aceast cdere de tensiune este amplificat de un amplificator cu
rezisten mare de intrare. Gamele de msurare sunt obinute prin comutarea rezistoarelor de reacie ale
amplificatorului A i prin schimbarea curentului generat de surs.
A doua variant (figura 3.19.b) ) plaseaz rezistena R
x
n reacia amplificatorului operaional i
astfel curentul de referin va fi egal cu cel care strbate rezistena necunoscut.
Rezult relaia:
R
ref
U
ref
la voltmetru
A
R
x
U
2

+
R
ref
la voltmetru
U
ref
U
2
R
x
125

x ref
ref
R
U
R
U
2
= , (3.31)
de unde

2
U
U
R
R
ref
ref
x
= (3.32)
Tensiunea U
2
msurat de voltmetrul numeric este deci proporional cu R
x
. Factorul de
proporionalitate se poate modifica prin comutarea rezistoarelor R
ref
.
126
Bazele sistemelor flexibile inteligente
Anul III

127
BIBLIOGRAFIE: Ivan Bogdanov, CONDUCEREA ROBOTILOR, Ed.Orizonturi Universitare, 2009.
1. Reprezentarea rotatiilor spaiale cu ajutorul cuaternionilor pp.54-57.

128
129
2. Schema bloc a unui sistem robot. Funciile sistemului de conducere pp 23-28;pp.28-29.
130
131
132
133
134
135
3. Problema conducerii unui robot. pp.83-85.
136
137
4. Legtura spaiu timp n conducerea unui robot. pp88, pp.91 - 96.
138
139
140
141
142
5. Problema conducerii nemijlocite a elementelor c.c.c. Schema de conducere a unei axe. pp. 27 28; pp.99-101;
pp.213 -218
143
144
145
146
147
148
149
150
151
152
153
6. Cum se realizeaz conducerea unui robot n cazul conducerii distribuite. pp.27 28; pp.99-101; pp.214-215 -----
IDEM 5
7. Specificarea micrii n coordonate c.c.c. pp.102-107
154
155
156
157
158
159
8. Generarea micrii n coordonate c.c.c. Concluzii, avantaje, dezavantaje pentru conducerea in coordonate c.c.c.
pp.107-109
160
161
9. Conducerea unui robot n coordonate carteziene. Generarea micrii. pp.109 -110.
162

10. Problema timpului de calcul n conducerea unui robot. Interpolarea liniar. pp.122 -126.
163
164
165
166
167
168
Electronica de putere in comutatie
Anul III
169

1. Convertor buck n regim CCM.[1] ( schema, forme de und , pp.98-99).
Factorul de umplere al semnalului rezultat n urma modulrii n durat este:
, / /
max
u u T t
C S on
= = (3.1)
unde :
C
u este tensiunea de control;
max
u - valoarea maxim a tensiunii liniar variabile;
Convertoarele c.c. c.c. cunosc dou moduri distincte de funcionare:
- cu un curent de sarcin nentrerupt (continuos conduction mode CCM);
- cu un curent de sarcin ntrerupt (discontinuos conduction mode DCM).
Convertoarele c.c. c.c. fr izolare se vor analiza n aceste dou regimuri de funcionare.
3.2.1. CONVERTORUL STEP-DOWN ( BUCK)
Fig.3.4. Convertorul buck.
Convertorul produce o tensiune de ieire a crei valoare medie este mai mic dect a tensiunii de
la intrare. n fig.3.4 este prezentat convertorul buck, care
debiteaz pe o sarcin rezistiv. Considernd comutatorul ca un ntreruptor ideal, se
poate calcula valoarea medie a tensiunii de ieire,
0
U :
} } }
o = = + = =
S on S
on
T t T
t
i i
S
on
S
i
S S
U U
T
t
dt
T
dt U
T
dt t u
T
U
0 0
0 0
. 0
1 1
) (
1
(3.2)
innd cont de relaia (3.1), avem:
.
max
0 C i
C
u U
u
u
U o = = (3.3)
Prin modificarea factorului de umplere al semnalului de comand se poate controla
valoarea medie a tensiuni de ieire. Totodat se poate vedea c tensiunea
0
U se modific liniar
cu tensiunea de comand.
u
0i
+
+
+
-
-
-
U
i
u
0i
L
C
R
i
L
i
0
u
0
U
i
t
t
on
t
off
T
s
U
0
170
3.2.1.1.CONVERTORUL BUCK N REGIM CCM
Fig.3.5. Regimul de curent nentrerupt: a) comutator nchis; b) comutator deschis.
2. Convertor buck n regim DCM cu U
i
= constant. [1] [ fig.3.7, U
0
= f(U
i
), pp.102-103].
Reprezentarea grafic a acestei relaii este redat n figura 3.6b. Pstrnd
S i
T L U , , constante, valoarea maxim a curentului de ieire pentru care se ajunge la regimul de
curent ntrerupt se obine pentru . 5 , 0 = o
,
8
) (
max
L
U T
I
i S
L L
= (3.9)
iar
). 1 ( ) ( 4 ) (
max
o o =
L L L L
I I (3.10)
Fig.3.7. Regimul de curent ntrerupt.
Dac
i
U este constant i
0
U este variabil, n funcionarea convertorului apar momente
cnd, n funcie de valoarea lui o, curentul prezint discontinuiti. Spre exemplu, dac consumul
t
(-U
0
)
t
(U
i
-U
0
)
i
L
I
L
=I
0
A
B
T
s
t
on
t
off
+
+ +
+
-
-
-
U
i
u
L
u
L
i
L i
L
U
0
U
0
C
C
U
i
-
a)
b)
u
L
i
Lmax
i
L
I
L
=I
0
t
U
i
U
0
-U
0
T
s

1
T
s

2
T
s
T
s
u i
171
de putere de la ieire scade ( adic
S
R crete), valoarea medie a curentului prin bobin scade i
se ajunge la situaia reprezentat n figura 3.7.
Pentru a ilustra acest fenomen, se va calcula raportul
i
U U /
0
i se va pune in eviden n
ce condiii apare regimul de curent ntrerupt, dac tensiunea de ieire se modific.
Din diagrama din figura 3.7 avem:
, 0 ) ( ) (
1 0 0
= o + o
S S i
T U T U U (3.11)
adic
.
1
0
o + o
o
=
i
U
U
(3.12)
Tot din diagram rezult:
,
1
0
max S L
T
L
U
i o = (3.13)
Fig.3.8. Caracteristica convertorului buck la Ui = const.
.
2
) ( 1
2
) (
1
max
1
max 0
o + o
=
o + o
=
L
S
S S
L
i
T
T T
i I (3.14)
innd cont de relaia (3.13), rezult:
, ) ( 4
2 2
) (
1 max 1
1 1 0
0
oo = oo =
o + o o
=
L L
S i S
I
L
T U
L
T U
I (3.15)
3. . Pulsaiile tensiunii de ieire la convertorul buck.[1,pp. 106-107].
Pulsaia, vrf la vrf, a tensiunii pe condensator este:
Fig.3.10. Pulsaiile tensiunii pe condensatorul de filtraj.
0,5
1,5
2,0 1,0
)
) (
(
max
0
L L
I
I
i
U U /
0
0,5
1,0
0,25
0,75
=1,0
0,9
0,7
0,5
0,3
0,1
Discontinu
u
U
i
=cons
t.
(U
i
U
0
)
( U
0
)
i
L
T
s
/2
I
L
/2
I
L
= I
0
U
0
U
0
t
t
t
u
L
u
0
Q
172
2 2 2
1 1
0
S L
T I
C C
Q
U
A
=
A
= A . (3.23)
Cum pe intervalul
off
t este valabil relaia:
,
0
off
L
t
I
L U
A
= ,
) 1 (
0
L
T U
I
S
L
o
= A
pulsaia tensiunii este:
,
8
) 1 (
0
0
LC
U T T
U
S S

= A (3.24)
iar
, ) )( 1 (
2
1
8
1
2
2
2
0
0
S
c
S
f
f
LC
T
U
U
o
t
=
o
=
A
(3.25)
unde
LC
f
T
f
c
S
S
t
= =
2
1
,
1
.
Relaia (3.25) ne arat c amplitudinea pulsaiilor poate fi minimizat dac frecvena
c
f a
filtrului trece jos este mult mai mic dect
S
f . Se mai observ c amplitudinea pulsaiilor nu
depinde de valoarea curentului de sarcin.
3.2.2.CONVERTORUL STEP-UP (BOOST)
Convertorul boost se utilizeaz la construcia surselor de alimentare care ofer tensiune
stabilizat de valoare medie mai mare dect a tensiunii de intrare. n figura 3.11 se prezint
schema de principiu a acestui convertor.
Fig.3.11. Convertorul Boost.
Cnd comutatorul este nchis, dioda este invers polarizat, iar tensiunea de la intrare
creeaz curent doar prin inductana L. Circuitul de sarcin este izolat de circuitul de intrare. Cnd
comutatorul se deschide, etajul de la ieire primete energie att de la bobin, ct i de la sursa de
alimentare U
i
. n regim permanent
4. Convertorul boost n regim CCM .[1] ( schema, forme de und , pp.108-109).
Figura 3.12 red principalele forme de und ce caracterizeaz acest regim de funcionare.
Cum integrala de timp a tensiunii la bornele inductanei, pe o perioad, este nul, putem scrie:
i
L
i
0
R C
L
U
0
+
-
U
i
D
173
, 0 ) (
0
= +
off i on i
t U U t U
. ) (
0 off off on i
t U t t U = + (3.26)
mprind fiecare membru cu T
S
, avem:
o
= =
1
1
0
off
S
i
t
T
U
U
. (3.27)
Dac pierderile de putere pe comutator sunt nule ) (
0
P P
i
= :
,
0 0
I U I U
i i
= (3.28)
i
. 1
0
o =
i
I
I
(3.29)
i la acest convertor, ca urmare a modificrii n limite largi a curentul cerut de consumator,
se poate ajunge n zona n care curentul poate trece de la regimul de curent nentrerupt la regimul
de curent ntrerupt. Figura 3.13 red formele de und pentru cazul limit.
Fig.3.13. Convertorul boost la limita de continuitate.
u
L
U
i
(U
i
- U
0
)
i
L
I
L
T
s
t
on t
off
t
t
-
-
+
i
L
-
+
U
i
u
L
U
0 b)
i
L
i
Lmax
t
on
t
off
T
s
(I
L
)
L
u
L
u
L

(I
L
)
Lmax
(I
0
)
Lmax
(I
0
)
L
(I
L
)
L
1
0,5 (1/3)
174
S-a reprezentat situaia cnd curentul i
L
se anuleaz chiar n momentul n care se sfrete timpul
de blocare
off
t .
5 Pulsaiile tensiunii de ieire la convertorul boost.[1, pp.113] .
Fig.3.16. Pulsaiile tensiunii de ieire la convertorul boost.
Calculul pulsaiilor tensiunii de la bornele condensatorului de filtraj se face pe baza formelor
de und prezentate n figura 3.16, forme ce caracterizeaz funcionarea convertorului cu curent
nentrerupt.
Admind c prin rezistena de sarcin circul doar valoarea medie a curentului de ieire,
iar prin capacitate componentele variabile n timp ale acestuia, aria haurat n figura 3.16
reprezint sarcina electric Q A cu care se ncarc condensatorul:
,
0 0
0
C
T
R
U
C
T I
C
Q
U
S S
o
=
o
=
A
= A (3.43)
iar ,
0
0
t
o =
o
=
A
S S
T
RC
T
U
U
(3.44)
6. Convertorul buck boost n regim CCM. .[1] (schema, forme de und , pp.114-115).
Convertorul buck-boost poate fi obinut prin conectarea n cascad a dou convertoare:
unul de tip buck i unul de tip boost. n regim staionar la ieirea convertorului pot rezulta tensiuni a
cror valoare medie poate fi mai mare sau mai mic dect tensiunea de alimentare de la intrare.
Schema convertorului este redat n figura 3.17.
Fig.3.17. Convertorul buck boost.
Cnd comutatorul este nchis, sursa de alimentare
i
U determin creterea energiei
electromagnetice nmagazinate n inductan. Dioda este blocat. Cnd comutatorul se deschide,
energia din bobin este cedat rezistenei de sarcin. Capacitatea de filtraj se consider de
valoare mare, aa c tensiunea la bornele ei o considerm tot timpul constant.
Q
Q
i
D
I
D
=I
0
u
0
U
0
U
0
t
t
t
on
t
0ff
T
s (1-)T
s
)
i
i
U
i
L
C
R
U
0
+
-
+
-
i
0
i
L
175
3.2.3.1.CONVERTORUL BUCK-BOOST N REGIM CCM
Figura 3.18 red formele de und corespunztoare celor dou stri ale comutatorului. Se
observ c:
, ) 1 (
0 S S i
T U T U o = o
a) b)
Fig.3.18. Convertorul buck boost (CCM): a) comutator nchis;
b)comutator deschis.
.
1
0
o
o
=
i
U
U
(3.45)
Relaia (3.45) arat c raportul ntre tensiunea de ieire i cea de intrare este egal cu
produsul factorilor de conversie ai celor dou tipuri de convertoare
7. Convertorul CUK.[1, pp. 120-121, schema i principiul de funcionare].

Fig.3.23. Combinaie de convertoare boost i buck
Convertorul CUK a fost conceput ca o variant a convertoarelor buck i boost conectate n
cascad (fig.3.23), astfel nct s rezulte un convertor la care curentul absorbit de la sursa de
alimentare s aib pulsaii mai mici dect la convertorul boost, iar curentul de ieire s aib pulsaii
mai mici dect la convertorul buck. n plus, acest lucru este realizat doar cu un singur tranzistor.

Fig.3.24. Convertorul CUK.
+
-
U
i
U
0
u
L
i
L
+
-
i
0
+
U
i U
0
u
L
i
L
+
-
i
0
-
u
L
U
i
(-U
0
)
i
L
I
L
= I
i
t
on
t
off
t
t
I
Lmin
I
Lmax
U
i
-
boost buck
R
+
R
I
L1
C
1
I
L2
L
2
U
i
-
+
U
o
U
L1
U
L2
Q
1
C
2
U
C
D
L
1
176
Fig.3.25. Formele de und caracteristice funcionrii convertorului.
Circuitul boost-buck rezultat n figura 3.23 poate fi simplificat, obinndu-se configuraia din
fig.3.24.
n figura 3.25 se prezint formele de und ce caracterizeaz funcionarea convertorului.
8 . Convertorul forward .[1, pp. 148-149, schema i principiul de funcionare].
Fig.3.43. Convertorul forward.
Fig.3.44. Formele de und pentru convertorul forward.
Schema convertorului i principalele forme de und ce caracterizeaz funcionarea sunt
redate n figura 3.43 i 3.44.
9 . Convertorul d.c.-d.c. n contratimp. [ 1, pp.157-158, schema i principiul de funcionare].
Convertorul c.c.-c.c. n contratimp cu transformator este prezentat n figura 3.50. El poate fi
echivalat cu dou convertoare de tip forward, care lucreaz pe aceeai sarcin, n antifaz.
Fig.3.50. Convertor n contratimp.
t
u
L2
T
s
T
s
i
L2
I
L2
-U
o
U
L2
t
U
C1
-
U
t
off
t
on
T
s
T
s
i
L1
I
L1
u
L1
U
i
-
U
L
1
U
i
t
t
t
on
t
off
2
3
D
1
D
2
D
3
L
C
R
S
i
L
+
-
Q
1 i
1
i
3
U
i
U
1
i
S
t
t
t
t
U
1
U
Q
t
U
i
2U
i
t
1 t
2
T
s
i
1
i
3
i
L
I
Lmax
I
Lmin
Q
1
Q
2
L
1
L
2
L
2
L
1
U
i
+
-
D
1
D
2
L
C
R
S
-
+
177
Formele de und sunt redate n figura 3.51. Diodele D
1
i D
2
redreseaz tensiunea din secundar,
furniznd mpreun curentul care strbate inductivitatea
de filtraj. n intervalul de timp n care tranzistoarele sunt blocate, secundarul
transformatorului este scurtcircuitat de ctre cele dou diode, care ndeplinesc n acest moment (
n paralel) rolul de element de nul, ele fiind parcurse de curentul generat de energia nmagazinat
n inductivitate L. Cnd unul din tranzistoare este n stare de conducie, tensiunea pe cellalt este
suma tensiunilor din primar, adic 2U
i
. Din formele de und din figura 3.51 se constat c pentru o
anumit valoare medie a curentului de sarcin, curentul mediu printr-un tranzistor este jumtate
din curentul de sarcin, fapt ce determin o solicitare termic a acestora mult mai mic.
Tensiunea de la ieire este dat de relaia:
Fig.3.51. Formele de und aferente convertorului n contratimp.
, 2
n
U
U
i
S
= (3.120)
unde:
o este factorul de umplere;
n - raportul de transformare.
10. Convertor d.c.- d.c. n contratimp n montaj semipunte [ 1, pp.160-161, schema i principiul de
funconare].
Soluia constructiv de tip semipunte (fig.3.53) este foarte larg rspndit pentru c:
- permite conectarea direct la reeaua de 220V fr transformator de separare;
- ofer posibilitatea egalizrii intervalelor de conducie a tranzistoarelor, chiar dac
caracteristicile lor difer ntre ele.
Fig.3.53. Convertor n contratimp n semipunte.
u
2 Q
1 on
Q
2 on
I
L max
I
L min
i
L
i
D
i
D1
i
D2
t
t
t
R
S
D
D
2
D
3 D
4
220
V
R
1
R
2
C
1
C
2
D
5
D
6
D
7
D
8
C
L
Q
1
Q
2
U
C1
U
C
2
C
3
178
Un capt al transformatorului este conectat ntre cele dou tranzistoare, iar cel de al doilea este
conectat la un punct cu potenial creat de capacitile C
1
i C
2
a crui valoare este U
i
/2 (R
1
= R
2
,
C
1
= C
2
). Cnd Q
1
conduce, captul de sus al transformatorului ajunge la potenialul pozitiv creat
de sursa de alimentare, format din puntea redresoare ( D
1
D
4
). Cnd tranzistorul Q
1
se
blocheaz i intr n conducie Q
2
, se schimb sensul de circulaie al curentului n primar. Prin
comanda alternativ a celor dou tranzistoare, n primar se va obine o tensiune alternativ n
amplitudine de 155V. Se observ c tensiunea pe tranzistoare n stare blocat nu poate depi
valoarea tensiunii de alimentare. La un randament 8 , 0 = q , curentul prin tranzistoare ajunge la
valoarea [14]:
Fig.3.54. Explicativ pentru comportarea tranzistoarelor cnd nu exist condesatorul C (cazul a ) i cnd este introdus
condensatorul (cazul b).
U
C
U
1
U
2
S
1
S
2
S
1
> S
2
S
1
S
2
S
1
= S
2
a)
U
1
U
2
b)
t
t
t
t
Tens. de
dezechilibru
U
C
179
Radiocomunicaii
Anul III
180
1. Definii parametrii discretizrii semnalelor de voce, respectiv audio hi-fi, i determinai debitele corespunztoare.
https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/1_Multimedia.PDF, 15-16
I In nf fo or rm ma a i ia a a au ud di io o ( (I II I+ +I II II I) )
Standardul muzic stereo (nalt calitate)
standard CD, muzic hi-fi, 20 kHz banda audio
2 canale
pentru nregistrare i transmisie stereo
f
E
= 44,1 kHz
frecvena de eantionare, conform teoremei lui Shannon
n = 16 bii
pentru cuantizare cu un RSZ = 96 dB
debit: 2 44.100 16 = 1.411.200 bii/s
Standardul de voce
standard telefonie, voce, 3,4 kHz banda audio
1 canal
pentru recunoaterea vocii
f
E
= 8 kHz
frecvena de eantionare, conform teoremei lui Shannon
n = 8 bii
pentru cuantizare cu un RSZ = 48 dB
debit: 1 8.000 8 = 64.000 bps
2. Definii i comparai cele dou principii de reducere a zgomotului.
https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/2_1_Sunetul.PDF, 20-25
R Re ed du uc ce er re ea a z zg go om mo ot tu ul lu ui i l la a r re ed da ar re e ( (I I+ +I II I) )
Zgomot = semnal de nivel sczut i cu frecven medie spre nalt
un astfel de semnal poate fi identificat i rejectat (poart de zgomot)
Exemplu: limitatorul dinamic de zgomot de la Philips DNL (Dynamic Noise Limiter)
IN: semnal cu zgomot
OUT: semnal cu un RSZ mbuntit cu 8 dB
Avantaj:
compatibil cu orice sistem de nregistrare pe orice sistem de redare
Analiza funcionrii
pauz ntre melodii
muzic nregistrat cu nivel mare
muzic nregistrat cu nivel mic
Dezavantaj:
nu poate face diferena ntre zgomot i semnalul real
S Si is st te em me e d de e n nr re eg gi is st tr ra ar re e r re ed da ar re e c cu u r re ed du uc ce er re ea a z zg go om mo ot tu ul lu ui i l la a r re ed da ar re e ( (I I+ +I II I) )
Sistemele realizeaz:
prelucrarea semnalului nainte de nregistrare
prelucrarea invers dup redare
181
Avantaj: semnalul real nu este alterat i este obinut cu un RSZ ridicat
Dezavantaj: funcioneaz doar pe acelai sistem(nregistrare i redare)
S Si is st te em mu ul l D Do ol lb by y ( (I I+ +I II I) )
Zgomot:
frecven mare (1 15 kHz)
nivel sczut (-20 -40 dB)
Circuite Dolby:
n timpul nregistrrii amplific neliniar i selectiv
realizeaz prelucrarea invers la redarea semnalului
mrete RSZ cu 9 dB
3. Definii i comparai tehnicile de cuantizare uniform i neuniform.
https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/2_2_Sunetul.pdf, 8, 12
C Cu ua an nt ti iz za ar re e u un ni if fo or rm m
182
niveluri de decizie uniforme
(intrare analogic)
niveluri de cuantizare uniforme
(ieire digital)
trepte de cuantizare (q) - constante
pentru semnal de nivel mic
pentru semnal de nivel mare
zgomot de cuantizare
(eroare): -q/2 q/2
Rezultat:
semnal mic cu eroare constant
RSZ sczut
semnal mare cu eroare constant
RSZ ridicat
Concluzie:
calitate (RSZ de ansamblu) sczut
C Cu ua an nt ti iz za ar re e n ne eu un ni if fo or rm m
niveluri de decizie (intrare analogic) neuniforme
niveluri de cuantizare (ieire digital) neuniforme
trepte de cuantizare diferite
mici pentru semnal de mic
mari pentru semnal de mare
zgomot de cuantizare (eroare):
variabil
Rezultat:
semnal mic cu eroare mic
RSZ mare
semnal mare cu eroare mare
RSZ mare
Concluzie:
calitate (RSZ de ansamblu) ridicat
4. Prezentai structura camerei foto digitale i elementele de reglaj.
https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/3_1_Imaginea.pdf, 5, 6, 8, 9
A Ac ch hi iz zi i i ia a i im ma ag gi in ni ii i f fo ot to og gr ra af fi ic ce e ( (I II I) )
Achiziia convenional a imaginii necesit urmtoarele componente principale:
obiectiv
pentru a focaliza lumina dintr-o scen pe un film fotosensibil (argint)
diafragm
pentru a controla cantitatea de lumin care impresioneaz filmul
obturator
pentru a controla timpul de expunere la lumin a filmului
A Ac ch hi iz zi i i ia a i im ma ag gi in ni ii i e el le ec ct tr ro on ni ic ce e ( (I I) )
Imaginea electronic este obinut utiliznd:
elemente tradiionale: obiectiv, diafragm, obturator
componente suplimentare:
CCD
explorarea imaginii i conversia foto-electric
CAN
obinerea formatului digital al imaginii
mediu de stocare
memoria electronic, suport magnetic
183
C Ca am me er ra a f fo ot to o d di ig gi it ta al l ( (I I+ +I II I) )
O camer digital portabil, pentru achiziia imaginilor statice, are urmtoarele componente
electronice:
CCD
pentru achiziia imaginii (conversie opto-electronic i explorare)
CAN
pentru cuantizarea imaginii
procesor numeric de imagine
pentru compresia imaginii i conversia formatului
sub-sistem de stocare (digital)
memorie electronic, magnetic sau interfa PC
microprocesor de comand
pentru coordonarea procesului de achiziie (vizor LCD i reglarea automat a focalizrii, a
diafragmei, a timpului de expunere etc.)
5. Prezentai principiul compresiei JPEG.
https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/3_3_JPEG.PDF, 4-8
M Me et to od do ol lo og gi ia a J JP PE EG G ( (I I+ +I II I+ +I II II I) )
DCT
transform blocul cu reprezentare n timp, A (multe puncte de date)
n blocul cu reprezentare n frecven, B (puine puncte de date puine componente de
frecven)
CUANTIZAREA
reduce neuniform precizia coeficienilor (D), conform cu tabelul de cuantizare C (n algoritmul
JPEG sunt implementate 4 tabele):
frecven joas cu precizie mare (pai mici, valori nenule)
frecven ridicat cu precizie mic (pai mari, majoritar valori nule)
CODAREA DE ENTROPIE
este folosit pentru obinerea compresiei de date
este utilizat o explorare n zig-zag pentru obinerea unor secvene lungi de zerouri
codarea RLE (Run-Length Encoding) ofer o compresie excelent
codarea Huffman este utilizat pentru a obine un factor de compresie mai mare
T Tr ra an ns sf fo or rm ma at ta a c co os si in nu us s d di is sc cr re et t ( (I I+ +I II I) )
DCT (asemntor transformatei Fourier) convertete datele
din domeniul timp
184
un bloc de 88 (pixeli):
linii 0 7
coloane 0 7
n domeniul frecven
O matrice de 88 coeficieni
locaia 00
coeficient DC
componenta continu a blocului 88
locaiile 01 77
coeficieni AC
frecven joas n colul din stnga sus
frecven ridicat n rest
Explorarea n zig-zag
ncepe cu coeficienii de frecven joas (nenuli)
apoi cu coeficienii de frecven ridicat (nuli)
rezult un ir lung de zerouri, dup cteva valori semnificative, uor de codat entropia (RLE,
Huffman)
6. Definii parametrii i componentele semnalului video complex. Reprezentai oscilograma unei linii TV.
https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/4_1_Televiziune.PDF, 8, 11, 12
F Fr re ec cv ve en n a a s se em mn na al lu ul lu ui i d de e t te el le ev vi iz zi iu un ne e ( (I II I) )
Raport de imagine
4:3
Rezoluia vertical
575 linii vizibile (din 625)
Rezoluie orizontal
4/3575 = 766 pixeli
Frecvena maxim a semnalului de imagine
f
MAX
= 766/2f
H
= 38315.625 Hz 6 MHz
Pentru percepie optim, pixelul trebuie s fie ptrat
S Se em mn na al lu ul l v vi id de eo o c co om mp pl le ex x ( (I I+ +I II I) )
Este obinut pe parcursul explorrii liniare (x-x)
185
7. Indicai semnalele folosite n transmisia televiziunii n culori, expresiile acestora i justificarea alegerii lor.
https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/4_1_Televiziune.PDF, 19, 20, 21
S Se em mn na al le e T TV V c co ol lo or r ( (I I+ +I II I) )
Luminana unei imagini (color) este folosit n televiziunea alb-negru:
Y = 0,3R + 0,59G + 0,11B
Folosirea semnalelor R, G, B este incompatibil cu vechiul sistem TV
Sistemele TV color compatibile folosesc:
Y luminana (pentru procesare corect de ctre sistemele TV alb-negru)
C crominana (doar informaie de culoare, fr informaie de strlucire)
semnale diferen de culoare: R-Y, G-Y, B-Y
Din cele 4 semnale se utilizeaz doar 3:
luminana
Y = 0,3R + 0,59G + 0,11B
crominana (2 semnale diferen de culoare)
R-Y = 0,7R - 0,59G - 0,11B
B-Y = -0,3R - 0,59G + 0,89B
186
S Se em mn na al le e T TV V c co om mp pa at ti ib bi il le e ( (I I) )
Luminana
E
Y
= 0,3E
R
+ 0,59E
G
+ 0,11E
B
= 0 1
Diferen de culoare
E
R-Y
= 0,7E
R
- 0,59E
G
- 0,11E
B
= -0,7 0,7
E
G-Y
= -0,3E
R
+ 0,41E
G
- 0,11E
B
= -0,41 0,41
(nu se utilizeaz)
E
B-Y
= -0,3E
R
- 0,59E
G
+ 0,89E
B
= -0,89 0,89
8. Definii parametrii discretizrii semnalului video, indicai formatele reprezentative de eantionare i determinai
debitele corespunztoare.
https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/4_3_TV_numerica.PDF, 1,2,7,8
S St ta an nd da ar rd du ul l d de e s st tu ud di io o T TV V d di ig gi it ta al l ( (I I+ +I II I) )
1982, CCIR Rec.601: USA/Europa
NTSC/SECAM/PAL, 525/625 linii
linie digital TV uzual
acelai debit i calitate
conversie facil ntre sisteme
componente TV (Y, R-Y, B-Y)
eantionare ortogonal
frecven de eantionare standard
f
E
= 13,5 MHz
eantion n format PCM
8 bii/component
D De eb bi it tu ul l s se em mn na al lu ul lu ui i n nu um me er ri ic c d de e t te el le ev vi iz zi iu un ne e
Debitul unui semnal numeric
D = f
E
n [bii/s]
Debitul semnalului TV
187
D = D
Y
+ D
R-Y
+ D
B-Y
= f
EY
n
Y
+ f
ER-Y
n
R-Y
+ f
EB-Y
n
B-Y
Debitul semnalului TV n format 4:2:2
D = 216 Mbps
F Fa am mi il li ia a s st ta an nd da ar rd de el lo or r d de e t te el le ev vi iz zi iu un ne e n nu um me er ri ic c
Standar
d
Parametrii
D
Y
D
R-Y
+ D
B-Y
D [Mbps]
FORMATE
DE ORDIN
SUPERIOR
4:4:4
progresi
v
f
H
= 31.250 Hz
f
E
= 27 MHz
216 216 + 216 648
4:4:4
f
H
= 15.625 Hz
f
E
= 13,5 MHz
108 108 + 108 324
FORMAT
DE BAZ
4:2:2
f
EY
= 13,5 MHz
f
EC
= 6,75 MHz
108 54 + 54 216
FORMATE
DE ORDIN
INFERIOR
4:1:1
f
EY
= 13,5 MHz
f
EC
= 3,375 MHz
108 27 + 27 162
4:2:0
alternativ pe
linii
4:2:2
4:0:0
108
54 + 54
0 + 0
162
2:1:1
f
EY
= 6,75 MHz
f
EC
= 3,375 MHz
54 27 + 27 108
9. Definii tipurile de imagini folosite n MPEG i explicai principiul compresiei.
https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/4_5_MPEG.PDF, 5-7
C Co od da ar re ea a M MP PE EG G ( (I I+ +I II I) )
nlturarea redundanei spaiale
nlturarea redundanei temporale
DCT
Compensarea micrii
Predicie bidirecional (interpolare)
MPEG utilizeaz trei tipuri de imagini
Imagine I
codare JPEG
independent de succesiunea imaginilor n micare
codare robust
independent de erorile precedente
factor de compresie sczut
Imagine P
este estimat o predicie a imaginii (compensarea micrii)
este codat diferena dintre imaginea actual i cea obinut prin predicie
succesiunea de predicii poate propaga eventuale erori
factor de compresie mai mare
Imagine B
este calculat o imagine interpolat bidirecional folosind imaginile I i P
188
estimarea este foarte bun
poate propaga erori
cel mai bun factor de compresie
Raport de compresie: determinat de M i N;
uzual, M = 12 i N=3;
M - perioada imaginii I, N - perioada imaginii P
C Co om mp pr re es si ia a d di ig gi it ta al l a a i im ma ag gi in ni il lo or r n n m mi i c ca ar re e
Rearanjarea succesiunii de imagini pentru transmisie:
1(I), 4(P), 2(B), 3(B), 7(P), 5(B), 6(B), 10(P), 8(B), 9(B), 13(I), 11(B), 12(B)
10. Prezentai structura i parametrii unui canal TV.
https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/4_6_RF.PDF, 2,3
M Me et to od de e d de e m mo od du ul la a i ie e
Modulaie de amplitudine
MA
eficen sczut
MA cu band lateral unic
MA-BLU
imposibil de filtrat
MA cu rest de band lateral
MA-RBL
soluie standard
P Pr re el lu uc cr ra ar re ea a l la a f fr re ec cv ve en n i in nt te er rm me ed di ia ar r
189
Un singur filtru pentru:
extragere canal
rejectarea canalului adiacent
rejectarea RBL
190
Sisteme cu logic programabil
Anul III
191
1. Implementarea unei funcii cu o structur programabil (arhitectura I-SAU)
O structur logic programabil are la baz o arhitectur de tip I-SAU, ca n figur:
n cazul PLA, acelai termen se poate utiliza pentru mai multe funcii, fcnd implementarea mai
eficient.
n cazul PAL, unde reeaua de pori PAL este fix, implemementarea necesit o arie de siliciu mai
mare
192
2. Arhitectura PAL i GAL: schem, funcionare, avantaje i dezavantaje
Structurile PAL i GAL au o arhitectur comun, format dintr-o reea de pori I programabile i o
reea de pori SAU fixe. Avantajul principal este simplitatea arhitecturii, dezavantajul este
ineficiena ei (un termen comun n dou funcii se genereaz de dou ori). Circuitele PAL se
bazeaz pe tehnologia bipolar (nu se mai folosesc), iar cele GAL pe tehnologia CMOS (se mai
folosesc uneori).
3. Explicarea arhitecturii pipeline
Un sistem numeric complet se compune dintr-o parte combinaional i una secvenial. n figura de
mai jos este prezentat simplificat o secven de operaii (prelucrri) combinaionale.
Prin tehnica pipeline, pe traseul informaional sunt inserate bistabile acionate de un semnal de tact
comun. Prin aceasta se asigur predictibilitatea prelucrrii informaionale, dar mai ales este posibil
paralelizarea operaiilor prin multiplicarea lanului de mai jos n mai multe asemenea module n
paralel, toate comandate de un semnal de tact comun. De exemplu la execuia unei instruciuni n 4
faze, procesorul este la un moment dat angrenat n rularea a 4 instruciuni simultan, dar n faze
diferite (fetch, decode, execute, output)
193
4. Elemente structurale ale unui cod VHDL (enumerare, descriere sumar)
Un program VHDL este format din urmtoarele seciuni:
1. Declararea de biblioteci (LIBRARY declarations): cuprinde lista tuturor bibliotecilor
utilizate n proiect, de exemplu: ieee, std (implicit), work (implicit), etc.
2. ENTITY: specific porturile circuitului, materializate prin pini de intrare, ieire, intrare-
ieire sau de tip buffer.
3. ARCHITECTURE: descrie modul n care circuitul se comport. Pot exista mai multe
arhitecturi (funcionale) pentru aceeai entitate.
5. Moduri de descriere n VHDL (structural, flux de date, comportamental) scurt
descriere, avantaje, dezavantaje, aria de utilizare
Un sistem numeric se poate descrie n oricare din urmtoarele variante:
- structural: sistemul este compus din mai multe blocuri, descrise separat i conectate
asemntor unei liste de legturi dintr-o schem electric prin instruciuni specifice VHDL
(component, port map). Este o variant laborioas, dar foarte util pentru proiectele
ierarhice.
- dataflow: se scriu direct ecuaiile boolene care descriu funcionarea circuitului.
- behavioral: se descrie (de obicei prin algoritmi) funcionarea circuitului. Este cea mai
puternic variant, deoarece nu face referire la tehnologie sau furnizor. n plus dimensiunea
unui circuit anume nu influeneaz codul (un numrtor pe 10 bii are aceeai descriere ca
unul pe 32 de bii).
6. Comparaie ntre semnale i variabile n VHDL (mod de asignare)
Semnalele reprezint unicul mijloc de comunicare ntre procese.
SIGNAL / Semnal VARIABLE/ Variabil
Atribuire <= :=
Utilizare Folosite pentru conectarea circuitelor /
modulelor (wires)
Reprezint informaie local
Vizibilitate Global Local (vizibile doar n PROCESS,
FUNCTION, sau PROCEDURE)
Comportare Actualizarea nu este imediat datoprit
proprietii de memorare (noua valoare se
genereaz doar la ncheierea PROCESS,
FUNCTION, sau PROCEDURE)
Actualizare imediat (noua valoare se poate
folosi n urmtoarea linie de cod)
Locul de
declarare
n PACKAGE, ENTITY, sau
ARCHITECTURE. n ENTITY, toate
legturile de PORT sunt de tip SIGNAL
Doar n cod secvenial (doar n PROCESS,
FUNCTION, sau PROCEDURE)
7. Modelarea unui circuit n VHDL
Un prim exemplu este modelarea unui circuit I cu dou intrri, la care timpul de propagare este de
5 ns.
entity and2 is
port ( a, b : in bit;
y : out bit );
end and2;
architecture and2_behav of and2 is
begin
y <= a and b after 5 ns;
end and2_behav;
Modelare ntrziere
194
Un al doilea exemplu prezint un MUX 4:1 cu un timp de propagare identic pentru cele 4 intrri, de
10 ns.
library ieee;
use ieee.std_logic_1164.all;
entity mux4 is
port ( I0, I1, I2, I3, a, b: in std_logic;
Y : out std_logic);
end mux4;
architecture mux4 of mux4 is
signal sel: integer;
begin
sel <= 0 when A = '0' and B = '0' else
1 when A = '1' and B = '0' else
2 when A = '0' and B = '1' else
3 when A = '1' and B = '1' else
4 ;
with sel select
Y <= I0 after 10 ns when 0,
Y <= I1 after 10 ns when 1,
Y <= I2 after 10 ns when 2,
Y <= I3 after 10 ns when 3,
Y <= 'X' after 10 ns when others;
end mux4;
8. Multiplexor n VHDL, exemplu de cod
- Prima soluie (MUX 2:1, canalul de 1 bit)
library ieee;
use ieee.std_logic_1164.all;
entity mux2to1 is
port ( sel: in std_logic;
a, b: in std_logic;
y: out std_logic);
end mux2to1;
architecture bhv of mux2to1 is
begin
y <= a when sel = '0' else b;
end bhv;
- A doua soluie (MUX 2:1, canalul de 8 bii)
library ieee;
use ieee.std_logic_1164.all;
I0
I1
I2
I3
A
B
Y
195
entity mux2to1_8bit is
port (sel: in std_logic;
A,B: in std_logic_vector (7 downto 0);
Y: out std_logic_vector (7 downto 0));
end mux2to1;
architecture bhv of mux2to1_8bit is
begin
Y <= A when sel = '0' else B;
end bhv;
Trebuie remarcat similitudinea celor 2 exemple de mai sus (difer doar prin modul de declarare al
semnalelor A i B).
- A treia soluie (MUX 4:1, canalul de 1 bit)
library ieee;
use ieee.std_logic_1164.all;
entity mux4to1 is
port ( a, b, c, d: in std_logic;
SEL: in std_logic_vector (1 downto 0);
y: out std_logic);
end mux4to1;
architecture mux1 of mux4to1 is
begin
y <= (a and not SEL(1) and not SEL(0)) or
(b and not SEL(1) and SEL(0)) or
(c and SEL(1) and not SEL(0)) or
(d and SEL(1) and SEL(0));
end mux1;
Not: n curs sunt prezentate zece variante distincte de definire pentru acest MUX 4:1. Oricare
dintre ele este potrivit pentru o rezolvare corect.
9. ALU n VHLD, exemplu de cod
ALU (Arithmetic Logic Unit) prezentat mai jos permite efectuarea de opt operaii aritmetice i opt
operaii logice definite n tabelul de adevr. Este alctuit din dou module distincte care proceseaz
simultan informaia selecia final fiind realizat n funcie de bitul cel mai semnificativ din sel
prin intermediul unui multiplexor. Ceilali trei bii din sel sunt utilizai pentru selecia operaiei
specifice aritemetice sau logice.
196
Soluia prezentat utilizeaz doar cod concurent (combinaional) i date de un singur tip att pentru
operaiile aritmetice ct i logice. Acest lucru e posibil deoarece a fost declarat un package specific
- std_logic_unsigned din biblioteca ieee.
Dou semnale, arith and logic, sunt folosite pentru transmiterea rezulatelor blocurilor aritmetic i
logic ctre multiplexor.
sel Operaie Descriere Modululactiv
0000
0001
0010
0011
0100
0101
0110
0111
Y<=A
Y<=A+1
Y<=A-1
Y <=B
Y<=B+1
Y<=B-1
Y<=A+B
Y<=A+B+Cin
TransferA
IncrementA
DecrementA
TransferB
IncrementB
DecrementB
AddAandB
AddAandBwithcarry
Aritmetic
1000
1001
1010
1011
1100
1101
1 110
1111
Y<=notA
Y<=notB
Y<=AandB
Y<=AorB
Y<=AnandB
Y<=AnorB
Y<=AxorB
Y<=AxnorB
ComplementA
ComplementB
AND
OR
NAND
NOR
XOR
XNOR
Logic
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity ALU is
port (A, B: in std_logic_vector (7 downto 0);
sel: in std_logic_vector (3 downto 0);
cin: in std_logic;
Y: out std_logic_vector (7 downto 0));
end ALU;
architecture dataflow of ALU is
signal arith, logic: std_logic_vector (7 downto 0);
begin
197
----- Arithmetic unit: ------
with sel(2 downto 0) select
arith <= A when "000",
A+1 when "001",
A-1 when "010",
B when "011",
B+1 when "100",
B-1 when "101",
A+B when "110",
A+B+cin when others;
----- Logic unit: -----------
with sel(2 downto 0) select
logic <= not A when "000",
not B when "001",
A and B when "010",
A or B when "011",
A nand B when "100",
A nor B when "101",
A xor B when "110",
A xnor B when others;
-------- Mux: ---------------
with sel(3) select
Y <= arith when '0',
logic when others;
end dataflow;
10. Numrtor modulo-m n VHDL, exemplu de cod
Un numrtor modulo-m parcurge ciclic un numr de stri egal cu modulul su (de obicei de la 0 la
m - 1) dup care reia acest ciclu. n VHDL este util crearea unui numrtor parametric carecterizat
de dou constanbte generice:
- M, specific modulul m i
- N, care specific numrul de bii (i implicit de bistabile) pentru numrtor
Un secven de cod mai avansat ar trebui s elimine redundana dintre M i N, M fiind unicul
parametru utilizat. Logica pentru starea urmtoare este realizat printr-o atribuire condiional.
Atunci cnd numrtorul atinge valoarea M - 1, va fi reiniializat. n caz contrar este incrementat
(cu o unitate).
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity mod_m_counter is
generic ( N: integer := 4; -- number of bits
M: integer := 10); -- mod-M

port ( clk, reset : in std_logic;
max_tick : out std_logic;
Q: out std_logic_vector (N-1 downto 0));
198
end mod_m_counter;
architecture arch of mod_m_counter is
signal r_reg: unsigned (N-1 downto 0);
signal r_next: unsigned (N-1 downto 0);
begin -- register
process(clk,reset)
begin
if (reset='1') then
r_reg <= (others =>'0');
elsif (clk'event and clk = '1') then
r_reg <= r_next;
end if;
end process;
r_next <= (others =>'0') when r_reg = (M-1) else -- next-state logic
r_reg + 1;
Q <= std_logic_vector (r_reg); -- output logic
max_tick <= '1' when r_reg = (M-1) else '0';
end arch;
199
Sisteme de achiziii de date
Anul III
200
1. Amplificator cu modulare-demodulare cu chopper utilizare, structura de principiu, explicarea funcionrii pe
baz de diagrame de timp.
Amplificatorul cu modulare-demodulare (AMD) este utilizat pentru amplificarea cu 60100 dB a unor tensiuni de
nivel foarte mic (microvoli sau milivoli) i de joas frecven.
2. Convertor tensiune-frecven structura de principiu, explicarea funcionrii pe baz de diagrame de timp,
funcia de transfer.
t
t
t
t
u
i
[V] [mV]
u
m
[V] [mV]
u
ma
[V]
u
d
, u
e
[V]
u
e
K
1
i K
2
nchise
u
p
u
i
R
1
K
1
u
m
C
1
Amplificator
de curent
alternativ
u
ma
C
2
K
2
u
d
R
f
C
f
u
e
201
Din
r
r
r
e
i
t
R
U
T
R
u
= rezult funcia de transfer
i
r r
r
e
u
t RU
R
f = .
3. Convertor numeric analogic relaie de calcul a cuantei, funcia de transfer (ieire curent, ieire tensiune),
definiii pentru eroarea de decalaj (de zero) i eroarea de ctig.
Calculul cuantei
max max
1 2
1
D
FR
D
FSR FSR
LSB q
N
=
+
= = = , FSR capt de scal, FR domeniul maxim al ieirii,
N numrul de bii.
Funcie de transfer ieire curent
CBN
N
r
e
D
R
U
I

=
2
, ieire tensiune
CBN
N
r
e
D
R
U R
U

=
2
1
unde U
r
tensiune
de referin, R rezisten de referin, R
1
rezisten din reacia negativ a AO, D
CBN
valoarea n zecimal a
numrului de la intrare scris n CBN.
Eroare de zero (A
0
) (eroare absolut) reprezint valoarea mrimii de ieire dac la intrare s-a aplicat codul numeric
pentru ieire zero.
Eroare de ctig (A
FR
) reprezint eroarea absolut a domeniului maxim al ieirii. Dac eroarea de zero nu este zero
trebuie inut cont de ea la calculul erorii de ctig
4. Convertor numeric analogic cu reea de rezistene R-2R structura de principiu, funcie de transfer.
u
i
R
R
r
_
+
AO
I
r
C
u
I
Comp
u
c
Circuit
basculant
monostabil
u
e
(f
e
)
K
-U
r
u
I
t
u
c
t
u
e
t
t
r
T
e
202
Funcia de transfer
CBN N
r
e e
D
R
R U
R I U
2
1
1
= = .
5. Conectarea unui convertor numeric analogic unipolar pentru conversie bipolar n codul complementul lui doi
structura de principiu, deducere matematic a funciei de transfer.
Din
CBN
N
r
e
D
R
U
I
2
= i
N
CCD CBN
b D D 2
1
+ = rezult succesiv ( )
N
CCD N
r
e
b D
R
U
I 2
2
1
+ = ,
CCD N
r r
e
D
R
U
R
U
b I
2
1
= ,
R
U
b I I
r
e e 1
"
= ,
CCD
N
r
e e
D
R
R U
R I U
2
1
1
"
= = .
6. Convertor analog numeric cu comparare de tip paralel cuanta, structura de principiu, calculul tensiunilor de
comparare.
Cuanta unui CAN se calculeaz cu relaia
N
FSR
LSB q
2
1 = = , unde FSR este intervalul de variaie a semnalului
de intrare. Pentru un CAN unipolar
N
r
N
U FSR
LSB
2 2
1 = = .
Tensiunile de comparare utilizate n procesul de conversie pentru un CAN de tip paralel vor avea valorile:
N
r
Ci
U
i U
2
) 5 , 0 ( = , i = 1, 2, ..., 2
N
1, unde N este numrul de bii.
I
e
K
1
1 0 1 0
I
r
I
1
I
1
2R
R
2R
R
2R
R
2R
I
2
I
2
I
N-2
I
N-2
I
N-1
2R
I
N
K
2
K
N-2
K
N-1
K
N
1 0 1 0 1
b
1
- MSB b
2
b
N-2
b
N-1
b
N
- LSB
0
2R
I
N
R
R
1
_
+
AO
U
e
-U
r
I
N-1
R R R R
R
CNA
unipolar
D
CCD
U
r
R
I
r
R
b
1
U
r
R
I
e
I
e

R
1
AO
_
+
U
e
b
1
K
203
7. Convertor analog numeric cu aproximaii succesive structura de principiu, prezentare principiu de conversie.
Valoarea fiecrui bit se obine n cte o perioad T
0
a semnalului de tact. Stabilirea valorilor ncepe cu bitul b
1
(MSB) i se termin cu bitul b
N
(LSB). Registrul de aproximaii succesive comand intrrile CNA pentru obinerea
unor tensiuni de comparare U
ck
cu valorile

=
k
i
i
i r ck
b U U
1
2 , k = 1, 2, ..., N, unde b
k
= 1 iar biii cu indici mai
mari dect k au valoarea 0. Dac n urma comparrii rezult u
i
> U
ck
atunci bitul b
k
rmne pe 1, n caz contrar b
k
este pus (napoi) pe zero. Astfel, tensiunea de comparare U
ck
se formeaz pe baza bitului b
k
a crei valoare urmeaz
a fi stabilit i a biilor b
i
, i = 1, 2, ..., k-1, cu valori stabilite pe baza unor comparri anterioare.
8. Etalonarea convertorului analog numeric - etalonarea decalajului i ctigului pentru un convertor bipolar pe N
bii, rezultat sub form de cod binar deplasat; tensiuni aduse la intrarea CAN, rezultate obinute la ieirea
CAN.
Pentru reglajul decalajului se aduce la intrarea convertorului tensiunea de 0,5LSB iar la ieire trebuie s obinem
secvene numerice ce prezint o instabilitate ntre valorile 10 ... 00 (codul pentru 0 bitul de semnificaie maxim pe 1,
restul pe 0) i 10 ... 01. Pentru reglajul ctigului se aduce la intrarea convertorului tensiunea de 0,5FSR 1,5LSB iar la
ieire trebuie s obinem secvene numerice ce prezint o instabilitate ntre valorile 11 ... 10 i 11 ... 11 (toi bitii pe 1).
Se are n vedere caracteristica de transfer a unui CAN bipolar, n CBD.
C
2
N
-1
C
2
N
-2
C
1
...
...
...
Decodificator
u
i
U
r
I
r
1,5R
U
C2
N
-1
R
U
C2
N
-2
R
0,5R
U
C1
b
1
b
2
b
i
b
N-1
b
N
u
i
U
c
C
Registru de aproximaii
succesive
CNA
Registru
tampon
Gen. de
tact
(T
0
)
START
CONVERSIE
STARE
CONVERSIE
U
r
Ieire
b
1
... b
N
204
9. Circuit de eantionare i memorare structura de principiu a unui CEM cu bucl de reacie global (un
comutator), rolul reaciei globale, caracteristici ale AO de intrare i de ieire.
Reacia global asigur eliminarea erorilor de decalaj corespunztoare amplificatorului operaional de la ieire
AO2. n cazul structurii de principiu din figur, erorile de decalaj ale CEM sunt date de AO1, care trebuie ales cu
deriv redus a tensiunii de decalaj.
Amplificatorul operaional AO1 din circuitul de intrare al CEM trebuie s asigure o impedan mare de intrare
pentru a nu se perturba circuitul din care se preleveaz tensiunea ui. De asemenea, trebuie s aibe o impedan mic
de ieire pentru a putea ncrca sau descrca rapid condensatorul C astfel nct timpul de achiziie s fie ct mai
mic.
Amplificatorul operaional AO2 de la ieirea CEM trebuie s fie ales astfel nct curenii de polarizare a intrrilor
s fie foarte mici pentru ca, n starea de memorare, descrcarea condensatorului C s fie foarte lent. Din acest
motiv se utilizeaz amplificatoare operaionale ce au n circuitul de intrare tranzistoare cu efect de cmp.
10. Sistem de achiziie de date cu multiplexarea semnalelor analogice de intrare structura de principiu, explicarea
funcionrii pe baz de diagrame de timp ale semnalelor de comand i control.
s
e
11...11
11...10
10...00
10...01
10...010
....
....
0
1 2 2
N-1
2
N-1
-1
2
N-1
-2
u
i
[LSB]
[b
k
]
01...11
01...10
00...01
00..00
-1 -2
1-2
N-1
-2
N-1
(FSR/2)
-(FSR/2)
...
...
...
...
C
d
u
i
(M)
(E)
K
_
+
AO
1
C
_
+
AO
2
u
e
R
205
Bibliografie
[1] Liviu Toma Sisteme de achiziie i prelucrare numeric a semnalelor, Editura de Vest, Timioara, 1997
[2] https://intranet.etc.upt.ro/ An 3, Sisteme de achizitii de date, Curs
[3] https://intranet.etc.upt.ro/ An 3, Sisteme de achiziii de date, Laborator
u
i1
u
i2
u
iK
.
.
.
MUX
CEM CAN
M
E/M
Start
conv
Stare
conv.
Sistem de prelucrare
numeric
b
1
,...,b
N
M
(u
i1
) (u
i2
) (u
i3
E/
Start
conv
.
Stare
conv.
b
1
,...,b
N
t
1
t
2
t
3
t
4
t
5
t
6
>(t
ap
+t
s
) T
c
>t
ac
t
t
t
t
t
206
Testarea echipamentelor pentru EA
Anul IV
207
1. Celula de scanare pe frontier: Arhitectura, semnale, moduri de operare.
Cursul 3 paginile 9-11
https://intranet.etc.upt.ro/~TEE_EA/CURS/ sau
http://este2.etc.upt.ro/moodle/course/view.php?id=5
Celula de scanare arhitectura, semnale
- Intrarea de DATE se conecteaz la terminalul circuitului integrat iar ieirea de DATE la intrarea/ieirea
blocului funcional, sau invers, dup cum celula de scanare este conectat la un terminal de intrare sau de ieire.
- Intrarea de SCANARE se conecteaz la ieirea celulei anterioare (sau la terminalul TDI) iar ieirea de
SCANARE la intrarea urmtoarei celule (sau la multiplexorul pinului TDO), formnd un registru de deplasare cu
ncrcare paralel.
- Semnalele ShiftDR, ClockDR, UpdateDR i Mode sunt generate de ctre controlerul TAP care gestioneaz
transferul datelor la nivelul fiecrei celule.
Celula de scanare moduri de operare
- Modul captur: intrrile de date sunt transferate n registrul de captur
- Modul transfer: se realizeaz deplasarea serial a datelor prin registru de captur
- Modul actualizare: registrul de captur se transfer n registrul de memorare i la ieirile de date.
- Modul transparent: intrrile de date sunt conectate direct la ieirile de date, registrele de captur i de
memorare sunt invizibile, fr a fi afectat coninutul lor.
208
2. Tehnici de inspecie optica automat: enumerere, scurt caracterizare
Cursul 5 paginile 8-10
https://intranet.etc.upt.ro/~TEE_EA/CURS/ sau
http://este2.etc.upt.ro/moodle/course/view.php?id=5
- Metoda referinei (Template Matching):
- Prin compararea cu o imagine de referin se decide dac produsul inspectat corespunde sau nu
specificaiilor.
- Imaginea de referin poate fi obinut fie n urma unei reprezentri CAD fie prin memorarea imaginii
unei plci de referin care n mod cert este conform specificaiilor (Golden Board).
- Principalul dezavantaj: admite o toleran redus a procesului tehnologic, abateri minore de la
referin, ce nu au impact asupra calitii sau fiabilitii sistemului inspectat, declannd adeseori
alarme false.
- Metoda comparaiei statistice (Statistical Pattern Matching):
- reprezint o mbuntire a metodei referinei n scopul de a atribui mai mult flexibilitate n luarea
automat a deciziei CONFORM/NECONFORM.
- se utilizeaz mai multe imagini de referin obinute n urma inspeciei unor produse att conforme ct
i neconforme. Decizia se ia pe criterii statistice n urma analizei similaritii sistemului inspectat cu
cele dou categorii de referin.
- Msurarea bidimensional (2D Measurement):
- utilizeaz una sau mai multe camere montate perpendicular pe planul de inspecie care este iluminat
din multiple unghiuri.
- Imaginea obinut permite efectuarea de msurtori pe direciile X i Y, n urma unui proces de
calibrare.
- Metoda se folosete pentru inspecia plcilor neechipate n scopul deteciei ntreruperilor i
scurtcircuitelor, pentru a verifica poziionarea componentelor i alinierea pastei de lipire pe padurile
SMD.
- Msurarea tridimensional (3D Measurement):
209
- Utilizeaz o surs de lumin structurat, de exemplu o diod laser, pentru a proiecta un ablon, cel
mai frecvent o linie orizontal, pe suprafaa suprapus inspeciei. Lumina structurat proiectat pe
sistemul testat urmrete seciunea acestuia ntr-un plan perpendicular pe planul inspeciei.
- Camera este poziionat oblic fa de planul inspeciei i capteaz conturul luminii structurate, a crui
analiz permite efectuarea de msurtori tridimensionale.
- Tehnica se folosete n principal pentru a determina volumul pastei de lipire depus pe padurile
componentelor SMD.
3. Testarea continuitii
Cursul 5 paginile 24-26
https://intranet.etc.upt.ro/~TEE_EA/CURS/ sau
http://este2.etc.upt.ro/moodle/course/view.php?id=5
- Testele de continuitate au ca scop detecia defectelor de tip ntrerupere i se aplic ntre extremitile reelelor
conductoare ale circuitului imprimat.
- O reea conductoare (net) reprezint o structur metalic continu format din trasee i guri de trecere (via),
care asigur o cale de circulaie a curentului ntre mai multe paduri de lipire a componentelor sau paduri de
test.
- Testarea continuitii unei reele se realizeaz prin msurarea rezistenei dintre un punct de extremitate al
acesteia i toate celelalte i compararea acesteia cu un prag.
- Standardul IPC-ET-652 solicit utilizarea unui prag de continuitate de 50 pentru echipamentele electronice
de uz general i 20 pentru echipamentele de mare fiabilitate, cele de uz medical sau aeronautic.
Tehnici pentru msurarea rezistenelor dintre punctele de test situate la extremitile unei reele conductoare:
A. Msurarea n dou puncte
Este tehnica uzual de msurare a rezistenei utiliznd o surs de
curent i un voltmetru.
Sursa de curent injecteaz curentul I ntre punctele de test PT1 i PT2
iar un voltmetru msoar cderea de tensiune pe rezistena Rx dintre
acestea. Prin compararea acestei tensiuni cu un prag se ia decizia de
conformitate sau neconformitate.
Datorit rezistenelor comutatoarelor situate ntre punctele de msur
i punctele de test, notate n figura de mai sus cu Rsw1 i Rsw2,
tensiunea msurat va fi:
Vm = I(Rx + Rsw1 + Rsw2) = Vx + Verr
adic tensiunea msurat Vm conine att tensiunea de interes Vx ct i o tensiune de eroare Verr. Rezistena msurat
Rm se obine prin raportarea Vm la I:
Rm = Rx + Rsw1 + Rsw2
, ceea ce conduce la o eroare relativ de msurare a rezistenei:
Rx
Rsw Rsw
Rx
Rx Rm
Rx
2 1+
=

=
Avnd n vedere c la testarea continuitii rezistena Rx are valori de ordinul ohmilor chiar dac se utilizeaz
comutatoare cu rezistena n conducie mic eroarea de msurare tot poate depi cu uurin pragul de 100%.
210
B. Msurarea n patru puncte: utilizeaz o punte Kelvin format din rezistenele n conducie ale comutatoarelor
pentru a msura rezistena de valoare mic dintre cele dou puncte de test.
- Sursa de curent este conectat la punctele de test prin dou puncte de msur iar voltmetru prin alte dou puncte de
msur.
- Se poate neglija curentul prin voltmetru pentru c impedan de intrare a acestuia mult mai mare dect valorile de
ordinul ohmilor pe care dorim s le msurm.
- Tensiunea msurat este: Vm=I*Rx
- Eroarea de msur devine nul indiferent de rezistenele comutatoarelor.
- Configuraia de msur elimin necesitatea calibrrii i a compensrii software ns utilizeaz de dou ori mai multe
puncte de msur dect varianta prezentat anterior.
4. Resurse de testare digital Senzorul i Driverul: Scheme, funcionare
Cursul 6 paginile 16-17
https://intranet.etc.upt.ro/~TEE_EA/CURS/ sau
http://este2.etc.upt.ro/moodle/course/view.php?id=5
Pentru testarea digital se utilizeaz dou tipuri de circuite: driver i senzor.
- Driverul (DD = Digital Driver) este un circuit care genereaz un stimul de test digital.
- Senzorul (DS = Digital Sensor) este un circuit care msoar un semnal digital.
- Prin combinarea celor dou se obine un circuit de test bidirecional denumit driver/senzor (DDS = Digital Driver
/Sensor).
- Senzorul (a) este un comparator dublu cruia i sunt furnizate dou praguri de referin independente, Vref1 i Vref0,
i care furnizeaz dou ieiri independente, una care semnaleaz starea logic 1 i una care semnaleaz starea logic
0.
- Configuraiea permite nu doar detecia nivelurilor logice ci i detecia strii interzise, n care tensiunea de la intrare se
afl ntre cele dou praguri de referin.
- La punctul de msur se pot conecta rezistene de ctre
tensiunea de alimentare corespunztoare nivelului 1,
notat V1, sau tensiunea corespunztoare nivelului 0, notat V0.
- De obicei aceste dou tensiuni sunt chiar tensiunile de alimentare ale circuitului supus testrii, dar exist i
posibilitatea controlului prin software al acestora.
Driverul (b) dispune, pe lng intrarea de date, de dou intrri analogice V1 i V0 la care se stabilesc nivelurile de
tensiune corespunztoare nivelurilor logice 1, respectiv 0, precum i de o intrare de validare a comenzii
tranzistoarelor finale, notat HighZ, prin intermediul creia se poate aduce ieirea n starea de nalt impedan.
Suplimentar, driverul poate avea circuite de monitorizare a curentului de ieire i de protecie la scurtcircuit.
Driverul trebuie s aib impedan de ieire redus i s fie capabil s genereze un curent ridicat, uneori pn la 500
mA, datorit fenomenului de comand invers (backdriving) inerent testrii n circuit.
Pentru protecia circuitului supus testrii mpotriva efectelor destructive ale comenzii inverse, driverele performante
sunt prevzute cu circuite de control al timpului de cretere.
211
5. Structura unui stand de testare funcional
Cursul 7 paginile 22-24
https://intranet.etc.upt.ro/~TEE_EA/CURS/ sau
http://este2.etc.upt.ro/moodle/course/view.php?id=5
Circuitele de complexitate redus pot fi testate funcional fr a necesita echipamente speciale; Pentru testarea
sistemelor de complexitate redus se pot utiliza testoare n circuit i monturi de test.
n acest scop a fost dezvoltat o categorie de testoare n circuit performante, dotate cu mai multe resurse de test dect
cele prezentate anterior, denumite testoare combinaionale (Combinational Tester).
- Sistemele complexe necesit ns echipamente de test dedicate, construite cel mai frecvent prin interconectarea mai
multor instrumente de uz general i eventual a unor instrumente dedicate ntr-un stand de test (test rack).
Structura general a unui stand de testare funcional, realizat prin interconectarea mai multor echipamente att de uz
general ct i specializate. Conceptul central al structurii este de instrument modular controlat de calculator
Din punct de vedere mecanic standul de test reprezint un asiu dotat cu un fund de sertar (backplane): o plac ce
conine conectori de asiu (slot) n care pot fi montate diverse module.
Fundul de sertar asigur conexiunea fizic ntre diferitele module ce pot implementa diferite funcii, inclusiv aceea de
comunicaie cu un sistem de calcul care poate controla n mod automat execuia testelor.
Prin standardizarea interfeei prin care comunic modulele, precum i a specificaiilor mecanice ale asiului, devine
posibil ca modulele se poat fi dezvoltate de diveri productori, oferind astfel o mare varietate de funcii de msur.
6. Caracterizarea erorilor: Detectabilitatea i Redundana definiii
Cursul 8 paginile 16, 18
https://intranet.etc.upt.ro/~TEE_EA/CURS/ sau
http://este2.etc.upt.ro/moodle/course/view.php?id=5
1. Detectabilitatea : Spunem despre un vector de test X=[x
1
, ... x
n
] c detecteaz o eroare f dac i numai dac vectorul
de rspuns conine cel puin o ieire z
k
a crei valoare este diferit n prezena, respectiv n absena erorii f:
Cu z
k
(X) s-a notat funcia logic implementat de circuit la ieirea z
k
n funcionarea corect, iar cu zf
k
(X) funcia logic
implementat la aceeai ieire n prezena erorii f.
Operaia SAU-EXCLUSIV transpune n algebra binar cerina valoare diferit, ( vezi tabelul de adevr al funciei
XOR.)
Spunem despre o eroare f c este detectabil dac i numai dac exist cel puin un vector de test care o detecteaz:
212
2. Redundana: Redundana erorilor se definete ca opusul detectabilitii:
O eroare ce nu poate fi detectat de nici un vector de test este o eroare redundant. ntruct orice combinaie binar
aplicat intrrilor poate reprezenta un vector de test, rezult c doar ntr-un circuit redundant pot exista erori astfel de
erori.
Circuit redundant poate fi eliminat cel puin o poart logic fr a-i modifica funcia logic implementat.
Redundana poate aprea nu doar din cauza unei proiectri suboptimale a circuitului, ci i n urma aplicrii unor tehnici
de eliminare a hazardului combinaional sau pentru obinerea toleranei la defeciuni.
Erorile redundante nu reprezint o problem n funcionarea circuitului (acesta implementeaz aceeai funcie n
prezena i n absena unei astfel de erori), ns afecteaz testabilitatea datorit fenomenului de mascare.
O eroare redundant g mascheaz o eroare f dac f este detectabil ns n prezena simultan a erorilor f i g, aceasta
devine redundant:
7. Generarea determinist a vectorilor de test. Principiul
Cursul 9 pagina 17
https://intranet.etc.upt.ro/~TEE_EA/CURS/ sau
http://este2.etc.upt.ro/moodle/course/view.php?id=5
Un generator determinist de vectori de test (ATPG = Automatic Test Pattern Generator) reprezint un modul
software care, n urma analizei unui model structural al unui circuit electronic, genereaz vectori pentru testarea
acestuia. Analiza unui model structural este ceea care confer acestui tip de generator de vectori de test caracterul
determinist, n opoziie cu generatoarele statistice care genereaz vectori aleatori n mod independent de structura
circuitului supus testrii.
- Pe baza modelului structural i eventual a unei erori int generatorul furnizeaz vectori de test unui modul de
analiz a testului;
- Utiliznd un simulator de erori i un algoritm de compactare a testului, acesta ntreine un dicionar de erori ce
conine vectori de test, vectori de rspuns i erorile detectate de fiecare dintre acestea.
- Dac dicionarul de erori ofer o acoperire a testului satisfctoare, adic vectorii de test determinai pot
detecta un procent minim impus dintre erorile int, atunci procesul de generare a testului este ncheiat.
- Pn la atingerea unei acoperiri a testului satisfctoare generatorul determinist este apelat n mod repetat,
eventual cu specificarea unei erori int.
Utilizarea unui generator determinist de vectori de test, n conjuncie cu un simulator
213
8. Principiul testrii cu compresia datelor. Metode de compresie. Obiective.
Cursul 11 paginile 17-18
https://intranet.etc.upt.ro/~TEE_EA/CURS/ sau
http://este2.etc.upt.ro/moodle/course/view.php?id=5
Principiul testrii cu compresia datelor: n urma aplicrii unei succesiuni de m vectori de test, la o ieire a circuitului
supus testrii se obine o succesiune de m valori binare care poate fi caracterizat printr-o semntur pe n bii.
Calculnd semntura obinut n urma aplicrii testului i comparnd-o cu cea corespunztoare funcionrii corecte,
stocat ntr-o memorie, se poate determina dac circuitul este sau nu defect.
O semntur caracterizeaz o secven binar de lungime m, adic un ir de m bii care se succed n timp la intrarea
analizorului. Semntura se reprezint pe un numr finit de n bii, de obicei 16, ns poate caracteriza o secven binar
de orice lungime, ceea ce o face atractiv pentru testarea circuitelor secveniale complexe.
Metode de compresie: - numrarea valorilor binare
- numrarea tranziiilor
- determinarea paritii
- testarea sindromului
- analiza de semntur
Obiective urmrite n implementarea metodelor de compresie:
Metoda trebuie s poat fi implementat prin tehnici (circuite) ct mai simple.
Tehnica utilizat nu trebuie s introduc ntrzieri suplimentare n funcionarea circuitului sau s afecteze
major timpul de test.
Lungimea semnturii trebuie s fie mult mai mic dect cea a rspunsului circuitului (comparaia se face
pentru o cantitate mult mai mic de informaie). Aceast performana este caracterizat de gradul de
compresie (GC) definit ca raportul dintre lungimea secvenei de ieire (rspunsul circuitului) i lungimea
semnturii.
Metoda de compactare nu trebuie s piard informaia util din rspunsul circuitului, adic s nu mascheze
manifestarea defectelor. Aceast performan este caracterizat prin probabilitatea de mascare (P) a erorilor.
9. Testoare cu procesare numeric. Structura. Problema sincronizarii.
Cursul 12 paginile 23-24
https://intranet.etc.upt.ro/~TEE_EA/CURS/ sau
http://este2.etc.upt.ro/moodle/course/view.php?id=5
Ansamblul DSP-RAM-CNA formeaz un sintetizator, capabil s genereze stimuli analogici: cureni, tensiuni, forme de
und, etc.
Ansamblul CAN-RAM-DSP formeaz un digitizor, capabil s achiziioneze si apoi s analizeze semnale analogice.
Sintetizatorul i digitizorul reprezint emulatoare numerice ale unor instrumente analogice, care permit echipamentului
de test s opereze cu vectori numerici n locul mrimilor analogice.
O caracteristic important a testoarelor cu procesare numeric este sincronizarea emulatoarelor pe baza unui tact unic.
Figura prezint o structur tipic de distribuie a tactului ntr-un echipament pentru testarea circuitelor de semnal mixt.
Oscilatorul cu cuar (OSC) produce tactul de frecven medie (zeci de MHz), aplicat mai multor bucle cu calare pe faz
(PLL = Phase Locked Loop) care genereaz multiple semnale de tact sincronizate, avnd diferite frecvene, de ordinul
214
sutelor de megahertzi. Att sintetizatoarele ct i digitizoarele pot opera cu oricare dintre aceste semnale de tact, a cror
frecven se poate reduce prin utilizarea unor divizoare de tact (DT). Factorii de multiplicare ai oscilatoarelor PLL i
factorii de divizare ai blocurilor DT sunt alei astfel nct ntre frecvenele cu care pot opera sintetizatoarele i
digitizoarelor s se stabileasc rapoarte de numere ntregi, de obicei prime ntre ele, necesare pentru eantionarea
coerent. Sincronizarea n faz a tuturor semnalelor de tact i impunerea ntre acestea a unor rapoarte de numere ntregi
poart denumirea de sincronizare M/N.
10. Testarea static a CNA. Probleme. Masurarea indirect
Cursul 13 paginile 7-9
https://intranet.etc.upt.ro/~TEE_EA/CURS/ sau
http://este2.etc.upt.ro/moodle/course/view.php?id=5
Testarea convertoarelor numeric-analogice pentru evaluarea caracteristicilor statice poate prea de domeniul evidenei:
se msoar valorile de ieire pentru fiecare cod aplicat la intrare i se compar cu valoarea ideal. Exist totui dou
probleme:
(i) Precizia digitizorului: pentru testarea unui convertor pe n bii digitizorul trebuie s ofere o precizie de cel puin
LSB/10, ceea ce, innd cont de zgomot i de erorile proprii digitizorului, solicit ca acesta s opereze pe cel puin n+4
bii. i atunci cum testm un convertor pe 32 bii ?
(ii) Numrul mare de coduri: un convertor pe n bii necesit 2
n
msurtori, adic 65.536 pentru convertoarele pe 16
bii i 16.777.216 pentru convertoarele pe 24 bii, ceea ce crete considerabil timpul de testare.
Soluia primei probleme este msurarea indirect, iar soluia celei de-a doua este superpoziia erorilor
Msurarea indirect se refer la evaluarea unei tensiuni prin msurarea diferenei dintre aceasta i o tensiune
cunoscut .
Programnd sursa de tensiune pentru generarea unei valori Vref apropiate de valoarea ce se dorete a fi msurat
(determinat de codul aplicat la intrarea CNA), se msoar o diferen de tensiuni amplificat de un amplificator de
instrumentaie (AI). Pentru msurarea unor valori absolute ale Vx este necesar ca Vref s aib precizie ridicat, ns
pentru msurarea unor variaii relative, cum e cazul neliniaritii difereniale, nu trebuie dect ca s fie stabil n
timp.
Ex: msurarea direct cu un digitizor ce opereaz pe 16 bii a tensiunii de la ieirea unui convertor pe 12 bii, ambele
avnd aceeai gam de variaie FSR. Cuanta digitizorului va fi de 16 ori mai mic dect cuanta CNA, astfel nct,
ignornd orice alt surs de eroare, precizia de msurare va fi 0.5LSB
digitizor
= 3,125% LSB
CNA
.
S presupunem acum c se realizeaz msurarea indirect a erorilor de neliniaritate diferenial a CNA, iar gama de
variaie a digitizorului se stabilete la
FSR
digitizor
= 4LSB
CNA
Cuanta digitizorului va fi n acest caz de 2
16
/4 = 16 384 ori mai mic dect a CNA, astfel nct precizia de msurare,
considernd din nou doar eroarea de cuantizare a digitizorului, va fi de 0,003% LSB
CNA
.
Pentru msurarea DNL se vor face dou msurtori consecutive:
215
V
x1
V
ref
i V
x2
V
ref
,
prin a cror difereniere se obine rezultatul dorit fr a fi afectat de eroarea de programare a Vref, cu singura condiie
ca aceast tensiune s rmn constant pe durata celor dou msurtori.

S-ar putea să vă placă și