Sunteți pe pagina 1din 210

UNIVERSITATEA POLITEHNICA DIN TIMIOARA

Facultatea de Electronic i Telecomunicaii

EXAMEN LICEN
SPECIALIZAREA

ELECTRONIC APLICAT

2012-2013

UNIVERSITATEA POLITEHNICA DIN TIMIOARA

Facultatea de Electronic i Telecomunicaii

EXAMEN LICEN
SPECIALIZAREA

ELECTRONIC APLICAT

2012-2013

Cuprins
Discipline fundamentale
Uniti de msur ..1
Noiuni generale de Fizic.4
Concepte/teoreme matematice de uz practic n exercitarea profesiei de inginer.......9
Circuite electronice fundamentale...17
Circuite integrate analogice.31
Circuite integrate digitale48
Sisteme de prelucrare numeric cu procesoare............................... 61
Semnale i sisteme.......82
Zona tematic 5 (aplicaii)...94
Discipline de specialitate
Aparate electronice de msurat......112
Bazele sistemelor flexibile inteligente...126
Electronic de putere n comutaie....168
Radiocomunicaii...179
Sisteme cu logic programabil.190
Sisteme de achiziii de date...199
Testarea echipamentelor pentru EA..206

UNITTI DE MSUR
ale Sistemului International

1.

Specificai unitatea SI pentru mas i simbolul ei. Specificai factorul de multiplicare i simbolul pentru
micro (exemplu: atto = 10-18, a).
Unitatea SI pentru mas este kilogramul. Simbolul su este kg. Factorul de multiplicare pentru micro este
10-6. Simbolul su este .

2.

Specificai unitatea SI pentru lungime. Specificai factorul de multiplicare i simbolul pentru mili (exemplu:
atto = 10-18, a).
Unitatea SI pentru lungime este metrul. Simbolul su este m. Factorul de multiplicare pentru mili este 103
. Simbolul su este m.

3.

Specificai unitatea SI pentru timp. Specificai factorul de multiplicare i simbolul pentru micro (exemplu:
atto = 10-18, a).
Unitatea SI pentru timp este secunda. Simbolul su este s. Factorul de multiplicare pentru micro este 10-6.
Simbolul su este .

4.

Specificai unitatea SI pentru curentul electric. Specificai factorul de multiplicare i simbolul pentru mili
(exemplu: atto = 10-18, a).
Unitatea SI pentru curentul electric este amperul. Simbolul su este A. Factorul de multiplicare pentru mili
este 10-3. Simbolul su este m.

5.

Specificai unitatea SI pentru viteza unghiular. Specificai factorul de multiplicare i simbolul pentru kilo
(exemplu: atto = 10-18, a).
Unitatea SI pentru angular viteza unghiular este radianul pe secund. Simbolul su este rad/s. Factorul
de multiplicare pentru kilo este 103. Simbolul su este k.

6.

Specificai unitatea SI pentru frecven. Specificai factorul de multiplicare i simbolul pentru tera
(exemplu: atto = 10-18, a).
Unitatea SI pentru frecven este herul. Simbolul su este Hz. Factorul de multiplicare pentru tera este
1012. Simbolul su este T.

7.

Specificai unitatea SI pentru energie, lucru mecanic i cldur. Specificai factorul de multiplicare i
simbolul pentru mega (exemplu: atto = 10-18, a).
Unitatea SI pentru energie, lucru mecanic i cldur este joulul. Simbolul su este J. Factorul de
multiplicare pentru mega este 106. Simbolul su este M.

8.

Specificai unitatea SI pentru putere i flux radiant. Specificai factorul de multiplicare i simbolul pentru
giga (exemplu: atto = 10-18, a).
Unitatea SI pentru putere i flux radiant este wattul. Simbolul su este W. Factorul de multiplicare pentru
giga este 109. Simbolul su este G.

9.

Specificai unitatea SI pentru for sarcin electric i cantitate de electricitate. Specificai factorul de
multiplicare i simbolul pentru femto (exemplu: atto = 10-18, a).
Unitatea SI pentru sarcin electric i cantitate de electricitate este coulombul. Simbolul su este C.
Factorul de multiplicare pentru femto este 10-15. Simbolul su este f.

10. Specificai unitatea SI pentru tensiune electric, diferen de potenial i tensiune electromotoare.
Specificai factorul de multiplicare i simbolul pentru nano (exemplu: atto = 10-18, a).
2

Unitatea SI pentru tensiune electric, diferen de potenial i tensiune electromotoare este voltul.
Simbolul su este V. Factorul de multiplicare pentru nano este 10-9. Simbolul su este n.
11. Specificai unitatea SI pentru intensitatea cmpului electric. Specificai factorul de multiplicare i simbolul
pentru mega (exemplu: atto = 10-18, a).
Unitatea SI pentru intensitatea cmpului electric este voltul pe metru. Simbolul su este V/m. Factorul de
multiplicare pentru mega este 106. Simbolul su este M.
12. Specificai unitatea SI pentru rezisten electric, impedan i reactan. Specificai factorul de
multiplicare i simbolul pentru kilo (exemplu: atto = 10-18, a).
Unitatea SI pentru rezisten electric, impedan i reactan este ohmul. Simbolul su este . Factorul
de multiplicare pentru kilo este 103. Simbolul su este k.
13. Specificai unitatea SI pentru conductana electric. Specificai factorul de multiplicare i simbolul pentru
kilo (exemplu: atto = 10-18, a).
Unitatea SI pentru conductana electric este siemensul. Simbolul su este S. Factorul de multiplicare
pentru kilo este 103. Simbolul su este k.
14. Specificai unitatea SI pentru capacitatea electric. Specificai factorul de multiplicare i simbolul pentru
pico (exemplu: atto = 10-18, a).
Unitatea SI pentru capacitatea electric este faradul. Simbolul su este F. Factorul de multiplicare pentru
pico este 10-12. Simbolul su este p.
15. Specificai unitatea SI pentru inductan. Specificai factorul de multiplicare i simbolul pentru mili
(exemplu: atto = 10-18, a).
Unitatea SI pentru inductan este henry. Simbolul su este H. Factorul de multiplicare pentru mili este
10-3. Simbolul su este m.

Noiuni generale de fizic

CONCEPTE / TEOREME MATEMATICE DE UZ


PRACTIC
N EXERCITAREA PROFESIEI DE INGINER

10

11

12

13

14

15

16

CIRCUITE ELECTRONICE FUNDAMENTALE


Anul II

17

1. Pentru un amplificator cu un tranzistor n conexiunea colector comun (repetor pe


emitor), desenai schema si comentai valorile pentru: amplificarea in tensiune,
rezistena de intrare i cea de ieire.
Curs 14 (pg. 1-2).
Obs
La studiul comportrii cu frecvena a tranzistorului bipolar au fost introduse o serie de frecvene
caracteristice: frecvena de tiere i frecvena de tranziie. Aceste mrimi caracterizeaz tranzistorul n
conexiune EC.
Se definete un parametru similar frecventei de taiere ,, i pentru conexiunea BC.

f
fB

BC

EC

f fT
n conexiunea BC tranzistorul se comporta mult mai bine cu frecvena deoarece f f T f B

Comportarea la frecvene medii a etajului


de amplificare a tranzistorului bipolar
Din analiza precedent a rezultat c la frecvene medii unde pot fi neglijate reactanele condensatoarelor din circuit
i la care ns putem utiliza modelul cu parametrii h schema echivalent de semnal mic a unui etaj de amplificare pentru
orice tip de conexiune (EC, BC, CC) poate fi redus la o singur schem echivalent:

Obs
S-a preferat utilizarea parametrilor h deoarece analiza poate fi fcut pentru toate cele trei conexiuni
utiliznd o singur schem echivalent i particulariznd valorile parametrilor h corespunztori conexiunii
avute n vedere.

18

Ie
I
2
I1
I1
U 0 I 2 RL
Ai

I 2 h21 I 1 U 0 h22 h21 I 1 h22 I 2 R L


I 2 1 h22 RL h21 I 1
Ai

h21
1
1 h22 RL

U1
I1
U 1 h11 I 1 h12 U 0 h11 I 1 I 2 R L h12 h11 I 1 RL h12 A i I 1
Zi

U 1 I 1 h11 R L h12 A i
Ri Z i h11 RL h12

h21
2
1 h22 RL

Obs
1. semnul ,,- n expresia unei amplificri semnific faptul c acel amplificator introduce un defazaj de
180o
2. se constat apoi c mrimea rezistenei de intrare este influenat de rezistena de sarcin RL

RL 1K
Ri 2 K,20,100 K
2. Desenati schema electric a unei reele Wien precum i modul de conectare.
pentru a realiza un oscilator Wien. Explicati modul de functionare al oscilatorului.
Curs 26 (pg. 7-8).

19

Z2
Ur

U o Z1 Z 2

1
Z
1 1
Z2

1
1 jC1 R1
1 jC1 R1 1 jC 2 R2
Z1
jC1
jC1

1
R2
jC1
R2
Z2
R2
jC 2
1 jC 2 R2
1
R2
jC 2
R1

1 jC 2 R2 1 jC1 R1 2C1 R1C 2 R2


1
jC1 R2

1
C R C 2 R2 2C1 R1C2 R2
1
1 1

1
jC1 R2
C1 R2
jC1 R2

0 , j
0

1
1

R1 C2

R2 C1

1
1
1
0C 2 R1 0 0

o C1 R2
C1 R1C 2 R2 RC

1
3

Se constat comportamentul selectiv ca urmare apariia i mulimea oscilaiilor este determinat nu

numai de condiia de faz dar i de condiia de amplitudine deoarece pentru 0 , j max respectiv

1
min i prin urmare pentru aceast pulsaie poate fi satisfcut condiia de amplitudine (1).
j
De regul acest oscilator este cu frecvena reglabil, reglarea frecvenei fcndu-se n trepte, prin
comutarea capacitilor C1 ,C 2 , i continuu, modificndu-se simultan rezistenele R1 , R2
20

D
e regul oscilatoarele cu circuitul Wien se realizeaz n jurul unui amplificator operaional ca n figura:

3. In ce regim (clas) de funcionare a amplificatoarelor apar distorsiunile de


racordare? Explicai apariia lor pe baza unei figuri.
Curs 19 (pg. 5-6).

Obs
1. pe durata cte unei semialternane tranzistorul funcioneaz n conexiune CC.
2. pentru a asigura o excursie simetric la ieire tensiunile celor dou surse de tensiune se iau egale

E E E
3. Datorit tensiunii de deschidere semnalul de ieire va prezenta distorsiuni neliniare, aa numitele
distorsiuni de racordare.

21

4.

distorsiunile de racordare pot fi diminuate prin prepolarizarea tranzistoarelor finale (aducndu-se


uor spre limita de conducie). Polarizarea tranzistoarelor final prezint dezavantajul c poate
conduce la ambalarea termic a tranzistoarelor.

5. Exist posibilitatea alimentrii etajului de ieire de la o singur surs de alimentare.


6. ponderea distorsiunilor de racordare (de trecere) scade pentru semnalele de intrare mai mari.
7. Pentru semnale de intrare foarte mari apar distorsiuni datorate intrrii tranzistoarelor n saturaie.

ETAJE DE IEIRE N CONTRATIMP


CU TRANZISTOARE COMPUSE
Etajul de ieire prezentat anterior necesit o putere de comand nsemnat de la etajul prefinal care n precede.
Pentru a diminua aceast putere de comand se utilizeaz aa-numitele tranzistoare compuse. Acestea constituie combinaii
de dou sau chiar trei tranzistoare astfel conectate nct echivaleaz funcional cu un tranzistor dar care necesit un curent
de comand de baz substanial mai redus.
Se constat uor reducerea substanial a curenilor de comand dar n acelai
timp circuitul prezint dezavantajul nserierii a dou jonciuni emitoare fapt ce reduce
panta tranzistorului echivalent.

4. Cum trebuie s fie frecvena polului dominant din metoda de


compensare cu
acelai nume, fa de frecvenele polil or amplificatorului necompensat. Justificai
rspunsul.
Curs 25 (pg. 1).

22

Compensarea cu poli dominant se realizeaz prin conectarea unui condensator de compensare ntre
dou etaje succesive ale amplificatorului.

A( j )

(1)




1 j
1 j
1 j

1
2
3

A
AC ( j )
(2)





1 j
1 j

1 j
1 j
d
1
2
3

1
jCC
Ri
1
Ri
1 jCC
Ri
Ri
jCC
1

1
Ri
R0 Ri 1 jCC Ri R0 R0 Ri 1 jCC Ri R0
Ri
R0
jCC
1 jCC
R0
1
Ri
jCC
Ri

Ri R0 CC Td

1
Td

5. Explicai rolul tranzistorului pilot al unui amplificator in contratimp respectiv cel al


tranzistoarelor finale.
Curs 20 (pg. 2-3).

Etaje de ieire de clas A B

23

Aceste etaje funcioneaz ntr-un regim care se situeaz ntre regimul de funcionare clas A i regimul
de funcionare clas B (mai aproape de clas B, n lipsa semnalului tranzistoarele nc conduc puin). Etajele n
clas AB prezint distorsiuni de racordare mai reduse.
Aceste distorsiuni sunt cu att mai sczute cu ct tranzistoarele conduc mai mult n lipsa semnalului.
Acest fapt poate conduce ns pe de alt parte la pericolul ambalrii termice. n clas AB randamentul este i el
ceva mai sczut.
Dezavantajul schemei l constituie instabilitatea funcionrii cu temperatura.

u BE u D

R2
R1 R2

R
u D u BE 1 1
R2
Neajunsul schemei l constituie lipsa unui control facil al tranzistorului de prepolarizare. Acest neajuns
poate fi eliminat nlocuind cele doua diode cu o superdioda.

Bootstraparea etajului final

Bootstraparea este o metod de a obine excursia maxim posibil la ieire n


condiiile n care se utilizeaz aceleai tensiuni de alimentare.

Vom considera situaia n care conduce T1. Care este valoarea tensiunii
de comand?

uicm u BE E u ces E 1
Din relaia (1) rezult c etajul prefinal ar trebui alimentat cu o
tensiune E ' E pentru a putea asigura comanda necesar pentru excursia maxim la ieire.
Pe de alt parte excursia de la ieirea etajului prefinal este diminuat fa de tensiunea de alimentare
ca urmare a dreptei de sarcin dinamic.

24

6. Cum se modific rezistena echivalent de intrare i de ieire in cazul introducerii


n circuit a unei reacii negative de tip paralel-paralel. Justificati raspunsul prin relatii.
Curs 23 (pg. 3).
Reacie serie paralel
Sch,sch,sch,sch,sch

VI : I 1 , U 2
VD : U 1 , I 2
U 1 H 11 I 1 H 12 U 2
I 2 H 21 I 1 H 22 U 2 (1)

Rif

U if

IR
I if

Rof

U r 0

I if

U r 0

Ur
Ir

I if 0

Se rearanjeaz schema.

7. Calculati frecventa limita la inalte a unui amplificator cu reactie, cunoscind frecventa limita la
inalte pentru amplificatorul fara reactie si factorul de desensibilizare F=1+A.
Curs 21 (pg. 7-8).

Efectul reaciei negative asupra caracteristicii de frecven


Vom considera pentru nceput comportarea la nalt frecven. Cazul unui amplificator avnd funcia de rspuns la
frecven cu un singur pol.

25

A j

A
1
1 jTi

j
Ar j

1
A j
A
A A

A
1 A j 1 jTi 1
1 jTi A 1 A
1 jTi

Ar
1

jTi 1 jTi
1
1 A

20 log A j 20 log A 20 log 1 jTi 20 lg A 20 lgTi


2

ir
20 lg

1
1
20 lg A 20 log Ti 20 lg A 20 lg1 A
Tir
Tir
A

1 A

20 lg Ar

Obs
Se constat c reacia negativ mrete de 1 A ori frecvena limit superioar a benzii de trecere.
Analiza la joase frecvene
Presupunem o funcie cu rspuns la frecven cu un singur pol.

26


A j

j T j
1 jT j

Ar j

A1

jT j
A j
A

1 A j
1 jT j

1
1 A

jT j
1 j T j

jT j

1 jT j A j T j

jT j 1 A
Ar T jr
A

Ar j
1 A 1 jT j 1 A
1 jT jr

8. Desenati schema echivalenta de zgomot a unui amplificator si definit factorul de zgomot F.


Curs 20 (pg. 7-8).
Factorul de zgomot este parametrul prin care se apreciaz performanele de zgomot ale unui amplificator.
F

Pzto
1
Pzgo

F 1
F

2
U zto
2
U zgo A 2

n general din punct de vedere al zgomotelor unui amplificator este apreciat pe baza urmtoarei
scheme echivalente. Studiul zgomotelor se face ntotdeauna pentru ansamblul generator-amplificator.
t - total

27

g generatorului

2
U zto

A 2 U zg2

2
zg

U z2 Rg2 I z2

A2
RL

A2
U zg2
RL

2
2
U z2 Rg I z

U zg2
U zg2

U zg2 4 K T f Rg
Rg
Rg2 I z2
R
U z2
1

1 ZD
F 1
4 K T f Rg 4 K T f Rg
Rg RZp
I z2
9. Demonstrati efectul reactie negative asupra variatiei relative a amplificarii.
Curs 21 (pg. 1-2).

Zgomotul tranzistorului bipolar


Sursele de zgomot ce caracterizeaz un tranzistor bipolar se introduc cel mai frecvent n modelul cu parametrii
naturali.

1
1
U z2 4 K T f Rbb '
2
g
m

f t cst 500 1000 Hz


4 K T f
2
2
I z2
f

g m 1

n cazul tranzistorului bipolar se pot defini mrimile Rzs Rbb '


28

1
2gm

2
f

g m 1

Rg
R
F 1 zs
; F Fmin
Rg Rzp
Rzp

Obs.
1. Deoarece factorul de zgomot depinde de mrimile g m i mrimi care la rndul lor sunt
determinate de curentul de colector, nseamn c exist o valoare a curentului de colector
pentru care F=Fmin.
2. n cataloage se specific o serie de informaii privind zgomotul tranzistoarelor bipolare.

Ic

3.
4.

3. ntr-un amplificator principalele surse de zgomot sunt rezistenele.


4. Pentru a obine un amplificator nezgomotos se minimizeaz efectul surselor de zgomot i se caut
s se fac adaptarea cu rezistena generatorului de semnal pentru a se obine Fmin.
Se demonstreaz c n cazul unui amplificator cu mai multe etaje zgomotele primului etaj influeneaz
n cea mai mare msur performanele de zgomot ale amplificatorului.

F F1

Rg 2 F2

Rg 2 A12

10. Prezentati schema si functionarea unui oscilator Colpitts.


Curs 27 (pg. 5-6).
Oscilatorul COLLPITS
Utilizeaz transformatorul capacitiv.

29

Analizm varianta cu tranzistor cu efectul de cmp.

Tensiunile U r i U 0 sunt la rezonan defazate cu 180 deoarece amplificatorul introduce i el un


defazaj de 180 nseamn c exist reacie pozitiv i prin urmare, pot aprea oscilaii.
Frecvena oscilaiilor este egal cu frecvena de rezonan a circuitului oscilant.

CC
1
; Ce 1 2
C1 C 2
LC e

Pentru stabilirea condiiei de amplitudine se va calcula separat amplificarea respectiv j ce


caracterizeaz circuitul de reacie.

U 0 g m U gs R p

gm Rp
U gs
U gs

1
jC 2
C
U
j r
1
1
C2
U0
I
jC1
I

A j 0 1

C
g m R p 1 1
C2
C 1
1 - conditia de amorsare
gm 2
C1 R p
Obs
1. bobina de oc X L asigur alimentarea cu tensiune continu a circuitului n condiiile n care
blocheaz componenta variabil spre sursa de semnal (care reprezint pentru aceast component un
scurtcircuit).
2. la acest oscilator frecvena este dificil de reglat n mod continuu, de aceea, se utilizeaz pentru
generarea unor frecvene fixe.

30

CIRCUITE INTEGRATE ANALOGICE


Anul II

31

1. Specificati si definiti cinci parametri referitori la circuitul de intrare a AO. (2.2, pag.68-69)
1. Parametri referitori la circuitul de intrare:
- tensiunea de decalaj iniial Uio (sau decalaj iniial de tensiune ori offset de tensiune) reprezentnd
o tensiune de eroare cauzat de inegalitatea tensiunilor UBE (UGS) ale tranzistoarelor de la intrrile etajului
diferenial de intrare. Acest decalaj iniial se msoar prin tensiunea ce trebuie aplicat la o intrare, cu o
polaritate sau alta, pentru a realiza o tensiune de ieire nul (exemplu: 1...5 mV la amplificatoare operaionale
cu tranzistoare bipolare uzuale, 10 mV la cele cu intrare pe TECJ sau MOS, 10 V la amplificatoare hibride cu
pereche TECJ, 1 V la amplificatoare hibride cu chopper);
- deriva termic de tensiune, Uio/T, denumit i sensibilitate termic sau coeficient de temperatur
al tensiunii de decalaj iniial; arat variaia cu temperatura a acestei tensiuni i se msoar n V/oC;
- curentul de polarizare a intrrilor (sau curent de intrare), reprezentnd valoarea medie a curenilor
de la cele dou intrri. Exemplu:
IB

I B1 I B 2
2

pentru intrare pe tranzistoare bipolare. Valoarea acestui curent depinde de tipul etajului diferenial de intrare;
- curentul de decalaj iniial, Iio (sau offset de curent) reprezentnd eroarea cauzat de inegalitatea
curenilor de intrare ai etajului diferenial; este msurat ca diferen a curenilor de la cele dou intrri n
situaia cnd Ue=0 (de obicei Iio<0,1 IB);
- deriva termic de curent, denumit i sensibilitate termic sau coeficient de temperatur al
curentului de decalaj iniial; reprezint raportul Iio /T i se msoar n nA/oC sau pA/oC;
- rezistena de intrare diferenial (pentru semnal diferenial), care reprezint deseori i rezistena de
intrare nesimetric;
- factorul de rejecie a semnalului comun, CMRR (de obicei 90...110 dB);
- factorul de rejecie a variaiei tensiunilor de alimentare SVRR, msurat n dB (sau inversul lui, n
V/V).
Acesta reprezint raportul ntre variaia tensiunii simetrice de alimentare i semnalul diferenial, ce
produc aceeai tensiune de ieire diferenial.
32

2. Specificati si definiti doi parametri referitori la comportarea in regim dinamic a AO. (2.2, pag.70)
2. Parametri referitori la comportarea n regim dinamic (ca amplificator):
- amplificarea de tensiune, fr reacie, la semnal mare, n condiii de E i RS precizate. Valoarea
amplificrii este n mod obinuit 100.000...300.000;
- banda de frecven la amplificare unitar, ce reprezint frecvena de tiere a axei logf de ctre
caracteristica de frecven a amplificatorului fr reacie corectat (sau frecvena de tiere a amplificatorului cu
reacie n regim de repetor, cnd Aur=1, respectiv cnd 20 log Aur = 0);
- viteza maxim de cretere a tensiunii de ieire, slew-rate, notat SR, pentru semnal mare. La unele
amplificatoare (cu corecie extern) se d viteza maxim realizabil pentru diferite corecii (care se aleg n
funcie de amplificarea cu reacie dorit).
Pentru ca un semnal sinusoidal cu anumit amplitudine s sufere distorsiuni mici - 1% - la trecerea prin
amplificator, trebuie ca mrimea SR s aib o valoare:
SR 2fmax (uem)max,

uem

iar pentru distorsiuni mai mici, coeficientul


E, RS
E-1V
2 se nlocuiete cu unul mai mare (3...4
sinus cu
pentru 0,5% sau chiar 8...10 pentru
distorsiuni neglijabile). Deseori se d n
catalog caracteristica (uem)max = F(fmax)
(uem)max
rezultat din relaia de mai sus, pentru
CC dat
semnal sinusoidal cu distorsiuni 1% i o
fmax
log f
anumit corecie (deci o anumit vitez
Fig. 2.9. Amplitudinea maxim a semnalului
SR), (fig.2.9). Abaterea de la forma de
sinusoidal de la ieirea AO n funcie
variaie hiperbolic este datorat atingerii
de frecven, n condiiile n care
excursiei maxime de tensiune la ieirea AO
impus de alimentare i sarcin.

3. Prezentati oglinda de curent cu tranzistor tampon si analizati valoarea raportului dintre curentii de
pe cele doua ramuri in contextul utilizarii sale ca si sarcina activa pentru un etaj diferential de
intrare dintr-un AO integrat. (1.1, pag.26)

33

3. Oglinda de curent cu tranzistor tampon

Schema acestei oglinzi, folosit ca sarcin activ n etajul diferenial de intare al amplificatoarelor
integrate (operaionale) este dat n fig.1.6. Tranzistorul T3, denumit tampon, prelund un curent foarte mic
din Iref, face ca cei doi cureni Ie i Iref s fie foarte apropiai.

Efectul Early apare i aici deoarece tranzistoarele


lucreaz la tensiuni colector-emitor diferite. Astfel, UCE2 =
UBE3+UBE2 1,2 V iar UCE3>UCE1>UCE2, ceea ce face ca
3>1>2 (tranzistorul tampon prezint cea mai mare
tensiune colector-emitor deoarece are colectorul legat la
+E). Aa cum e de ateptat, inegalitatea factorilor va
conduce la apariia unei diferene semnificative ntre
curentul de ieire al sursei i cel de referin. Astfel,
admind c T2 i T3 au cureni de baz egali, conform cu
cele din fig.1.6 i n absena rezistenei RE3 (al crei rol se
va vedea puin mai trziu) se obine:

+E
Iref

2 IB
3+1

2IB

Ie =1 IB
T3

Re

2IB

T2

IB

IB

T1

(nIB)

RE

RE3

RE

Fig. 1.6. Oglind cu tranzistor tampon

Ie = 1IB i Iref = 2 I B

2 2
2I B
IB
2 3
3 1
3 1

caz n care:

Ie
13 1

1 3 1
I ref 23 2 2 23 2

Aadar raportul este net supraunitar (tensiunile UCE ale celor dou tranzistoare nu mai sunt att de apropiate
ca i la oglinda Wilson). Pentru a compensa acest lucru se introduce rezistena RE3 care mrete artificial
curentul prin T3 i prin intermediul curentului su de baz, mrete i pe Iref. Astfel se obine:
Iref = 2 I B

( n 2) I B 2 3 2 n 2

IB
3 1
3 1

i raportul devine:
34

Ie
13 1

1
I ref 23 2 2 n

care poate fi fcut apropiat de 1 alegnd potrivit valoarea rezistenei RE3. Aceast rezisten are i rolul de a
asigura o mai bun stabilitate termic circuitului.
Rezistenele RE pot mri sensibil rezistena de ieire Re a sursei de curent (n colectorul lui T1). n unele
amplificatoare integrate rezistenele RE permit legarea ntre emitoarele lui T1 i T2, din exteriorul integratului, a
unui poteniometru care poate ajusta fin raportul Ie / Iref (echilibrarea amplificatorului).

4. Ce este o sursa band-gap, care este forma generala a tensiunii sale de iesire si explicati pe baza
acestei formule principiul sau de functionare. (1.2, pag.32, 33, 34)

4. Surs de tensiune de referin de tip band-gap


Coeficientul de temperatur de 2mV/K al tensiunii UBE se poate compensa dac se nsumeaz cu
aceasta o tensiune avnd un coeficient de temperatur de +2mV/K. Acest procedeu este utilizat n circuitul din
fig.1.19, unde A este un amplificator diferenial. Aici prin T2 se realizeaz o reacie negativ mai puternic dect
reacia negativ introdus prin T1. Rezistena de ieire a acestei surse de tensiune este foarte mic datorit
reaciei negative n configuraie cu nod la ieire.
Deoarece cele dou intrri ale amplificatorului (care are o amplificare de tensiune 1000) au
aproximativ acelai potenial UI rezult:
URC1 = URC2
deci:
IC2 = n IC1
Cderea de tensiune pe rezistena R1 este:
IC1R1 = UBE2 UBE1 = UTln

IC2
I
I
U T ln C1 U T ln C 2 U T ln n
IC0
IC0
I C1

Cu aceasta rezult:
IC1

U T ln n
R1

care este un curent dependent de temperatur prin intermediul lui UT.


Cderea de tensiune pe rezistena R2 este:
35

UR2 = (IC1 + IC2)R2 = R 2 (

U T ln n
U ln n
R
n T
) = 2 (n 1) U T ln n = NUT
R1
R1
R1

unde s-a notat (R2 / R1)(n+1)ln n = N (constant). Aceast tensiune (UR2) trebuie s aib un coeficient de
temperatur de +2mV/K.

+E
RC2 =

RC1

+E

= R /n

Ui

A
Ui

IC1

T2

T1
UBE1
IC1R1

IC2

Ue
IB0

UBE2

R1

R2

UR2

Fig. 1.19. Surs de tensiune de referin de tip band-gap

Cunoscnd c UT = kT/q (n care k este constanta lui Boltzmann iar q sarcina electronului), se scrie:
dU R 2
dU
k
N T N
dT
dT
q

care este o constant independent de temperatur. Se obine n continuare:


dU R 2
kT NU T
mV
N

2
dT
qT
T
K

Considernd o anumit situaie, de exemplu aceea cu T=300K i UT = 26 mV, rezult:


N 2 10 3

300
23 .
26 10 3

36

Acest numr este realizat suficient de precis prin rapoarte de rezistene. Astfel:
Ue = UBE2 + UR2 = UBE2 + NUT = const. (T)
adic tensiunea Ue este compensat termic (n realitate nu total).

5. Amplificator inversor cu AO. Schema, expresia amplificarii si conditia de minimizare a erorilor


statice. (3.1)
6. Amplificator neinversor cu AO. Schema, expresia amplificarii si conditia de minimizare a erorilor
statice. (3.1)
5.6. Proprietatile amplificatorului operaional ideal

n multe aplicaii A.O. se poate considera ideal, calculul circuitelor fiind atunci mult mai simplu.
Apropierea funcionrii amplificatoarelor reale de a celor ideale se datorete performanelor atinse n
fabricarea lor.
Amplificatoarele operaionale ideale au urmtoarele proprieti:
- amplificare de tensiune infinit,
- rezisten de intrare diferenial infinit,
- rezisten de ieire nul,
- curent de polarizare (intrare) nul,
- band de frecven foarte larg (astfel nct nu intervine n funcionarea circuitului),

- decalaje iniiale, derive, zgomot nule,


- factor de rejecie a semnalului comun infinit,
- factor de rejecie a variaiei tensiunilor de alimentare infinit.
Pe baza acestor proprieti se poate lucra cu AO folosind conceptele:
- curentul de intrare al AO ideal este nul,
- diferena de potenial dintre intrri este nul.
Calculele circuitelor folosind AO ideal sunt valabile att timp ct erorile AO real nu intervin semnificativ
n tensiunea de ieire. Deci acestea trebuie totui apreciate sau verificate i comparate cu semnalul util de la
intrare.
37

a) Amplificatorul inversor (fig.3.1).


Amplificarea cu reacie ideal a acestui circuit este:
Rr

I1

Rir

A ur

R1

U1
I1

Ue

0V
0V

RS

R2=R1Rr

U e I1R r
R

r
U1
I1R1
R1

i poate fi fcut de orice valoare. Rezistena de intrare Rir


vzut de sursa U1 este aproximativ egal cu R1 i este de
valoare relativ redus (n 10 K) din cauza reaciei negative de tip
paralel-paralel. Pentru a se lucra cu R1 de valoare mare trebuie
folosit un amplificator cu Ri foarte mare. Rezistena de ieire este
neglijabil datorit reaciei negative cu configuraie paralel la
ieire.

Fig. 3.1. Amplificator inversor cu AO

b) Amplificator neinversor (fig.3.2).


Amplificarea de tensiune cu reacie este:
A ur

Ue
Ue
R

1 r
R1
U2 U
R1
e
R1 R r

i poate fi doar supraunitar pentru acest circuit. Rezistena de intrare vzut de sursa U2 este foarte mare,
datorit reaciei negative de tipul paralel-serie. Totui ea este limitat la valoarea rezistenei de intrare pentru
semnal comun care a fost ignorat fa de rezistena de intrare diferenial pn acum. La amplificatoarele
uzuale rezistena de intrare pentru semnal comun are o valoare de ordinul n 10 M.
Pentru realizarea unei amplificri de tensiune subunitare se poate utiliza un divizor de tensiune la
intrarea + dar n acest caz rezistena de intrare coboar la o valoare obinuit (n 10K), (fig.3.3). Pentru acest
circuit se poate scrie tensiunea de ieire:
R
R3 Rr
1

U e U ' 1 r U 2
R 2 R 3 R1
R1

38

Rr

Rr

R1

R1
U2

Rir

U2

R2

U2

Ue

Rir

RS

U2

R2

=R R
Fig. 3.2. Amplificator neinversor cu AO

Ue

RS

R3

Fig. 3.3. Amplificator neinversor cu divizor

i acum amplificarea lui U2 poate fi fcut subunitar.


Rezistena de intrare devine ns relativ redus: Rir R2 +R3
Pentru dimensionarea divizorului se vor utiliza condiiile:
-

realizarea unei divizri impuse de relaia de mai sus;


realizarea unei erori minime prin egalitatea rezistenelor echivalente de la cele dou intrri.

7. Amplificator logarithmic realizat cu un singur AO. (3.2, pag. 91, 92)

7. Amplificatorul logaritmic.
Carcteristica volt-amperic exponenial a diodelor semiconductoare i a tranzistoarelor poate fi
utilizat pentru realizarea unor amplificatoare cu caracteristc de transfer ue = f(u1) logaritmic. Este vorba de
relaia:
i C I Co e

u BE
UT

sau u BE U T ln

iC
I Co

Folosirea tranzistoarelor n aceste amplificatoare este justificat de pstrarea caracterului exponenial al


relaiei iC-uBE ntr-o gam mai larg de variaie a curentului dect al relaiei iD-uD de la diode.

39

Schema de principiu a amplificatorului logaritmic este dat n fig.3.11, iar schema se completeaz n
uCE=uBE

iC
T
iC

R1

uBE

R1

0V

u1>0

0V

CC

u1

+
R1

ue=-uBE

<0

RP
(2k)

ue

R1

Fig. 3.11. Schema de principiu a unui

Fig. 3.12. Schem practic pentru un amplificator

amplificator
logaritmic
practic aa
cum se arat
n fig.3.12.

logaritmic simplu

Pentru amplificatorul din fig.3.11 avnd iC=u1/R1 se scrie:


u e u BE U T ln

iC
u
U T ln 1
ICo
R1ICo

i se constat c ue este proporional cu ln u1, adic se realizeaz o caracteristic de transfer logaritmic.


Practic, la schema de principiu se mai adaug cteva componente:
Cc pentru corecia caracteristicii de frecven (eliminarea oscilaiei de nalt frecven a
amplificatorului cu reacie negativ);
Rp pentru limitarea curentului de ieire al amplificatorului (n situaii incidentale) dar mai ales pentru
reducerea amplificrii de tensiune a tranzistorului T (Rp realizeaz o reacie negativ local);
D pentru protecia jonciunii emitoare a tranzistorului contra unei tensiuni inverse incidentale mari
(n mod normal este blocat).
Circuitul analizat mai sus prezint ns cteva dezavantaje importante:
-

dependena de temperatur a tensiunii de ieire prin mrimile UT i ICo;


domeniul de variaie restrns al tensiunii de ieire (cteva zecimi de V deoarece |ue|=|uBE|).

8. Prezentati amplificatorul de masura (clasic) cu 3 amplificatoare operationale. (3.4, pag.101,102)


8. Amplificatorul de masura (clasic) cu 3 amplificatoare operationale.
Totui, schema clasic de amplificator de instrumentaie este mai complicat dar ofer n schimb mai multe
faciliti (fig.3.23). Ea se poate realiza cu 3 AO distincte, din care primele dou trebuie s fie de precizie, sau se
poate gsi sub form de circuit integrat monolitic la care se ataeaz din exterior RA. Simetria circuitului de
intrare duce la o cretere a factorului CMRR global.
40

Etaj diferenial

U1

Ue1

A1

R2

fire

R1

R3

RA (ext)

A3

R1

pini

+
U2

R2

A2

Ue

R3

pin

fir

Sarcin

Ue2

pin

Etaj de intrare
Fig. 3.23. Amplificator de msur clasic

Relaia tensiunii de ieire se stabilete innd cont c amplificatorul realizat cu A3 este diferenial, iar
amplificatoarele cu A1 i A2 sunt neinversoare, fiecare utiliznd rezistena RA care impune amplificarea (i poate
fi deci programabil):
U e U e 2 U e1

R2

A3

R2
R
U U1
R A 2R 3 R 2
IR A 2R 3 2 2
R1
R1
RA
R1

2R 3 R 2

U 2 U1 1
A ur U 2 U1
R A R1

Reacie

Deci amplificatorul este diferenial i avnd la ambele


intrri rezisten foarte mare este un amplificator de
instrumentaie.

Sarcin
Echilibrare

Un astfel de amplificator monolitic prezint pini


+E
pentru intrrile i +, pini pentru conectarea unei
A4
rezistene RA (notai Amplificare), precum i un pin
+
numit Reacie i un pin numit Referin (marcai n
Referin
Repetor
-E fig.3.23). Acetia din urm permit eliminarea efectelor
nedorite ale firelor lungi spre sarcin (ambii pini se leag
Fig. 3.24. Realizarea echilibrrii la amplificatorul de msur
prin fire separate direct pe bornele sarcinii), iar pinul
Referin mai permite introducerea unui circuit de ehilibrare (fig.3.24). Se cunosc soluii speciale pentru
folosirea amplificatorului de instrumentaie cu fire lungi la intrare i (sau) ieire [3]. n cazul de fa, circuitul de
echilibrare, folosind un AO repetor, nu introduce rezisten n serie cu R2 la pinul Referin, deci nu produce
erori n amplificarea total.
R2

9. Prezentati redresorul de precizie monoalternanta inversor. (3.5, pag.104, 105)


9. Redresor de precizie monoalternanta inversor.
41

Exist, de asemenea, varianta de redresor de precizie monoalternan inversor (fig.3.28), care poate realiza i
o amplificare.
n semiperioada negativ tensiunea ueA>0 i D1 conduce, iar D2 este blocat. n acest caz se pot scrie
ecuaiile:
u1 = i1R1 + ui

(1)

u1 = -i1R2 + ui

(2)

ueA = -uiAu

(3)

ueA = ud1 + ue

(4)

Eliminnd i1, ueA i ui, rezult pentru semiperioada negativ a tensiunii u1:

ue

u1

R2
u
R
d1
u1 2 u d1
R1 u A u
R1

1
1
1
u A u

cu: u

R1
1
,
R1 R 2
u A u

(u = factorul de reacie de tensiune). Deoarece uAu>>1 rezult cu aproximaie:


u e u1

R2
R1

adic forma tensiunii de la ieire repet forma tensiunii de la intrare. Prin urmare se asigur precizia redresrii i se poate
realiza amplificarea dorit.

Dioda D1 are rolul de redresor dar tensiunea ud1 este mprit cu uAu>>1, i efectul acesteia, inclusiv
efectul termic, este neglijabil. Cu alte cuvinte, dioda D1 prezint o comportare ideal ce se datorete cuprinderii
ei n bucla de reacie.
Pentru semiperioada pozitiv a tensiunii u1 , tensiunea ueA<0 i dioda D1 este blocat. n lipsa diodei D2
ieirea amplificatorului ajunge la saturaie spre E i comutarea acesteia spre ueA>0 n semiperioada urmtoare
ar fi lent, D1 nu se deschide la timp provocnd deformarea tensiunii ue deci imprecizie, ca n fig.3.26. Prezena
diodei D2 asigur evitarea saturaiei ieirii amplificatorului (diod antisaturaie), meni-nnd pe ueA apropiat
de zero (- 0,6 V). Astfel, dioda D2 conduce curentul ce vine de la intrare. Tensiunea ui foarte mic produce prin
divizorul R2, RS o tensiune de ieire:
ue ui

RS
R 2 RS

care este neglijabil. Pe lng tensiunea ui redus, n semiperioada pozitiv a lui u1 conteaz la intrare i
decalajul iniial de tensiune (nu se face echilibrarea).

42

Forma tensiunii de ieire a redresorului monoalternan i caracteristica de transfer sunt date n


u1
ue
0

ue
-R2/R1
0

Fig. 3.29a. Formele de und la intrarea i ieirea


redresorului

u1

Fig. 3.29b. Caracteristica de transfer a

fig.3.29a i 3.29b.

Se pot redresa tensiuni mici de ordinul milivolilor. Amplificatoarele integrate cu etaj final n clas C (cu
zon moart n caracterisitca de transfer) nu sunt ns potrivite pentru redresoare de precizie de semnale mici
(exemplu 709, 324 etc.).
Rezistena de intrare a redresorului de precizie inversor este modest.
Dac se dorete obinerea unei
tensiuni
redresate
negative
se
inverseaz sensul celor dou diode.

R2

Cc
Pentru creterea frecvenei
R1
D2
tensiunii ce se redreseaz, cu menineD1
u1
ue
rea preciziei, s-au mai aplicat unele
Cc
soluii de mbuntire a compensrii
+
de frecven [3]. Astfel, tiind c n
RS
R1R2
timpul scurt de comutare diodele D1 i
pin de corecie
D2 nu conduc, se poate crete factorul
(intrare etaj II)
SR prin suspendarea coreciei. Cnd
Fig. 3.30. Redresor cu frecvena de lucru mrit
corecia e prin efect Miller, condensatorul de corecie nu se conecteaz direct la ieirea amplificatorului ci prin
diodele D1, respectiv D2 (fig.3.30). Cnd o diod conduce corecia acioneaz normal.

10. Precizati cateva tipuri de comparatoare, desenati-le caracteristica de transfer si explicati care
dintre acestea elimina riscul bascularilor multiple atunci cand tensiunea de intrare este insotita de
zgomote. (3.11)
10. Comparatoare.
Comparatoare simple (fr reacie)
43

Comparatoarele sunt circuite care indic, prin tensiunea de ieire, situaia relativ a dou tensiuni
aplicate la intrri (fig.3.74). Este vorba aici de un comparator pentru tensiuni cu acelai semn. De obicei una din
tensiuni este variabil iar cealalt este fix, reprezentnd cu aproximaie pragul comparatorului. Cnd
tensiunea variabil este U1 comparatorul este inversor, iar cnd tensiunea variabil este U2 comparatorul
este neinversor.
Caracteristica de transfer a acestor comparatoare este prezentat n fig.3.75a (pentru inversor) i b
(pentru neinversor).
U1
Pentru situaia U1 < U2 rezult la ieire Ue = Uemp nivelul logic
superior (pozitiv), iar pentru U1 > U2 rezult Ue = Uemn nivelul logic inferior
Ue
U2
(negativ de obicei, dac se alimenteaz AO cu dou surse). Se folosete
+
comparator inversor dac se dorete bascularea ieirii de la nivel superior
spre inferior, atunci cnd tensiunea de intrare cresctoare depete
tensiunea fix i comparator neinversor n caz contrar.
Fig. 3.74. Comparator simplu cu AO
Dac ns tensiunile U1 i U2 (sau una dintre ele) conin zgomote,
cnd tensiunea variabil ajunge n dreptul zonei de indecizie apare fenomenul de vibraie (oscilaie) a
tensiunii de la ieirea comparatorului (fig.3.76) care nseamn schimbarea de cteva ori, consecutiv, a deciziei
logice deci comenzi false (uneori suprtoare) pentru circuitele i dispozitivele conectate la ieire. Acesta este
dezavantajul major al comparatorului simplu din fig.3.73; tensiunile ce se compar trebuie s fie foarte
Ue

Ue

Uemp

Uemp

pant Au

U1 (prag)

U2 (prag)
0

U1

Uemn

U2

pant Au

Uemn

Ui

Ui

Fig. 3.75. Caracteristicile de transfer pentru comparatorul simplu inversor (a) i neinversor (b)

curate pentru evitarea vibraiilor.


Comparatoare cu reacie pozitiv (cu histerezis)
Pentru eliminarea fenomenului de vibraie a tensiunii de ieire a comparatorului, cnd tensiunile U1 i
U2 (sau una dintre ele) conin zgomote, se utilizeaz o reacie pozitiv (fig.3.79). Prin aceasta apare n
caracteristica de transfer un histerezis (fig.3.80), care este mult mai lat dect zona de indecizie de la
comparatorul fr reacie. Aceasta conduce la o eroare de comparare sensibil mai mare, dar n schimb decizia
logic este ferm.
R1R2
U1
R2

U2

Ue

Rr>>R2
44

Fig. 3.79. Comparator cu reacie pozitiv

Uemp

Ue

Ue
t2

U2
0

U1

t1

Uemn
U2

U1

t1

U1med
t2

0,1mV

i n acest caz ntlnim comparator inversor i neinversor, dup intrarea la care este aplicat
tensiunea variabil.
a) Comparatorul inversor
Acest comparator se folosete atunci cnd se dorete bascularea ieirii de la nivel superior spre inferior,
dac tensiunea de intrare cresctoare depete tensiunea fix. Caracteristica de transfer a acestui comparator
este prezentat n fig.3.80.
Pentru explicarea funcionrii comparatorului se consider iniial c U1 < 0 i de valoare absolut mare
(punctul A de pe caracteristica de transfer), iar U2 > 0. Atunci U2 >> U1 i la ieire se obine nivelul Uemp. Pe
divizorul Rr R2 rezult la intrarea + o tensiune, notat cu U1' , care ndeplinete inegalitatea U1' > U2. Dac
A

Uemp

tensiunea U1 crete

Ue

Uemn

Uemp

U1

0
U2

Uemn

R2
R2+Rr

UH

R2
R2+Rr

U2 U1

R2
R2+Rr

U1
C

Fig. 3.80. Caracteristica de transfer a


comparatorului inversor

45

i atinge valoarea U1' (punctul B pe caracteristic) intervine bascularea comparatorului care are loc din cauza
situaiei tensiunilor existente direct la intrrile + i . Datorit reaciei pozitive realizat prin Rr , bascularea se
accelereaz pentru c diferena dintre tensiunile de la intrrile + i se mrete rapid prin scderea tensiunii
Ue ncepnd din punctul B. Astfel, trecerea la nivelul Uemn are loc pentru o variaie foarte mic a tensiunii U1 i
n caracteristica de transfer apare o ramur practic vertical.
Creterea n continuare a tensiunii variabile U1 conduce la atingerea unui punct C pe caracterisitic.
Acum, pe divizorul Rr R2 apare la intrarea + o tensi-une notat cu U1'' i de valoare U1'' < U2 (fig.3.80). Dac n
continuare U1 scade, bascularea spre nivelul logic superior ncepe la atingerea valorii U1'' - punctul D - i are loc
la fel de brusc ca i prima basculare, datorit accenturii diferenei tensiunilor de la intrri prin reacie pozitiv.
Nivelurile U1' i U1'' , la care apar basculrile se numesc pragurile comparatorului. Ele se pot calcula innd
cont de cele dou situaii ale tensiunilor pe divizorul Rr R2 (fig.3.81) la momentul nceperii basculrii.
Eroarea de comparare n acest caz este determinat n primul rnd de distanele dintre praguri i
tensiunea fix U2 i se consider cea mai mare dintre cele dou distane.
(dac acestea nu sunt egale ntre ele). Limea zonei de histerezis este stabilit de utilizator, ntruct ea
trebuie s depeasc amplitudinea vrf-la-vrf a zgomotelor nsumate ale tensiunilor ce compar, U1 i U2 ,
(fig.3.82). n acest fel nu mai apar vibraiile ieirii comparatorului. n concluzie, se adopt:
U H 1,2 U zg.v.v.

pentru a avea sigurana c la traversarea zonei de histerezis nici un vrf negativ al zgomotelor nsumate nu va
duce la coborrea tensiunii U1 dup momentul t1 pn sub pragul U1'' . Bascularea va fi ferm i are loc n
momentul t1 al atingerii pentru prima dat a pragului U1' dac UH este bine adoptat. Desigur, n prealabil se
va face tot posibilul ca zgomotele suprapuse peste cele dou tensiuni s fie ct mai reduse, spre a se putea
lucra cu UH mic.

46

Ue

Ue

Uemp

UH

U1
0

U2

U1

t1

U1

Uemn
0

U2
U1

t1
U1med
t
Fig. 3.82. Comportarea comparatorului cu histerezis de tip inversor

47

CIRCUITE INTEGRATE DIGITALE

Anul II

48

1. Prezentai funcionarea unui decodificator pe post de demultiplexor

Utilizarea DCD 74HC(T)138 pe post de DMUX se poate face n urmtoarele moduri:


- dac intrarea de date (Di) este o intrare de validare activ pe 0 (G2A sau G2B) i codul de selecie este
A = 1, B=1, i C = 0, datele prezente la intrarea de date se vor regsi la ieirea Y3. Pentru Di = 0, circuitul
este validat corect i ieirea selectat este Y3 = 0 (figura 1). Pentru Di = 1, circuitul nu este validat i ieirea
selectat este Y3 = 1 (figura 2). Astfel datele prezente la intrarea de date se regsesc nemodificate la ieirea
selectat.
- dac intrarea de date (Di) este o intrare de validare activ pe 1 (G1) i codul de selecie este A = 0,
B=1, i C = 1, datele prezente la intrarea de date se vor regsi la ieirea Y6. Pentru Di = 1, circuitul este
validat corect i ieirea selectat este Y3 = 0 (figura 3). Pentru Di = 0, circuitul nu este validat i ieirea
selectat este Y3 = 1 (figura 4). Astfel datele prezente la intrarea de date se regsesc negate la ieirea
selectat.

Di

1
0
0
1
1
0

Figura 1;

74HC138

G1
G2A
G2B
A
B
C

Y0
Y1
Y2
Y3
Y4
Y5
Y6
Y7

1
1
1
0
1
1
1
1

Di

1
1
0
1
1
0

Figura 2;

74HC138

G1
G2A
G2B
A
B
C

Y0
Y1
Y2
Y3
Y4
Y5
Y6
Y7

1
1
1
1
1
1
1
1

Di 1
0
0
0
1
1

Figura 3;

74HC138

G1
G2A
G2B
A
B
C

Y0
Y1
Y2
Y3
Y4
Y5
Y6
Y7

1
1
1
1
1
1
0
1

Di 0
0
0
0
1
1

74HC138

G1
G2A
G2B
A
B
C

Y0
Y1
Y2
Y3
Y4
Y5
Y6
Y7

1
1
1
1
1
1
1
1

Figura 4.

Concluzie: Nu se fabric DMUX. Pe post de DMUX se poate folosi orice DCD care are o intrare de
validare. Dac aceasta este activ pe 0 se obine un DMUX neinversor iar dac este activ pe 1 se obine un
DMUX inversor.
49

2. Desenai reprezentarea simbolic a unui bistabil de tip D care comut pe frontul cresctor al impulsului de
tact, tabelului lui de funcionare i formele de und aferente
Unul dintre cele mai simple bistabile care se produce sub form integrat este bistabilul de tip D, activ
pe frontul cresctor al impulsului de tact aplicat la intrarea CK (figura 5).

Figura 5. Bistabilul D care comut pe frontul cresctor al tactului.


Informaia aflat la intrarea D este transferat la ieirea Q pe frontul cresctor al tactului (conform
tabelului 1). Dac semnalul CK este pe palier (durata ct are valoarea 1 sau 0), semnalul aplicat la intrarea
D nu influeneaz ieirea.
Tabelul 1
D
Q
0

Pe lng intrarea D, circuitul poate avea i dou intrri asincrone prioritare /S i /R. Funcionarea se
bazeaz pe tabelul 2 cu observaia c dac ambele intrri prioritare sunt inactive circuitul funcioneaz sincron
conform tabelului 1.
Tabelul 2
/S /R Q
/Q
0

Funcionare
tabelului 1
1

sincron

conform

Stare interzis

CK
t

t
Q
t

/Q

50

3. Desenai reprezentarea simbolic a unui bistabil de tip T care comut pe frontul descresctor al impulsului
de tact, tabelului lui de funcionare i formele de und aferente
Bistabilul T se obine numai din CBB JK-MS prin conectarea mpreun a intrrilor J i K (CBB JK-MS este
forat s funcioneze doar n situaiile J = K = 0 i J = K = 1).

Tabelul de funcionare:

Obs:
Dac T este permanent 1,

Qn1 Qn ,

bistabilul basculeaz la fiecare impuls de tact.


4. Descriei modalitile de realizare a conversiei serie-paralel,
respectiv paralel-serie a datelor
Conversia serie-paralel necesit utilizarea unui registru SIPO; ea se face n n tacte corespunztoare
celor n bii ai cuvntului binar.

Funcionare:
Se terge coninutul registrului punnd intrarea /CLR la 0 (cu toate c principial nu este necesar
iniializarea coninutului registrului, deoarece el se va suprascrie oricum dup n impulsuri de tact).
Considernd un registru SIPO de 8 bii, secvena de nscriere a informaiei este D7, D6 ,..., D0 fiind
necesare 8 impulsuri de tact pentru ca bitul D7 (cel mai semnificativ) s ajung la ieire pe poziia corect Q7.
n acest moment cuvntul este nscris n totalitate n registru i poate fi citit paralel.
Ritmul n care sunt adui biii la intrarea serial SIN trebuie s fie corelat cu secvena de aplicare a
impulsurilor de tact. Registrul comut pe frontul cresctor al tactului (chiar dac bistabilele comut pe frontul
descresctor). Secvena care se convertete este 10101101.
CK
Di7

Di6

Di5

Di4

Di3

Di2

Di1

Di0

SIN

51

Obs.: Fiecare ieire Qi poate fi folosit ca ieire serial (circuitul se poate folosi ca SISO1, ... SISO8).
Conversia paralel-serie necesit utilizarea unui registru PISO. Conversia se face n n tacte
corespunztoare celor n bii ai cuvntului binar.
Pentru nscrierea paralel a datelor Di7, ..., Di0 se pune intrarea SH//LD = 0 i se aplic un impuls de
tact (nscrierea propriu-zis se face pe frontul cresctor al semnalului de tact). Pentru citirea serial a datelor (a
cuvntului de n bii) se pune intrarea SH//LD = 1 i se aplic n-1 impulsuri de tact.

ntreaga operaie de conversie necesit n perioade de tact, prima fiind destinat pentru ncrcarea
paralel, iar restul pentru citirea serial.
5. Descriei, pe scurt, principalele de realizare a memoriilor temporare FIFO i LIFO
Memoriile temporare sunt organizate pe n cuvinte binare de cte b bii compuse din b registre de
deplasare seriale SISO de cte n bii fiecare.
Memoria FIFO (First In First Out) se realizeaz cu ajutorul unor registre SISO care permit deplasarea
ntr-un singur sens (spre dreapta).
nscrierea cuvintelor binare de b bii n memorie se face n paralel pe cele b intrri seriale prin aplicarea
a cte unui impuls de tact i deplasarea acestora spre dreapta.
Memorie este plin atunci cnd s-au nscris toate cele n cuvinte binare. Dup umplerea complet a
memoriei, primul cuvnt citit (paralel pe cele b ieiri seriale) este primul cuvnt nscris n memorie.
n procesul de citire, informaia se deplaseaz n continuare spre dreapta cu fiecare impuls de tact
aplicat. Prin citire, informaia se pierde!
Acest tip de memorie poate fi utilizat la gestionarea adreselor altor memorii pe durata ntreruperilor
unui sistem cu microprocesor.

52

Memoria temporar LIFO (Last In First Out) necesit registre SISO care pot deplasa informaia n
ambele sensuri (o intrare R / L - Right//Left - specific sensul deplasrii).
nscrierea cuvintelor se face ca la memoria FIFO, prin deplasarea spre dreapta a datelor ( R / L 1 ) iar
citirea se face prin deplasarea acestora spre stnga ( R / L 0 ).
Astfel ultimul cuvnt nscris va fi primul citit.
Memoria LIFO se utilizeaz ca memorie stiv n sistemele cu microprocesoare.
6. Desenai schema unui numrtor asincron binar, pe 4 bii, explicai funcionarea sa,
i trasai formele de und aferente
Un numrtor asincron binar, pe 4 bii, este format din 4 bistabile de tip T (provenite din JK-MS) cu T
permanent pe 1. Impulsurile de tact se aplic doar primului bistabil. Urmtoarele bistabile au ca semnal de
tact ieirea Q a bistabilului anterior (MR Master Reset este o denumire sinonim cu R - Reset sau CLR).

fCLK
fCLK/2
fCLK/4
fCLK/8
fCLK/16

53

Obs:
1). Numrtorul numr n sens cresctor (direct) adic cu fiecare impuls de CK aplicat, valoarea
numrtorului crete cu o unitate.
2). Numrtorul este modulo 16 (are 4 bistabile), al 16-lea impuls de tact ncheie ciclul, el aducnd
numrtorul pe zero. Cel de-al 17-lea tact global este primul impuls de tact din cel de-al doilea ciclu.
3). La un moment dat, codul binar obinut citind ieirile corespunde cu numrul de impulsuri de tact
aplicate n ciclul respectiv (citind ieirile dup 11 tacte rezult Q3Q2Q1Q0 = 1011 care corespunde cu numrul 11
codat binar). Aceasta este practic funcia de numrare.
4). Bistabilele funcioneaz ca divizoare de frecven cu 2. Ieirea Q0 divizeaz cu 2 frecvena tactului,
Q1 divizeaz cu 2 frecvena semnalului Q0 i cu 4 frecvena tactului, etc.
5). Pentru extinderea capacitii de numrare se pot conecta mai multe numrtoare n cascad prin
conectarea ieirii Q3 la intrarea de tact a urmtorului numrtor.
7. Desenai schema unui numrtor sincron binar, pe 4 bii, explicai funcionarea sa, i trasai formele de
und aferente
Numrtoare sincrone sunt numrtoare la care impulsul de tact se aplic simultan tuturor bistabilelor
(de tip T) permind, astfel funcionarea la frecvene de tact mult mai mari (tipic 35MHz).
n cadrul unui ciclu de numrare, la trecerea dintr-o stare n alta, unele bistabile trebuie s comute,
altele nu. nseamn c numrtoarele trebuie realizate cu bistabile de tip T care au intrarea T accesibil pentru
a permite ca, naintea aplicrii urmtorului impuls de tact, intrarea T a bistabilului ce trebuie s comute s fie
conectat la 1 iar intrarea T a bistabilului ce nu trebuie s comute s fie conectat la 0.
Apare, astfel, necesitatea utilizrii unor circuite logice pentru generarea valorilor T ce corespund celor n
bistabile folosite pentru ca funcionarea numrtorului s decurg n conformitate cu tabelul de funcionare
dorit.
Din tabel se deduc urmtoarele:

bistabilul

T0 1

Q0

trebuie s basculeze la fiecare impuls de tact, deci

bistabilul Q1 basculeaz numai dac naintea aplicrii tactului

Q0 1 deci T1 Q0 ;

Q
bistabilul Q2 basculeaz numai dac naintea aplicrii tactului 0
T Q0 Q1 Q1 T1 .
i Q1 sunt pe 1 adic: 2
54

bistabilul
deci

Q3

basculeaz numai dac naintea aplicrii impulsului de tact

Q0 Q1 Q2
,
i
sunt pe 1

T3 Q0 Q1 Q2 Q2 T2 .

n general se poate scrie:

Tn 1 Q0 Q1 ... Qn2 Tn2 Qn2 .

n funcie de modul de scriere al valorilor T se disting dou metode de generare a acestora:

serial dac valoarea curent a lui T se obine din cea anterioar:

T2 T1 Q1

T3 T2 Q2 .

Schema numrtorului sincron obinut prin metoda serial:

Durata minim a impulsului de tact este:

TCLK min t PCLK Q n 2 t PSI t

Dezavantaj:

- tp mai mare dect n cazul generrii paralele a valorilor T.

Avantaj:

- se utilizeaz numai pori I cu dou intrri.

paralel dac valorile lui T se obin direct din valorile lui Q:

T2 Q0 Q1  i

T3 Q0 Q1 Q2

Schema numrtorului sincron obinut prin metoda paralel:

55

n cazul generrii paralele a valorilor T durata minim a impulsurilor de tact este:

TCLK min t PCLK Q t PSI t

Se observ c tp este mai mic ceea ce conduce la o frecvena de tact mai ridicat. Din acest motiv
aceasta este varianta preferat la realizarea numrtoarelor sincrone integrate.

Semnalul Carry (semnalul de transport) se genereaz din semnalele Q0, Q1, Q2, i Q3.

Cy Q0 Q1 Q2 Q3 i se aplic intrrii T a numrtorului (bistabilului) urmtor n cazul extinderii capacitii


de numrare (cascadarea numrtoarelor).
8. Prezentai, sumar, principalele metode de obinere
a divizoarelor de frecven programabile
Divizoarele de frecven programabile sunt divizoare de frecven la care raportul de divizare se poate
modifica de la un ciclu de divizare la urmtorul.

Varianta 1 cu numrare n sens invers i ncrcare paralel.


Este cea mai utilizat metod de obinere a unui divizor programabil. Se bazeaz pe utilizarea unui
numrtor reversibil cu posibilitatea de a fi ncrcat paralel. Numrul cu care se realizeaz divizarea (k) se
aduce la intrrile paralel i se ncarc n numrtor prin activarea liniei /LD. Numrtorul este decrementat cu
frecvena fCLK aplicat la intrarea Count Down (Dn) pn cnd el ajunge n starea 0000. n acel moment ieirea
Borrow (/Bo) trece pe 0, activeaz intrarea /LD, i iniiaz o nou ncrcare a numrtorului cu numrul k.
Deoarece bistabilele din componena numrtorului nu au acelai timp de ncrcare i, astfel apare
riscul unei ncrcri incomplete, este necesar intercalarea unui bistabil SR de memorare a impulsului de
ncrcare (la fel ca la numrtoarele modulo p).
Astfel, la ieirea /Q a acestuia se obine semnalul fCLK/k.

fCLK/k

74HCT193

X2

CLR
LD
fCLK

Dn Bo
Up Cy
A
B
C
D

X1

Q0
Q1
Q2
Q3

56

Varianta 2 cu numrare n sens direct i comparator.


Metoda utilizeaz un numrtor asincron (4040) i dou comparatoare pe 4 bii (74LS85) care specific
raportul de divizare k. Numrtorul numr n sens direct, de la 0 pn la valoarea k prestabilit de
comutatoarele [KPD1 i KPD2]. n acel moment comparatoarele sesizeaz egalitatea i activeaz semnalul de
tergere /MR. Schema prezentat este pe 8 bii.

Pentru obinerea unui divizor de frecven pe 12 bii sunt necesare un numrtor i un comparator pe
12 bii.
Schema prezentat este una care funcioneaz foarte bine n regim de simulare digital, dar nu n
realitate deoarece folosete circuite CMOS i TTL LS n acelai montaj. Pentru a rezolva acest neajuns, cel mai
bine este s se foloseasc variantele HC sau HCT ale circuitelor prezentate: 74HCT4040 i 74HCT85, caz n care
schema nu va mai prezenta nici un neajuns.
Varianta 3 combinat, cu posibilitatea numrrii n ambele sensuri.
Este cea mai versatil metod. Se bazeaz pe folosirea numrtoarelor 4029 la care intrarea de
ncrcare este comandat de o poart SAU-NU cu un numr de intrri egal cu numrul de circuite 4029
utilizate.
Circuitul ofer:

numrare n sens cresctor, de la numrul prestabilit k la 255 (dac U / D 1 );

numrare n sens descresctor, de la p la 0 (dac U / D 0 );

numrare binar (dac B / D 1 );

numrare zecimal (dac B / D 0 ).


57

9. Enumerai principalele avantaje i dezavantaje ale memoriilor SRAM


n comparaie cu memoriile DRAM

Memoriile RAM se clasific n:

RAM statice (SRAM Static Random Access Memory) la care celula elementar de memorare este
un latch D realizat n tehnologie bipolar sau unipolar;

RAM dinamice (DRAM Dynamic Random Access Memory) - celula elementar este o capacitate;
sunt realizate numai n tehnologie unipolar NMOS sau CMOS.

Memoria SRAM pstreaz datele pentru o perioad de timp nelimitat, pn n momentul n care ea
este rescris. n schimb, memoria DRAM necesit rescrierea permanent, la cteva fraciuni de secund, altfel
informaiile fiind pierdute.
Avantajele memoriei SRAM: utilitatea crescut datorit modului de funcionare i viteza foarte mare
(raportul de timp de acces SRAM/DRAM = 8-16).
Dezavantajele memoriei SRAM: densitatea de integrare mai redus i preul mult mai mare dect al
memoriei DRAM (de obicei raportul de capacitate DRAM/SRAM = 4-8 iar raportul de cost SRAM/DRAM = 8-16).
Aplicaiile de baz ale memoriilor RAM se regsesc la PC-urile. Memoria SRAM este folosit cel mai
adesea ca memorie intermediar/cache, pe cnd DRAM-ul este utilizat ca memorie principal a oricrui sistem.
10. Explicai, pe scurt, funcionarea unei memorii DRAM
58

(citire, scriere, remprosptare)


Citirea informaiei memorate ntr-o memorie DRAM
La liniile de adres se aduce adresa de linie A0A7. Dup ce aceasta s-a stabilizat se activeaz linia /RAS
pentru ncrcarea adresei de linie n registrul din circuitul de comand. n continuare adresa se decodific, se
selecteaz linia i coninutul tuturor celulelor de memorare aferente liniei se scrie n registrul de linii.
Apoi se aduce la intrare adresa de coloane A8A15. Dup ce aceasta s-a stabilizat se activeaz semnalul
/CAS. Pe frontul descresctor al /CAS se investigheaz linia /WE. Aceasta trebuie s fie pe 1 deoarece se
execut o operaie de citire. Tot pe frontul descresctor al semnalului /CAS se memoreaz adresa coloanei
A8A15 n registrul corespunztor din circuitul de comand. Cu ajutorul lor i al MUX-ului, se selecteaz una
dintre cele 256 de coloane ale liniei memorate n registrul de linii, i coninutul celulei selectate se transmite,
prin buffer (aflat n stare normal), spre ieire Dout.
n continuare se dezactiveaz /RAS-ul (coninutul registrului de linii se renscrie n matricea de
memorare) apoi se dezactiveaz i /CAS-ul i linia Dout trece pe Z.

Citirea informaiei

Scrierea informaiei

Scrierea informaiei n memorie


Furnizarea adresei locaiei de memorare n care urmeaz s se scrie informaia se face la fel ca la
operaia de citire.
Deosebirile apar pe frontul descresctor al /CAS cnd n urma investigrii se gsete linia /WE pe 0.
Acest fapt nseamn c urmeaz o operaie de scriere i, tot n acel moment datele care urmeaz a fi scrise
trebuie s fie prezente pe linia Din. n continuare se memoreaz adresa coloanei A8A15 n registrul
corespunztor din circuitul de comand. Cu ajutorul lor i al DMUX-ului, se selecteaz una dintre cele 256 de
coloane ale liniei memorate n registrul de linii, i informaia de pe Din se memoreaz n aceast celul.
n continuare se dezactiveaz /RAS-ul (coninutul registrului de linii se renscrie n matricea de
memorare) apoi se dezactiveaz i /CAS-ul.
59

Remprosptarea informaiei memorate


Se folosete un numrtor pe 8 bii, cu funcionare continu care genereaz adresele celor 256 de linii.
Pe frontal descresctor al semnalului /RAS se selecteaz o linia ce corespunde adresei. Coninutul fiecrei
celule ale acestei linii se nscrie n registru de linii. Pe frontul cresctor al semnalului /RAS se renscrie
informaia din registru de linii, regenerat n celulele corespunztoare.
n continuare se trece la urmtoarea adres i se remprospteaz informaiile din celulele liniei
urmtoare.

60

Sisteme de prelucrare numeric cu procesoare


Anul II

61

1.Structura general a unui sistem de prelucrare numeric cu procesor (SPN)


[1], pag. 11
n acest capitol sunt prezentate principii generale privind structura i funcionarea
unui sistem de prelucrare numeric cu procesor (SPN).
Structura general a unui SPN este prezentat n figura 1.1. Unitatea central de
prelucrare (UCP), este cea mai important component a unui astfel de sistem. Principala
funcie a UCP este de a executa un program reprezentat printr-o secven de instruciuni.
Programul este ncrcat n prealabil n memorie, mai concret n memoria program.
Execuia programului implic existena unor date care urmeaz s fie prelucrate. Acestea
se gsesc fie n memoria de date, fie sunt preluate de la periferice. Execuia programului
se concretizeaz prin generarea unor date care pot fi stocate n memoria de date sau pot
comanda perifericele. Perifericele asigur schimbul de informaii cu exteriorul. n cea
mai simpl form perifericele sunt reprezentate de porturile de intrare-ieire (intrri-ieiri
numerice). Alte exemple de periferice sunt: convertoarele analog-numerice (intrri
analogice), convertoarele numeric-analogice (ieiri analogice), interfeele (porturile)
seriale, temporizatoarele.
Un procesor (microprocesor), care este specific calculatoarelor personale, conine
doar UCP, relativ la figura 1.1. Acesta are o mare putere de calcul deoarece trebuie s
execute mai multe aplicaii n acelai timp. De aceea, memoria i perifericele sunt
externe, fiind poziionate n interiorul carcasei calculatorului. Un microcontroler, la fel ca
un procesor de semnal, conine toate cele 3 elemente componente din figura 1.1 integrate
n aceeai capsul. Aceste dou dispozitive sunt folosite pentru aplicaii dedicate.
Diferena ntre cele dou este c procesorul de semnal este optimizat din punct de vedere
al instruciunilor pentru a face prelucrri de semnal (filtrri numerice sau transformri
Fourier rapide) n timp ce un microcontroler are integrate o gam mai larg de periferice,
n special intrri-ieiri numerice.

UCP

MEMORIE

PERIFERICE

Fig. 1.1 Structura general a unui SPN.

2. S se precizeze funciile registrelor PC (Program Counter) i, respectiv, SR (Status


Register) pentru un procesor.
[1], pag. 13, 15, 34-35.
Registrul PC (Program Counter) indic adresa din memorie a instruciunii care
urmeaz s fie executat (adresa primului octet al instruciunii). Rezult c dup fiecare
instruciune registrul PC i mrete coninutul cu numrul de octei ai codului main ai
instruciunii respective. Acest lucru este valabil cnd execuia programului este liniar,
adic nu exist ramificaii n program. O ramificaie nseamn c urmtoarea instruciune
executat nu este cea de la adresa care urmeaz dup ultimul octet al instruciunii curente,
ci una situat la o adres mai mare sau mai mic. Exist trei posibiliti de ramificaii:
instrucinui de salt, apeluri de subrutine sau rspunsuri la cereri de ntrerupere. n aceste
situaii, registrul PC va fi ncrcat cu adresa instruciunii unde se va face saltul. Aceast
adres este cu un numr de uniti mai mare sau mai mic dect coninutul registrului PC
nainte de salt.
Registrul SR (Status Register) conine la majoritatea dispozitivelor biii indicatori
care sunt modificai n urma execuiei unei instruciuni aritmetice sau logice: C (Carry),
V (Overflow), N (Negative), Z (Zero).
Bitul indicator de transport C (Carry bit). Acest bit este poziionat la nivel logic 1 dac
rezultatul unei operaii aritmetice a produs un transport i este poziionat la nivel logic 0
dac nu a avut loc un transport.
Bitul indicator de depire V (Overflow bit). Acest bit se poziioneaz la nivel logic 1
dac rezultatul unei operaii aritmetice depete domeniul de valori corespunztor
reprezentrii n cod complementul lui doi.
Bitul indicator de semn N (Negative bit). Acest bit se poziioneaz la nivel logic 1 dac
rezultatul unei operaii este un numr negativ i la nivel logic 0 daca rezultatul operaiei
este un numr pozitiv.
Bitul indicator de zero Z (Zero bit). Acest bit se poziioneaz la nivel logic 1 n urma
execuiei unei instruciuni al crei rezultat este zero i este poziionat la nivel logic 0 dac
rezultatul este diferit de zero.
De asemenea, registrul SR conine i bitul care permite validarea ntreruperilor
mascabile, notat de obicei GIE (General Interrupt Enable). n afar de aceti bii,
registrul SR mai poate conine i ali bii.

3. S se precizeze funcia registrului SP (Stack Pointer) i a memoriei stiv pentru un


procesor.
[1], pag. 14, 15.
Funcia registrului SP este n strns legtur cu registrul PC. Apelul unei
subrutine sau rspunsul la o cerere de ntrerupere nseamn un salt la o adres unde este
plasat subrutina (subrutina de ntrerupere).
Astfel, n figura 1.3 instruciunea CALL S_1, aflat la adresa ADR1 apeleaz
subrutina S_1, aflat la adresa AS_1. La ncheierea subrutinei (instruciunea RET)
programul trebuie s se rentoarc la instruciunea care urmeaz dup cea care a fcut
apelul, adic instruciunea Instr. 1, aflat la adresa ADR1+n (n reprezint numrul de
octei ai instruciunii Instr.1). Pentru a fi posibil acest lucru, registrul PC trebuie ncrcat
cu adresa ADR1+n. Aceast adres a fost disponibil n registrul PC dup extragerea
codului main al instruciunii CALL S_1, nainte de decodificarea i execuia acestei
instruciuni. De aceea, execuia instruciunii CALL S_1 nseamn mai nti salvarea
registrului PC i abia apoi ncrcarea lui cu adresa de salt (AS_1, n acest caz). Zona de
memorie unde se realizeaz salvarea se numete stiv.
De obicei salvarea n stiv se face la adrese descresctoare. n acest sens, registrul SP
(Stack Pointer, indicator al vrfului stivei) este decrementat cu 1 pentru fiecare octet
salvat n stiv. Coninutul acestui registru indic adresa ultimului octet salvat.
Se presupune c nainte de execuia instruciunii CALL S_1 coninutul registrului
SP era 4000h. Deoarece fiecare adres salvat n stiv conine 2 octei , nseamn c
registrul SP a fost decrementat de 4 ori, adic conine valoarea 3FFCh. La execuia
instruciunii RET din subrutina S_2, registrul PC se ncarc cu coninutul stivei de la
adresele SP i SP+1 (adic 3FFCh i 3FFDh), iar registrul SP se incrementeaz cu 2
uniti. La execuia instruciunii RET din subrutina S_1 registrul PC se ncarc de
asemenea cu coninutul stivei de la adresele SP i SP+1 (3FFEh i 3FFFh n acest caz),
dup care registrul SP se incrementeaz din nou cu 2 uniti. Rezult c numerele
existente n stiv se citesc n ordinea invers celei n care au fost salvate, de unde
denumirea de stiv sau memorie LIFO (Last In First Out).
PROGRAM APELANT
ADRESE INSTRUCIUNI
ADR1
ADR1+n

..
CALL S_1
Instr. 1

SUBRUTINA S_1
ADRESE INSTRUCIUNI

SUBRUTINA S_2
ADRESE INSTRUCIUNI

AS_1

AS_2

ADR2
ADR2+n

.
CALL S_2
Instr.2
..
RET

Fig. 1.3 Apelul unei subrutine.

RET

4. Funcionarea unui microcontroler la acceptarea unei cereri de nrerupere


[1], pag. 27.
n principiu, funcionarea unui microntroler la acceptarea unei cereri de
ntrerupere se face conform figurii 1.13. Instruciunea n curs de execuie n momentul
primirii cererii de ntrerupere se afl n PROGRAMUL PRINCIPAL la adresa ADR1. Ea
este executat complet, dup care se face automat saltul pentru execuia SUBRUTINEI
DE NTRERUPERE. Dup ncheierea subrutinei de ntrerupere, execuia programului
continu de la adresa ADR1+n (n reprezint numrul de octei ai instruciunii de la adresa
ADR1). Pentru a fi posibil acest lucru, adresa ADR1+n, care este coninut n registrul PC
dup extragerea instruciunii de la adresa ADR1, este automat salvat n stiv. Apoi,
registrul PC este ncrcat (tot automat!) cu adresa subrutinei de ntrerupere (numit i
vector de ntrerupere, interrupt vector) corespunztoare sursei i. Efect: ncepe execuia
subrutinei de ntrerupere. De remarcat c naintea execuiei subrutinei de ntrerupere, o
parte dintre registrele microcontrolerului, printre care registrul SR, sunt salvate n stiv.
Execuia subrutinei de ntrerupere se ncheie cu o instruciune de tip RETI (return from
interrupt) care implic refacerea registrelor salvate n stiv, inclusiv a registrului Program
Counter, avnd ca efect continuarea execuiei de la adresa ADR1+n.
PROGRAM PRINCIPAL

SUBRUTIN DE NTRERUPERE

ADRESE

INSTRUCIUNI

ADRESE
INSTRUCIUNI

ADR1

.........

ADR1+n

.........

ntrerup. surs i

Adr. subr. surs i

........
.........
RETI

Fig. 1.13. Funcionarea unui microntroler la acceptarea unei cereri de ntrerupere.

5. S se prezinte principial funciile de ieire i de intrare ale unui pin al unui


microcontroler (Digital I/O).
[1] pag. 16,17.
n figura 1.6 se prezint principial funciile de ieire i de intrare ale unui pin.
Astfel, pentru un pin avnd funcia de ieire, informaia binar (un bit cu valoarea
0 sau 1 logic) este transmis din microcontroler la pin, regsindu-se sub forma unei
tensiuni (0 logic-0V, 1 logic-tensiunea de alimentare pozitiv +Vcc). Tensiunea respectiv
poate fi msurat cu un voltmetru.

Pentru un pin avnd funcia de intrare, informaia binar aplicat la pin sub forma unei
tensiuni de la o surs (0 logic-0V sau mas, 1 logic-tensiunea de alimentare pozitiv
+Vcc) este transmis n microcontroler, regsindu-se n valoarea unui bit (0 sau 1 logic).
Rezumnd, cele dou operaii pot fi prezentate sintetic astfel:
Ieire: bit (scris prin program)tensiune la pin (msurat cu un voltmetru).
Intrare: tensiune la pin (aplicat de la o surs)bit (citit prin program).
Microcontroler
bit 0/1

+VCC

Microcontroler
pin

bit 0/1

pin

ieire

intrare
Fig. 1.6 Funciile de ieire i, respectiv, de intrare ale unui pin.

6. Care este rolul magistralei de adrese (MA) ntr-un sistem de prelucrare numeric cu
procesor? Dac MA are 16 linii, care este dimensiunea spaiului memorie adresat?
Determinai intervalul de adresare corespunztor unei capaciti de memorie de 8 Koctei
care ncepe la adresa 2500h.
[2], slide nr. 7, 8.
Magistrala de adrese selecteaz locaia de memorie care urmeaz s fie scris sau
citit. Pentru o magistral de adrese de 16 bii, dimensiunea spaiului de memorie adresat
este de 2161B= 26210B=64 KB.
Intervale de adresare
Capacitate de memorie
0000h00FFh
256 octei
0000h00FFh
256 octei

0000h03FFh
1024 octei =1 koctet

0000h0FFFh
4 koctei

0000h3FFFh
16 koctei
Din tabel se deduce c la 8 koctei corespunde intervalul 0000-1FFFh. Pentru obinerea
intevalului cerut, se translateaz capetele intervalului 0000-1FFFh cu 2500h, adic se
obine intervalul 2500h-44FFh.

7. Descriei funcia de temporizare a unui periferic de tip Timer (temporizator)


[1], pag. 18.
Un periferic de tip Timer sau temporizator genereaz evenimente periodice.
Perioada evenimentelor sau temporizarea se stabilete prin numrarea unui numr
prestabilit de impulsuri cu o anumit perioad. Astfel, temporizatorul conine un
numrtor i un generator de semnal de tact. Numrtorul se ncarc cu o constant iar
apoi se decrementeaz cu 1 la fiecare impuls primit [1]. Temporizarea corespunde
anulrii coninutului numrtorului. Primul impuls primit dup anulare rencarc
constanta i apoi procesul se continu n acest fel. Exist i varianta n care numrtorul
ncepe numrarea de la 0 i i incrementeaz coninutul la fiecare impuls primit. n acest
caz temporizarea corespunde momentului cnd coninutul numrtorului egaleaz o
constant prestabilit, iar la urmtorul impuls primit numrarea rencepe de la 0. De
fiecare dat cnd temporizarea se ncheie (coninutul numrtorului se anuleaz sau
egaleaz constanta predefinit) un bit indicator (flag) este trecut pe 1 logic.
Expresia temporizrii este
T=

Cst
= Cst T0 ,
f0

(1.1)

unde Cst este constanta de temporizare, iar f0=1/T0 reprezint frecvena impulsurilor de
numrat.
Pe baza relaiei (1.1) rezult cele dou posibiliti de modificare a perioadei de
temporizare: modificarea constantei Cst sau modificarea frecvenei f0. A doua variant se
realizeaz de obicei prin divizarea semnalului furnizat de generatorul de tact.
Exist temporizatoare de 8 bii, 16 bii, 24 de bii, etc. n funcie de numrul de
bii al temporizatorului rezult valoarea maxim a constantei Cst.
n practic, utilizarea unui temporizator implic s se fac o aciune de fiecare
dat cnd perioada de temporizare s-a ncheiat (modificarea strii unui led, citirea strii
unui pin programat ca intrare, declanarea unei conversii analog-numerice, etc.). Pentru
aceasta este necesar testarea continu a bitului indicator (flag) i executarea aciunii
respective n momentul cnd bitul devine 1. Bitul respectiv trebuie imediat ters (trecut
pe 0) pentru ca ulterior s se poat detecta noua trecere pe 1.

8. Descriei principal funcia de captur a unui timer (temporizator). Prezentai apoi pe


baza schemei de mai jos, funcionarea modulului Timer_A al familiei de nicrocontrolere
MSP430x4xx n modul de lucru captur.
TASSELx

IDx

Timer Block

Timer Clock

MCx

15
TACLK

00

ACLK

01

SMCLK

10

INCLK

11

0
16bit Timer
TAR

Divider
1/2/4/8

Clear

Count
Mode

RC

EQU0

Set TAIFG
TACLR
CCR0
CCR1
CCR2

CCISx

CMx

COV

logic

SCS
CCI2A

00

CCI2B

01

GND

10

VCC

11

Capture
Mode
Timer Clock

15

0
Sync

TACCR2

Comparator 2

CCI

EQU2
SCCI

A
EN

CAP
0
1

Set TACCR2
CCIFG

OUT
EQU0

Output
Unit2

D Set Q
Timer Clock

OUT2 Signal

Reset

POR
OUTMODx

Fig. 3.7 Structura modulului Timer_A [2].

[1], pag. 18, pag. 94-95.


Funcia de captur presupune existena unui semnal exterior microcontrolerului
notat s (aplicat la un pin) pe lng structura de baz care conine numrtorul i
generatorul de semnal de tact. n acest caz numrtorul numr cresctor. Operaia de
captur implic captarea (reinerea) coninutului numrtorului n momentele de timp
corespunztoare fronturilor semnalului s.
Unitile CCR0, CCR1 i CCR2 permit implementarea funciei de captur, cnd
bitul CAP este pe 1 logic. Prin intermediul biilor CCISx se selecteaz unul din cele 4
semnale posibile (CCIxA i CCIxB provin de la pini). Frontul (fronturile) acestui semnal
vor declana captura. Dac biii CCISx au succesiv valorile binare 11, 10, 11, 10,...,
semnalul de intrare comut ntre Vcc i GND. Astfel se pot face capturi fr a fi nevoie
de un semnal extern. Biii CMx permit selectarea frontului semnalului ales cu biii

CCISx, la apariia cruia se va face captura (cresctor, descresctor sau ambele). n


momentul cnd are loc captura:
-coninutul registrului numrtor TAR este memorat n registrul TACCRx, x=0, 1,
2;
-bitul indicator (flag) TACCRx CCIFG, x=0, 1, 2, devine 1 logic.
9. Descriei principial funcia unui modul ADC (analog to digital converter) al unui
microcontroler. Prezentai apoi pe baza schemei de mai jos (modulul ADC12 al familiei
de microcontrolere MSP430x4xx) cum se msoar temperatura.

REFON
INCHx=0Ah

REF2_5V
Ve REF+
on
1.5 V or 2.5 V
Reference

VREF+
VREF-- / Ve REF-AVCC
INCHx
AVSS

4
A0
A1
A2
A3
A4
A5
A6
A7

A12
A13
A14

A15

0000
0001
0010
0011
0100
0101
0110
0111
1000
1001
1010
1011
1100
1101
1110
1111

SREF2

Ref_x
SREF1
SREF0

11 10 01 00

AVCC

ADC12OSC
ADC12SSELx

ADC12ON

ADC12DIVx
VR--

Sample
and
Hold

00

VR+
Divider
/1 .. /8

12--bit SAR

S/H

Convert

ADC12CLK

01

ACLK

10

MCLK

11

SMCLK

BUSY
SHP

ENC

4
Sample Timer
/4 .. /1024

1
SAMPCON

AVCC

SHSx

I SSH

SHT0x
SHI

0
1

Sync

4
SHT1x

00

ADC12SC

01

TA1

10

TB0

11

TB1

MSC

INCHx=0Bh
Ref_x
R

CSTARTADDx

CONSEQx

AVSS

ADC12MEM0

ADC12MCTL0

-16 x 12
Memory
Buffer
--

-16 x 8
Memory
Control
--

ADC12MEM15

ADC12MCTL15

MSP430FG43x and MSP430FG461x devices only

Fig. 3.11 Structura ADC12 [2].

[1], pag. 21, 104, 109.

Un periferic de tip ADC (Analog to Digital Converter, convertor analog-digital


sau analog-numeric) primete la intrare o tensiune, care poate lua orice valoare ntr-un
interval dat, pe care o convertete ntr-un numr reprezentat prin n bii. Expresia
numrului furnizat de ADC, notat NADC, numit i rezultatul conversiei este
N ADC = 2n

U in
,
U ref

(1.4)

unde Uin reprezint tensiunea de intrare iar Uref reprezint o tensiune de referin, care
impune i intervalul n care Uin poate lua valori, adic [0, Uref). Numrul de bii n are
valori de tipul 8, 10, 12 sau chiar 16 i se mai numete rezoluie.
Cuanta convertorului (numit i 1 LSB) este reprezentat prin expresia
q=

U ref
2n

(1.5)

Folosind cuanta se poate determina tensiunea de intrare n funcie de rezultatul


conversiei, Uin=qNADC. Aceasta reprezint ns o aproximare a Uin deoarece determinarea
rezultatului prin relaia (1.4) implic o aproximare n sensul c NADC reprezint de fapt cel
mai apropiat ntreg de numrul raional 2nUin/Uref.
Modulul ADC12 are 16 intrri analogice (numite i canale), A0, A1,...,A15.
Dintre acestea, 12 corespund unor pini ai microcontrolerului, iar 4 sunt conectate direct la
tensiuni interne (una dintre acestea, A10, selectat cnd biii INCHx au valoarea 1010,
corespunde unui senzor de temperatur).
Acesta furnizeaz o tensiune care depinde de temperatur (Temp), considerat n
grade Celsius, conform relaiei:
(3.5)
U Temp = 0,00355Temp + 0,986 .
Determinarea temeraturii implic: conversia tensiunii corespunztoare canalului
10 (adic NADC), determinarea Uin din (1.4), iar apoi variabila Temp se determin din (3.5)
unde UTemp este Uin determinat anterior.
10. Descriei principial interfaa serial sincron de tip SPI (Serial Peripheral Interface).
[1] pag. 24, 25.
Termenul de sincron se refer la faptul c exist o linie comun de semnal de tact
comandat de unul dintre dispozitive, cel care are funcia de master. i n acest caz exist
cte o linie pentru transmisia n fiecare sens i una de mas.
Comunicaia serial sincron ntre o component cu funcie master i o component cu
funcie slave este prezentat n figura 1.11.

Fig. 1.11 Comunicaia serial sincron.

Comanda interfeei SPI pentru funcia master/slave se realizeaz prin linia de


selecie /SS (Slave Select). Interfaa SPI a componentei master conine circuite (baud rate
generator) pentru generarea semnalului de tact (Serial Clock) SCK. Cele dou dispozitive
SPI conin cte un registru de deplasare (shift register) de n bii (valori uzuale pentru n: 8,
16) care sunt interconectate ntr-o configuraie de registru distribuit de 2n bii prin liniile
de date MOSI (Master Out/Slave In) i MISO (Master In/Slave Out), figura 1.11.
Transferul de date se realizeaz prin deplasarea cu n bii a coninutului registrului
distribuit, sincronizat cu semnalul de tact SCK, i are ca rezultat schimbul de octei ntre
cele dou componente master/slave.

CIRCUITE I SISTEME

ANUL II

82

1. Confuzii datorate eantionrii. Exemple

Prin eantionarea ideal a semnalului x(t ) = Acos0t cu pasul Te se obine semnalul n timp discret
x[n] = Acos 0 n cu 0 = 0Te . Pentru diferite alegeri ale pasului de eantionare ar trebui s se obin semnale n

timp discret diferite. Exist ns alegeri diferite ale pasului de eantionare care conduc la acelai semnal n timp

discret. De exemplu pentru Te1 = / 40 se obine semnalul n timp discret x1[n] = Acos n iar pentru
4
Te 2 = 7 / 40 se obine semnalul x2 [n] = Acos

se poate scrie: x2 [n] = Acos( 2 -

7
n . Dar, datorit periodicitii funciei cosinus cu perioada 2,
4

n = x1[n] . Cele dou semnale n timp discret sunt reprezentate grafic n


4

figura de mai jos.

Analiznd figura se constat c cele dou semnale n timp discret sunt identice.
n consecin, exist alegeri diferite ale pasului de eantionare, care pot conduce la semnale n timp discret
identice, producnd confuzie. Exemple similare pot fi observate i n figura de mai jos.

83

2. Semnale complexe. Fazori. Conceptul de frecven negativ

Este bine cunoscut prima formul a lui Euler:


cos 0t =

e j0 t + 1/ e j0 t
.
2

Cele dou exponeniale complexe din membrul drept sunt semnale complexe care se mai numesc i fazori. Ele
pot fi reprezentate ca i vectori rotitori n planul complex. Aceti vectori au module unitare i se rotesc cu
viteze unghiulare 0 i respectiv -0. Ei sunt reprezentai n figura de mai jos.

84

Dar 0 reprezint i pulsaia (sau frecvena) semnalului cos 0t . De aceea se mai spune c frecvena
fazorului e-j0t este negativ. Acest concept nu are un suport fizic, dar este util pentru simplificarea
calculelor. Construcia semnalului cos 0t cu ajutorul celor doi fazori este prezentat n figura
urmtoare.

3. Teorema proieciei. Exemplu de aplicare n teoria aproximrii

Enunul teoremei proieciei este urmtorul.

Fie H un spaiu Hilbert i Hs un subspaiu Hilbert nchis al acestuia. Oricare ar fi vectorul x din H exist
un vector ~x din Hs care reprezint cea mai bun aproximare a lui x cu elemente din Hs care are
proprietile:
-

distana de la x la ~x este cea mai mic distan de la x la orice element din Hs;
eroarea comis, e=x- ~x , este ortogonal pe subspaiul Hs.

Dac dimensiunea spaiului Hilbert H este 3 i dac dimensiunea spaiului Hilbert Hs este 2, atunci
teorema proieciei se particularizeaz la teorema celor trei perpendiculare aa dup cum se vede n
figura de mai jos.

85

Cea mai bun aproximare a vectorului OA=x cu elemente din planul generat de versorii u2 i u3 este
dat de vectorul OB= ~x , proiecia vectorului OA pe acest plan (Hs).
n acest caz, eroarea de aproximare este dat de vectorul BA=e, care este ntr-adevr ortogonal pe Hs
(aa dup cum afirm cea de a doua consecin a teoremei proieciei).
Dac se consider c spaiul Hilbert H are dimensiunea n fiind generat de baza {u1 , u 2 ,..., un } i c

spaiul Hilbert Hs este generat de baza {u1 , u 2 ,..., um } cu m<n atunci cea mai bun aproximare a lui
n

x=

x,uk

uk cu elemente din Hs este pe baza teoremei proieciei ~x =

k =1

x, uk

uk obinut prin

k =1

trunchierea descompunerii lui x la un numr de m elemente.

4. Fenomenul Gibbs

n anul 1898, Albert Michelson, fizician experimental, a construit primul analizor de spectru. El a dorit
s fac analiza spectral a semnalului periodic din figura de mai jos.

Fiind de band limitat, ca orice aparat experimental, analizorul su nu a putut s msoare


componentele armonice ale semnalului dect pn la un anumit ordin. Folosind aceste valori,
Michelson a ncercat s reconstruiasc semnalul analizat, dar n loc s obin forma de und
reprezentat cu rou n figura urmtoare, a obinut forma de und reprezentat cu negru.

86

Nenelegnd motivul pentru care s-a obinut prin reconstrucie o form de und diferit de cea
analizat, Michelson i-a cerut ajutorul lui Gibbs. Acesta i-a oferit explicaia urmtoare.
Descompunerea n serie Fourier trigonometric a semnalului din prima figur este:
y (t ) =

4 sin 30t
4
sin 0t +
+ ...
3

i are o infinitate de termeni. Trunchiind aceast descompunere la primii si n termeni, se obine


aproximarea:
4 sin 30t
4 sin (2n - 1)0t 40
4
~
y (t ) =
sin 0t +
+ ... +
=
(2n - 1)

[cos 0 + cos 30 + ... + cos(2n - 1)0]d

sau, exprimnd n form compact suma de cosinusuri i aproximnd cu ajutorul funciei sinus
integral Si:
2
~
y (t ) Si (2n0t )

al crei grafic este tocmai curba neagr din ultima figur. Oscuilaiile sale au condus la denumirea de
fenomen Gibbs, specific tuturor reconstruciilor prin trunchiere.

5. Rspunsul sistemelor liniare i invariante n timp continuu la semnale periodice. Metoda armonic

Exponeniala complex de modul unitar este funcie proprie pentru orice sistem liniar i invariant n
timp, aa cum este ilustrat n figura urmtoare.

87

Valoarea proprie corespunztoare este rspunsul n frecven al sistemului calculat la frecvena


exponenialei complexe (0).
Dac la intrarea sistemului se aduce o combinaie liniar de exponeniale complexe,
x (t ) =

ck e jk0t , atunci, pe baza principiului suprapunerii efectelor, se va obine semnalul


k

y (t ) =

ck H (k0 )e jk0t .
k

A
Pentru ck = 0 pentru k = 1 i ck=0 n rest, se obine metoda armonic, prezentat n figura
2

urmtoare.

Rspunsul poate fi exprimat i n forma echivalent:

A0 H (0 ) cos(0t + arg{H (0 )})

care permite msurarea caracteristicilor de modul i de faz ale rspunsului n frecven al


sistemului. Pentru valori succesive ale lui 0 se msoar amplitudinea rspunsului, valorile obinute
servind la trasarea caraceristicii de modul i faza iniial a rspunsului, valorile obinute servind la
trasarea caraceristicii de faz a rspunsului n frecven al sistemului.
6. Teorema simetriei. Aplicaii
Majoritatea proprietilor transformrii Fourier sunt valabile pentru semnale de clas L1 L2. n cazul
semnalelor de clas L2 sunt valabile cteva proprieti suplimentare. Una dintre acestea este teorema
simetriei. Enunul acestei teoreme este urmtorul.
Transformata Fourier a transformatei Fourier a semnalului x(t) este proporional cu x(-t).
F {F {x (t )}()}(t ) = 2x (- t ) .

88

Aceast teorem permite calculul transformatelor Fourier ale unor noi semnale pe baza unor
transformate Fourier deja cunoscute.
Fcnd schimbrile de variabile i de constante de forma
t i t se obine schema de calcul a unor noi transformate Fourier din figura de mai jos.

n continuare se prezint dou aplicaii ale acestei teoreme.

7. Relaia de incertitudine Heisenberg-Gabor


89

Este bine cunoscut faptul c semnalele de durat limitat sunt de band nelimitat i c semnalele de
band limitat sunt de durat nelimitat. Totui este necesar localizarea semnalelor n domeniile
timp i frecven. Pentru localizarea n timp se folosesc dou mrimi: timpul central, tc i dispersia
semnalului n jurul timpului central, t2 , definite dup cum urmeaz:

t x(t

tc = -

)2

(t - tc )2 x(t ) 2 dt

dt

x(t ) 2 dt

, t2 = -

x(t )

. La fel i n domeniul frecven, pentru localizare se folosete

dt

2 , definite n
frecvena central, c i dispersia spectrului semnalului n jurul frecvenei centrale,

ecuaiile urmtoare:

X () 2 d

c = -

X () 2 d

( - c )2 X () 2 d

2
= -
,

X ()

Cu ajutorul acestor dispersii se poate enuna relaia de incertitudine Heisenberg-Gabor. Enunul su


este urmtorul.
Dac t i pot fi calculate pentru un anumit semnal, atunci este valabil relaia:
t

1
2

oricare ar fi semnalul considerat.


Egalitatea are loc dac i numai dac semnalul considerat este Gaussian.
n consecin, dac localizarea temporal a unui semnal este mai bun dect localizarea temporal a
unui alt semnal, atunci localizarea frecvenial a primului semnal va fi mai slab dect localizarea
frecvenial a celui de al doilea semnal. Pentru numeroase semnale t sau au valori infinite. Pentru
aceste semnale relaia lui Heisenberg-Gabor este inoperant.
8. Diagrame spectrale pentru semnale periodice n timp discret
Caracterizarea n domeniul frecven a semnalelor periodice n timp discret se face cu ajutorul
coeficienilor descompunerii n serie Fourier a acestor semnale. Exist mai multe tipuri de diagrame
spectrale, de modul, de faz i de putere. Diagrama spectral de modul reprezint mulimea
dubletelor de forma (modulul coeficientului, frecvena corespunztoare coeficientului). Diagrama
spectral de faz se refer la dublete de forma (faza coeficientului, frecvena corespunztoare
coeficientului) iar diagrama spectral de putere la dublete de forma (ptratul modulului
90

coeficientului, frevena corespunztoare coeficientului). Coeficienii Fourier ai semnalului x[n],


periodic de perioad N se calculeaz cu formula,
1
ck =
N

N -1

x[n]e

- jk

2
n
N

n=0

Secvena acestor coeficieni este periodic de perioad N. n continuare se prezint un exemplu. Se


consider semnalul x[n] = sin

2
n , care este periodic de perioad N. El poate fi descompus n serie
N

Fourier pe baza celei de a doua formule a lui Euler:


2

1 j N n 1 -j N n
x[n] =
e
e
,
2j
2j

sau, pe baza periodicitii exponenialei complexe:


2

x[n] =

1 j N n 1 j N ( N -1)n
e
e
.
2j
2j

Prin identificare cu formula de descompunere n serie Fourier a semnalelor periodice n timp discret:
x[n] =

N -1

ck e

jk

2
n
N

k =0

se obin valorile celor doi coeficieni Fourier nenuli: c1 =


i c N -1 = - . Pentru N=6, se obin
2j
2j
diagramele spectrale de modul i de faz din figura urmtoare.

9. Funcia de corelaie pentru semnale discrete de energie finit


Funcia de corelaie a dou semnale x i y, msoar gradul de asemnare dintre aceste dou
semnale. Ea se mai numete i funcie de intercorelaie a semnalelor x i y i pentru semnale de
energie finit se definete cu relaia:
91

Rxy [k ] =

x*[n]y[n + k ] .

n = -

Spectrul su se numete densitate interspectral de energie a celor dou semnale i poate fi calculat
cu relaia:
S XY () = X * ()Y () .

n cazul n care cele dou semnale sunt identice, x=y, vorbim despre autocorelaie i despre densitate
spectral de putere. n continuare se prezint cteva proprieti ale funciei de autocorelaie.
Proprietatea 1. Teorema Wiener-Hincin
Autocorelaia unui semnal este pereche Fourier cu densitatea sa spectral de putere:
Rx [k ] X () 2 = S x () .

Proprietatea 2. Funcia de autocorelaie are un maxim n origine. Aceast valoare este egal cu
energia semnalului.
Wx = Rx [0] =

1
X () 2 d .
2 2

Proprietatea 3. Funcia de corelaie este par.


Se consider semnalul din figura de mai jos.

Autocorelaia sa este reprezentat grafic n figura urmtoare.

92

Analiznd ultima figur se constat c s-a obinut o funcie par cu maximul n origine de valoare
egal cu energia semnalului din figura anterioar.

10. Relaia ntre densitile spectrale de putere i de energie ale semnalelor ce trec prin sisteme
discrete, liniare i invariante n timp

Sistemul cu rspunsul la impuls h[n] rspunde la semnalul de intrare x[n] cu semnalul y[n]. Legtura
dintre aceste semnale este dat de ecuaia y[n] = x[n] h[n] . Lund n ambii membri transformata
Fourier n timp discret, se obine:
Y () = X ()H () sau Y () 2 = X () 2 H () 2 adic S y () = H () 2 S x () . Dac semnalul x[n] este

de energie finit, atunci funciile S x () i S y () reprezint densiti spectrale de energie iar dac
semnalul x[n] este de putere medie finit atunci funciile S x () i S y () reprezint densiti
spectrale de putere.
Dac semnalul de intrare n sistem este un zgomot alb atunci S x () = N 0 , o valoare constant, iar
densitatea spectral de putere a semnalului de la ieire devine egal cu ptratul modulului
rspunsului n frecven al sistemului. n consecin, cu ajutorul unui zgomot alb, se poate identifica
ptratul modulului rspunsului n frecven al unui sistem liniar i invariant n timp discret. Aceasta
este o metod de identificare a rspunsului n frecven al unui sistem liniar i invariant n timp
discret echivalent cu metoda armonic.

93

Zona Tematica 5

94

CIRCUITE ELECTRONICE FUNDAMENTALE


- Probleme zona tematic 5 -

11. Se consider circuitul amplificator din figur de mai jos, pentru care se cunosc
parametrii TEC-J: gm = 5mA/V, rds = , Cgd = 5pF, Cgs = 10pF, Cds = 10pF.
S se determine frecvena de trecere la nalte:
a) Folosind teorema lui Miller;
b) Folosind metoda constantelor de gol.

VA+
R3
2K
C3
16F
Rg

C1

J1
RL

10K

0.16F

2K

vg
R1
1M

R2

C2

1K

160F

Bibliografie: Seminar 2, pag. 6


https://intranet.etc.upt.ro/~CEF_A/
Rezolvare:
Se deseneaz schema echivalent la frecvene nalte i semnal mic (fig. 9).

Cgd

Rg

Cds

vg
R1

Cgs

Ugs

gmUgs

95

R3

RL
Uo

Fig. 9. Schema echivalent la frecvene nalte i semnal mic pentru circuitul din fig. 8.

a) Folosind teorema lui Miller se elimin capacitatea Cgd rezultnd schema echivalent din fig. 10.

Rg

Ugs

vg
R1

Co

Ci

R3

RL

gmUgs

Uo

Fig. 10. Schema echivalent dup aplicarea teoremei lui Miller.

Uo
i Uo = - gmUgs (R3||RL), U i U gs K = AU0= - gmsR3||RL= -5
Ui

(21)

CiM = Cgd(1-K) = 30 pF, CoM = Cgd 1

1
= 6 pF
K

(22)
Ci = Cgs||CiM = Cgs+ CiM = 40 pF, Co = Cds||CoM = Cds+ CoM = 16 pF
(23)
Frecvenele introduse de aceste capaciti sunt:

f P1

1
, RP1 Rg R1 Rg 10 K f P1 400 KHz
2 C i R P1

f P2

1
, RP 2 R3 RL 1K f P 2 10 MHz .
2 C o RP 2

(24)

(25)
Funcia de transfer la nalt frecven va fi atunci:

AU ( j ) 5

1
(1 j

f
f
) (1 j
)
6
0.4 10
10 10 6

(26)
Relaia de mai sus este aproximativ deoarece condensatorul Cgd introduce i o frecven de zero.
Frecvena de trecere la nalte se poate apoxima prin fP1 = 400KHz sau se poate calcula pe baza definiiei:

96

AU ( j )

ff

1
AU 0 f = 393,7KHz
2

(27)
b) Metoda se aplic, relativ la schema echivalent la frecvene nalte, prezentat fig. 9. Se analizeaz pe rnd
efectul fiecrei capaciti:
b1) Analiza efectului capacitii Cgs.
Schema echivalent obinut prin aplicarea metodei constantelor de gol este cea din fig. 11.

Ugs
Rg

R1

gmUgs
R3

Cgs

RL

Fig. 11. Schema echivalent, cazul Cgs.

f P1

1
, RP1 R1 R g R g 10 K f P1 1,6 MHz
2 C gs RP1

(28)
b2) Analiza efectului capacitii Cgd.
Schema echivalent obinut prin aplicarea metodei constantelor de gol este prezentat n fig. 12.

U
Cgd

I1

Rg

R1

R3

Ugs

gmUgs

Fig. 12. Schema echivalent, cazul Cgd.

f P2

1
U
, RP 2
2 C gd RP 2
I

(29)
Aplicnd teoremele lui Kirchhoff se pot scrie urmtoarele relaii:

97

RL

i Rg R1 ugs 0 ugs i Rg R1
(30)

I 1 g m u gs I I (1 g m Rg R1 )
(31)

U I Rg R1 I 1 R3 RL 0 U I Rg R1 I (1 g m Rg R1 ) R3 RL
(32)

RP 2

U
Rg R1 (1 g m Rg R1 ) R3 RL = 61K. f P 2 524,6 KHz
I

(33)
b3) Analiza efectului capacitii Cds
Schema echivalent obinut prin aplicarea metodei constantelor de gol este cea din fig. 13.

f P3

1
, RP 3 R3 RL 1K f P 3 16 MHz
2 C ds RP 3

(34)

gmUgs
Rg

Ugs

Cds

R1

R3

RL

Fig. 13. Schema echivalent, cazul Cds.

Efectul cumulat al celor trei capaciti se determin astfel:

1
1
1
1

f 385,2KHz.
fi
f i1 f i 2 f i 3

12. Amplificatorul A, din figura de mai jos este considerat dup o schem de cuadripol
avnd Ri = 1K, amplificarea de tensiune Au = 100 i Ro = 0,1K i variaia relativ a
amplificrii de tensiune este
mrimile: AUgr

Au
20% . S se calculeze la frecvene medii
Au

AUgr
uo *
, Rir , Ror ,
.
vg
AUgr
98

R*ir
R1

1K
vg

Ror
A
RL

Uor

R2
R'ir

10K
10K

Bibliografie: Seminar 4, pag. 2


https://intranet.etc.upt.ro/~CEF_A/

Rezolvare:

Se identific cuadripolul de reacie pe baza faptului c acesta aduce o fraciune din tensiunea de
la ieirea amplificatorului napoi la intrare. Acest lucru este realizat de R2.

R2
Ir

Uo

Ur
VCC

VCC

Fig. 2. Cuadripolul de reacie.

Se redeseneaz schema amplificatorului innd cont de influena pasiv a cuadripolului de


reacie.

RiA

RoA

Ro

Ii

R1

R2

Ri

R2

Ui
AuUi

99

RL

Uo

Fig. 3. Schema echivalent, cu influena cuadripolului de reacie inclus, pentru circuitul din fig. 1.

Pentru aceast schem fr reacie se calculeaz mrimile de interes:

RiA R1 R2 Ri 0,47 K
RoA R2 Ro 100

ZT

Uo

Ii

Ir
Uo

AuU i

U r 0

RL R2

Ro RL R2
RL R2
Au
46,7 K
Ui
Ro RL R2

R1 R2 Ri

Ir
1
1

0,1K
I r R2
R2

Se obin parametrii amplificatorului cu reacie:

Z Tr

RiA
ZT
RoA
8,24k , Rir
84 , Ror
17,6
1 Z T
1 Z t
1 Z T

Rir* R1 Rir' ;
Rir R1 Rir' Rir' Rir* R1 Rir' R1

AUgr
AUgr
AUgr

Uo I g
1

Z tr
8,24
I g vg
R1

A
1
U 3,5%
1 Z T AU

100

CIRCUITE INTEGRATE ANALOGICE


Problema1. Pentru circuitul din figur se cer: a) Amplificarea de tensiune Aur

Ue
. b) Valorile lui Aur
U1

pentru poziiile extreme i la mijloc ale cursorului, apoi valoarea minim a lui n. c) Condiia de erori
minime pentru rezistene.

Soluie
a) Tensiunea de iesire a amplificatorului cu ntroducerea unei divizri d la poteniometrul R1
este:
Ue U1

Rr
R
dU11 r
Rr
R ech
n

1 n n1 R R r
ech
2n1
R ech R r R r

in care

Cu aceasta:
Ue nU1dU112n 1n2d1U1

Aur

Ue
n2d1
U1

b) Pentru poziiile impuse cursorului avem:


-sus, d=1 i Aur= +n
-la mijloc, d=0,5 i Aur= 0
-jos, d=0 i Aur= -n
Prin urmare , este vorba de un amplificator cu amplificare programabil prin potentiometrul R1.

c) Condiia de erori minime, n cazul existenei unui poteniometru n circuitele de


intrare, se scrie pentru situaia n care erorile conteaz cel mai mult, adic, atunci cnd
101

tensiunea de ieire a amplificatorului este minim n valoare absolut. Aici corespunde


cazului cu cursorul la mijloc . Deci:

R ech R r R 2 R1/ 2 R1/ 2R 2 R1/ 4

Problema2. S se alctuiasc schema cu AO care realizeaz calculul cu tensiuni conform expresiei


Ue=1,5U1+1,5U2-0,25U3-0,75U4

i s se stabileasc valorile rezistenelor dac rezisena de reacie se adopt de 20k. Se va


verifica dac schema se poate concepe cu un singur AO i, dac este cazul, se va alctui cu
mai multe AO. S se hasureze rezistenele ce trebuie s fie de precizie mare.
Soluie
Dac toate tensiunile cu semn plus din expresie (n la numr) au acelai coeficient a iar
coeficienii tensiunilor cu semn minus, notai bi (orici) ndeplinesc inegalitatea na>1+bi atunci
schema se poate face cu un singur AO. Pentru cazul concret dat 21,5>1+0,25+0,75 deci acest
lucru este posibil.
Schema va avea forma din figur, unde, rezistena R/ are rolul de a face independeni
coeficientul tensiunilor cu semn plus fa de coeficienii tensiunilor cu semn minus. Pentru schema
dat se poate scrie direct expresia:

R
R R
U e 1 U1 U 2 1 r r U 3 r U 4
2
R2
R ech R1

102

cu

Rech=R1R2R/

Avnd Rr=20k, rezult prin identificarea n cele dou expresii a coeficienilor tensiunilor cu semn
minus:
Rr
Rr
0,25 deci R1 20 80k i
0,75 deci R1 20 26,6k
R1
R2
0,25
0,75

Prin identificarea coeficientului tensiunilor cu semn plus rezult:


1 1 R r 1,5 sau R r 2 deci Rech=10k.
2 R ech
R ech

Din Rech se obine rezistena R/ : 80k26,6kR/=10k i R/=20k.

Rezistena R3 , care nu apare implicit n expresia tensiunii de ieire, se calculeaz din condiia
de erori minime:
R3R3= RechRr sau 0,5R3=10k20k sau 0,5R3=6,66k ori R3=13,32k .
Toate rezistenele (inclusiv cele dou R3 care trebuie s fie perfect egale pentru precizia
nsumrii !) trebuie s fie de precizie mare deci trebuie hasurate pe schema dat.

103

CIRCUITE INTEGRATE DIGITALE


1. S se proiecteze un numrtor asincron modulo 51. Acesta va fi prevzut cu un circuit de reacie
care va permite tergerea numrtorului dup aplicarea a p impulsuri de tact. Se vor reprezenta
grafic formele de und ale semnalelor CLK, X1, X2 pentru impulsurile de tact 50 53.

Numrul de bistabile necesare n este: 2n-1<51<2n. Relaia este ndeplinit pentru n=6
(32<51<64).
Funcionarea numrtorului cu p=51 implic resetarea sa dup aplicarea celui de al 51-lea
impuls de tact. Acest lucru este posibil prin identificarea strii 51 cu ajutorul unui circuit (o poart
I/I-NU) i tergerea numrtorului prin activarea liniei /CLR.

Tabelul de funcionare al numrtorului este:


Nr. impuls tact

Q5

Q4

Q3

Q2

Q1

Q0

50

51 (0)

1 0

1 0

1 0

1 0

Determinarea acestei stri se face cu o poart I-NU cu 4 intrri conectate la ieirile Q5, Q4,
Q1, Q0 care sunt simultan pe 1 doar cnd apare stare 51. n acel moment se activeaz intrarea /CLR
(ieirea porii I-NU este 0 doar n aceast stare) care terge numrtorul transformnd starea 51
n starea 0. n acest fel numrul strilor distincte ale numrtorului este redus la 51.

104

Schema prezentat nu prezint o funcionare sigur datorit dispersiei timpilor de propagare


tCLR-Q. Bistabilul cu timpul de propagare cel mai scurt se terge primul i ieirea sa Q (care este una din
intrrile porii I-NU) trece pe 0. Astfel, ieirea porii trece pe 1 i ntrerupe procesul de resetare
integral a numrtorului (celelalte bistabile nu se mai terg).
Pentru nlturarea acestui dezavantaj este necesar un circuit de memorare a semnalului de
tergere (/CLR) pe o durat care s fie mai mic dect perioada impulsului de tact, dar suficient de
mare pentru tergerea sigur a tuturor bistabilelor. Acest circuit un bistabil /S/R se intercaleaz
ntre X1 i X2.

2. Folosind memorii SRAM 6264 (de tip 8k x 8 bii) i un numr minim de circuite logice, s se
obin o memorie de 32k x 8 bii.

a). Numrul necesar de circuite 6264 este:


N

32 k x 8biti
4
8 k x 8biti
.

3
10
13
b). Memoria de 8k are 2 2 2 locaii de memorie care pot fi accesate utiliznd 13 linii de
adres (A0, , A12).
5
10
15
Memoria de 32k are 2 2 2 locaii de memorie, adic 15 linii de adres.

Adresele suplimentare, A14 i A13, decodificate cu ajutorul unui decodificator 2/4 (figura 6), se
folosesc pentru validarea celor patru memorii conform tabelului 3.

Tabelul 3. Tabelul de validare a memoriei SRAM de 32k x 8 bii.


A14 A13 A12 A0

Condiia de validare

Memoria
validat

CE0

105

CE1

CE 2

CE 3

X.. X

X.. X

X.. X

X.. X

6264

A0A12

13
1

A0
..

CS0
OE0
WE0

/OE
/WE

D0

D0D7
8

..

6264
13

A0
..

CS1
OE1
WE1

74HCT139

CS
A13
A14

G Y0
Y1
A Y2
B Y3

D0

..

6264
13

A0
..

CS2
OE2
WE2

D0

..

6264
13

A0
..

CS3
OE3
WE3

D0

..

Figura 6. Memorie SRAM de capacitate 32k x 8 bii.

106

SEMNALE SI SISTEME

1. Exist semnale neidentic nule, a cror convoluie s fie identic nul ?


Da.
Dup cum se tie, operaiei de convoluie n domeniul timp, i corespunde operaia de nmulire n
domeniul frecven. Fie, de exemplu, semnalele x1(t) i x2(t) cu spectrele X 1 () = p 0 () i
X 2 () = p1 ( - 2 ) cu 2 > 0 + 1 . Se constat c cele dou spectre au suporturi disjuncte. De

aceea, produsul celor dou spectre este identic nul. Aplicnd acestui produs transformata Fourier
invers, rezult c x1 (t ) * x 2 (t ) 0 . Dac se calculeaz i transformatele Fourier inverse ale funciilor
X 1 () i X 2 () se obin expresiile analitice ale celor dou semnale i se constat c nici unul dintre
acestea nu este identic nul.

2. Poate fi construit un filtru trece-jos a crui caracteristic de modul s scad cu 10 dB/dec. ?


Da.

Se consider sistemul din figur. Amplificatoarele operaionale se consider


ideale.

Referindu-ne numai la primul etaj se tie c:


R1
U ()
Z ()
= 1 + r1
; Z r1 () =
=
H1 () = 1
X ()
X ()
1 + jR1C

R1

1+ j
1

; 1 =

1
R1C

Prin urmare:

R R1
1
R + R1
2
R1

; RP =
.
; 2 =
=
H1 ( ) =1+

R + R1
R
R (1+ jR1 C)
RP C
1+ j
1
1+ j

107

Rspunsul n frecven al primului etaj este deci:


H 1 ( ) = A

1 + j ( / 2 )
1 + j ( / 1 )

n mod asemntor se determin rspunsurile n frecen ale etajelor realizate cu


amplificatoarele A2 i A3. Deoarece rezistenele din schem sunt aceleai iar
capacitatea scade de 10 ori respectiv de 100 de ori, frecvenele de tiere ce
intervin cresc de 10 ori respectiv de 100 de ori:

1+ j
10 2
100 2
.
; H 3 ( ) = A
H 2 ( ) = A

1+ j
1+ j
10 1
100 1
1+ j

n cazul de fa avem patru subsisteme conectate n cascad. Pentru ele,


rspunsul n frecven echivalent H() este:

) ( 1+ j
) ( 1+ j
)
10 2
100 2
2
3
H () = A
.

(1 + j
)( 1+ j
)( 1 + j
)( 1+ j
)
10 1
100 1
1000 1
1
(1 + j

Elementele schemei se aleg astfel nct: 2 = 3,162 1 . Rezult A=3,162.


Deoarece lg3,162 = 0,5, rezult c 2 se plaseaz, n scar logaritmic la
jumtatea distanei ntre 1 i 101. Se calculeaz 20lgA3 = 60lg3,162 = 60x0,5 =
30dB i se obine pentru modulul rspunsului n frecven, n scri logaritmice,
expresia:
2
2
2

20lg H 30 10lg 1 10lg 1 10lg 1
1
2
101

2
2
2
10lg 1
10lg 1

10lg 1
102
1001
10001

108

Caracteristica de modul corespunztoare este prezentat n figura urmtoare. Cu


excepia valorii iniiale de +30dB, nemarcat n figur, toi ceilali 7 termeni sunt
marcai, n ordinea n care apar n ultima relaie. Termenii 1, 3, 5 i 7 corespund
unor linii frnte ce cad cu 20dB/decad ncepnd cu frecvenele de tiere
(frngere) 1, 101, 1001 i, respectiv 10001. nsumnd toate cele 7
caracteristici, i adunnd valoarea iniial de 30 dB, se obine o caracteristic ce
poate fi aproximat cu caracteristica desenat cu linie plin. Deoarece frecvenele
2, 102 i 1002 sunt logaritmic plasate la jumtate ntre 1 i 101, 101 i
1001 respectiv 1001 i 10001, caracteristica cade, n medie, cu 10 dB/decad.

Cu linie - punctat este marcat n figur caracteristica medie. Aproximarea este


valabil pe trei decade.

109

SISTEME DE PRELUCRARE NUMERICA CU PROCESOARE


1. S se scrie o secven de program n limbaj de asamblare pentru microcontrolerul
MSP430G2231 care complementeaz starea liniilor 0 i 6 ale portului 1 (la care sunt conectate
2 led-uri; iniial 1 led este aprins, cellalt este stins) cu frecvena de temporizare de 10 Hz,
stabilit de registrul numrtor TAR. Acesta este n modul de lucru up i are SMCLK ca
semnal de tact (1 MHz).
Se cunoate structura registrului TACTL precum i funciile biilor de interes:
15

14

13

12

11

10

Unused

8
TASSELx

rw(0)

rw(0)

rw(0)

rw(0)

rw(0)

rw(0)

rw(0)

rw(0)

Unused

TACLR

TAIE

TAIFG

rw(0)

w(0)

rw(0)

rw(0)

IDx
rw(0)

MCx
rw(0)

rw(0)

rw(0)

Fig. 3.9 Bii registrului TACTL [2].

Biii TASSELx (biii 9-8) selecteaz semnalul de tact al numrtorului de 16 bii astfel:
0 0, TACLK (semnal extern, aplicat la un pin dedicat)
0 1, ACLK
1 0, SMCLK
1 1, INCLK (TACLK inversat)
Biii IDx (biii 7-6) selecteaz factorul de divizare al semnalului de tact al numrtorului de 16
bii astfel:
0 0, divizare cu 1
0 1, divizare cu 2
1 0, divizare cu 4
1 1, divizare cu 8
Biii MCx (biii 5-4) selecteaz modul de lucru al numrtorului de 16 bii astfel:
0 0 stop, numrtorul nu funcioneaz
0 1 modul up
1 0 modul continuous
1 1 modul up-down
Bitul TAIFG (bitul 0), devine 1 la depirea sau anularea registrului numrtor TAR

Se prezint o parte din instruciunile MSP430x, din care trebuie alese cele necesare n
elaborarea programului:
Mnemonic

Operaie

Bii de stare
V

MOV(.B) src, dst

src dst

BIT(.B) src, dst

src SI dst

/Z

BIC(.B) src, dst

/src SI dst dst

XOR(.B) src, dst

src SAU EXCLUSIV dst dst

/Z

[1], pag. 100.

Rezolvare:
Se cunoate T =

(TACCR0) + 1
f CLK

Se obine TACCR0+1=T fCLK= fCLK /f=1 MHz/10 Hz=100 000. Aceast valoare
depete numrul maxim de 16 bii (65535) care poate fi nscris n registrul TACCR0. Ca
urmare, trebuie realizat o divizare a frecvenei semnalului SMCLK, cu 2, de exemplu.
Astfel: TACCR0+1=TfCLK=fCLK/f =500 kHz/10 Hz=50 000, sau TACCR0=49999.
Coninutul registrului TACTL:
10 0101 0000 = 250h
SMCLK;
Divizare cu 2;
modul up
Programul este prezentat n continuare
MOV.B
MOV.B
MOV.W
MOV.W
e2:
e1:

#41h, P1DIR ;P1DIR.6=1, P1.6 ieire; P1DIR.0=1, P1.0 ieire


#40h, P1OUT; starea iniial, P1OUT.6=1, P1OUT.0=0
#49999, TACCR0 ;TAR numr pn la 49999
#250h, TACTL
;TASSELx=10b, SMCLK; IDx=01b, diviz. cu 2;
;MCx=01, modul up

XOR.B #41h, P1OUT


BIT.W #1, TACTL
JZ e1
BIC.W #1, TACTL
JMP e2

;complementeaz biii 6 si 0 din reg. P1OUT


;bitul TAIFG=1?
;terge TAIFG
;se reia bucla

2. S se scrie un program pentru microcontrolerul MSP430G2231 care configureaz


unitatea CCR1 a modulului Timer_A pentru a genera un semnal dreptunghiular, folosind
modul reset-set. Registrul numrtor TAR este n modul de lucru up i are SMCLK ca
semnal de tact (1 MHz). Ieirea unitii CCR1, notat OUT1 este disponibil la pinul P1.2
dac P1DIR.2=1 i P1SEL.2=1. Semnalul generat trebuie s aibe perioada de 50 s i un
factor de umplere de 0,2. S se deseneze forma semnalului generat, corelat cu coninutul
registrului numrtor TAR.
Se cunoate structura registrului TACTL precum i funciile biilor de interes:
15

14

13

12

11

10

Unused

8
TASSELx

rw(0)

rw(0)

rw(0)

rw(0)

rw(0)

rw(0)

rw(0)

rw(0)

Unused

TACLR

TAIE

TAIFG

rw(0)

w(0)

rw(0)

rw(0)

IDx
rw(0)

MCx
rw(0)

rw(0)

rw(0)

Fig. 3.9 Bii registrului TACTL [2].

Biii TASSELx (biii 9-8) selecteaz semnalul de tact al numrtorului de 16 bii astfel:
0 0, TACLK (semnal extern, aplicat la un pin dedicat)
0 1, ACLK
1 0, SMCLK
1 1, INCLK (TACLK inversat)
Biii IDx (biii 7-6) selecteaz factorul de divizare al semnalului de tact al numrtorului de 16
bii astfel:
0 0, divizare cu 1
0 1, divizare cu 2
1 0, divizare cu 4
1 1, divizare cu 8
Biii MCx (biii 5-4) selecteaz modul de lucru al numrtorului de 16 bii astfel:
0 0 stop, numrtorul nu funcioneaz
0 1 modul up
1 0 modul continuous
1 1 modul up-down

Se cunoate c n registrul TACCTL1, biii OUTMODx, care permit selecia modului


de lucru al ieirii ocup poziiile 7-5. n continuare, se prezint valorile biilor pentru dou
dintre modurile de lucru.
OUTMODx
modul de lucru
011
....
111

set-reset
reset-set

Toate instruciunile necesare n program sunt de forma MOV.W (.B) src, dst
[1], pag. 101-102.
Rezolvare:
Se tie c perioada semnalului generat este T=(TACCR0+1)/fCLK.
Se obine TACCR0+1=T fCLK=50 s 1 MHz=50, adic TACCR0=49; rezult c nu
este necesar o divizare a semnalului de tact.
Se
tie
c
factorul
de
umplere
al
semnalului
generat
este:
fu=(TACCR1+1)/(TACCR0+1).
Se obine TACCR0+1= fu (TACCR0+1)=0,2 50=10, adic TACCR1=9
Coninutul registrului TACTL:
10 0001 0000 = 210h
SMCLK;
Divizare cu 1;
modul up
Coninutul registrului TACCTL1:
1110 0000 = E0h
reset-set;

Programul este prezentat n continuare


MOV.B
MOV.B
MOV.W
MOV.W

e1:

#04h, P1DIR ; P1DIR.2=1


#04h, P1SEL ;P1SEL.2=1, stabil funcie OUT1 pentru pinul P1.2
#49, TACCR0; TAR numara pana la 49, apoi OUT1 comut
#9, TACCR1; cealalt comutare a lui OUT1: cand TAR=9

MOV.W #210h, TACTL; TASSELx=10b, SMCLK; MCx=01b, modul up


MOV.W #E0h, TACCTL1; OUTMODx=111b, modul de ieire reset-set
JMP e1

Semnalul generat, corelat cu coninutul registrului numrtor TAR:

TACCR0
TACCR1

TAR

Bibliografie:
[1] S.Mischie, C. Dughir, G. Vasiu, R.Pazsitka, Microcontrolere MSP430. Teorie i Aplicaii,
Editura Politehnica 2012
[2] L1.pdf, n https://intranet.etc.upt.ro/~SPNP_B/Laborator

Aparate electronice de msurat


Anul III

112

Bibliografie:
Traian Jurca, Dan Stoiciu, Septimiu Mischie Aparate electronice de masurat, Editura Orizonturi
Universitare Timisoara 2001
1. Osciloscop de uz general (schema bloc, mod de functionare)
paragraf 1.2.1.
1.2.1. Schema bloc. Funcionarea osciloscopului

uX

2
Baza de
timp

TIMP/DIV

Bloc de
alimentare

Circuit de
sincronizar
e

NIVEL

Calibrator
intern
IEIRE CALIBRATOR

REEA

K2
INT

GND

EXT

SINCRONIZARE EXT

uY

CA

CC

K1

Atenuator

uBT
113

Fig. 1.1. Schema bloc a osciloscopului analogic de uz general.

1 K3

ETALONARE X POZIIE X

Amplificator
X

ux

y2

x1
x2

y1
uy
Amplificato
rY

VOLI/DIV

ETALONARE Y

POZIIE Y

Tub catodic

Osciloscopul analogic de uz general este destinat analizei semnalelor periodice. El este un osciloscop
n timp real, adic pe ecranul su se obine o reprezentare direct a semnalului de vizualizat, existnd o
coresponden biunivoc ntre punctele imaginii i punctele de pe curba semnalului. (Dup cum se va vedea
mai jos, aceast coresponden lipsete la osciloscoapele cu eantionare).
Schema bloc a osciloscopului este prezentat n fig. 1.1.
Piesa principal a osciloscopului este tubul catodic. Pentru obinerea unei imagini luminoase, ecranul
luminiscent al acestuia este bombardat cu un fascicul de electroni. n locul de impact apare un punct luminos,
denumit spot. Spotul poate fi deplasat pe ecran cu ajutorul a dou sisteme de deflexie: vertical (Y) i
orizontal (X). Deflexia poate fi electrostatic (cu plci de deflexie) sau electromagnetic (cu bobine
de

deflexie). Datorit avantajelor pe care le ofer n ce privete viteza de rspuns, la osciloscoape se folosete cu
precdere deflexia electrostatic, motiv pentru care n continuare numai aceasta va fi prezentat.
La tuburile catodice cu deflexie electrostatic, sistemele de deflexie sunt alctuite din dou perechi
de plci de deflexie, notate Y (pentru deflexia vertical) i, respectiv, X (pentru deflexia orizontal). Acestor
perechi de plci li se aplic tensiunile uy i ux, iar deplasarea spotului pe fiecare direcie este practic
proporional cu aceste tensiuni.
Pentru vizualizarea depedenei unei tensiuni de o alt tensiune, plcilor X li se aplic tensiunea n
funcie de care se dorete reprezentarea tensiunii aplicate plcilor Y (K3 n poziia 2).
Pentru vizualizarea formei de variaie n timp a unei tensiuni, aceasta se aplic la plcile Y, iar la
plcile X se aplic o tensiune liniar variabil (K3 n poziia 1). Necesitatea unei tensiuni liniar variabile
rezult din aceea c deplasarea pe orizontal a spotului, proporional cu ux, trebuie s fie proporional cu
timpul i, ca urmare, ux trebuie s fie proporional cu timpul.
Obinerea unei imagini stabile (staionare) se bazeaz pe suprapunerea pe ecran a mai multor imagini
identice, un rol esenial n acest sens revenindu-i circuitului de sincronizare, descris n paragraful 1.2.5.
Tensiunea uY este atenuat sau amplificat pentru a asigura nivelul necesar pentru comanda plcilor
Y. Comutatorul V/DIV permite modificarea dimensiunii verticale a imaginii (modificarea sensibilitii
osciloscopului).
Comutatorul K1 permite conectarea tensiunii uY la intrarea ATY fie direct (K1 n poziia CC), fie
prin condensator (K1 n poziia CA), caz n care componenta continu a tensiunii uY este suprimat. n
aceast situaie se poate vizualiza corespunztor componenta alternativ a unei tensiuni cu component
continu mare (de exemplu, o tensiune redresat i filtrat). n poziia GND (GrouND) a lui K1, intrarea
ATY este conectat la mas, ceea ce permite reglarea poziiei verticale a nivelului zero, prin deplasarea
corespunztoare a imaginii, cu ajutorul poteniometrului POZIIE Y .
Comutatorul K2 permite alegerea modului de sincro-nizare: cu semnalul de vizualizat, cu un semnal
extern sau cu reeaua. Utilitatea fiecrui mod de sincronizare, precum i rolul poteniometrului NIVEL vor fi
prezentate n paragraful 1.2.5.
Comutatorul TIMP/DIV permite vizualizarea cores-punztoare a semnalelor, indiferent de frecvena
acestora, prin modificarea coeficientului de baleiaj pe orizontal.
Calibratorul intern furnizeaz una sau mai multe tensiuni dreptunghiulare avnd frecvena i
valoarea vrf la vrf cunoscute cu o precizie acceptabil, necesare pentru etalonarea celor dou axe ale
ecranului tubului catodic. Aceasta se realizeaz cu ajutorul poteniometrelor ETALONARE Y i, respectiv,
ETALONARE X.
Observaie. Regimul calibrat este singurul pentru care sunt valabili coeficienii de deflexie
inscripionai pe panoul frontal (comutatoarele V/DIV i, respectiv, TIMP/DIV) .
Blocul de alimentare asigur alimentarea tuturor circuitelor osciloscopului, precum i polarizarea
adecvat a electrozilor tubului catodic.

2. Sonda cu atenuator pentru osciloscopul de uz general (schema, proiectarea elementelor din


schema)
paragraf 1.2.3,
1.2.3. Sonda
Sonda este elementul care permite aplicarea tensiunii de studiat la intrarea Y, fr ca acest semnal s
fie influenat de perturbaiile exterioare. n plus, sonda trebuie astfel realizat nct s influeneze ct mai
puin circuitul n care se conecteaz.
O sond este constituit dintr-un cap de prob CP urmat de un cablu coaxial CC care face legtura cu
osciloscopul (fig. 1.4).

114

OSCILOSCOP
Cap de prob

Cablu coaxial
Y
Rin

uY

Cin

Born de mas

Fig. 1.4. Sonda osciloscopului.


Sondele pot fi pasive sau active. Sondele pasive pot fi cu sau fr atenuator.
Sondele active conin n capul de prob dispozitive de amplificare care permit obinerea unei
impedane de intrare mari (R - mare, de ordinul a 100 M i C - mic, de ordinul a 3 pF), n condiiile unei
amplificri unitare.
Sondele pasive fr atenuator au avantajul c nu atenueaz semnalul, n schimb au dezavantajul c
prezint o rezisten de intrare relativ sczut (Rin) i o capacitate de intrare foarte mare deoarece la Cin se
adun capacitatea cablului coaxial, care este de ordinul a zeci de pF/m. n mod uzual, impedana de intrare a
ansamblului osciloscop-sond fr atenuator este 1 M n paralel cu 150 pF.
Sondele pasive cu atenuator n capul de prob (fig. 1.5) au dezavantajul c atenueaz semnalul, n
schimb prezint avantajul unei impedane de intrare ridicate (R - mare, de ordinul a 10 M, C - mic, de
ordinul a 7 pF).
Cap de prob

Cc

OSCILOSCOP
Cablu coaxial

R
uY

Rin

Ccc

Cin

Fig. 1.5. Sond cu atenuator n capul de prob.


Observaie. Capacitatea de compensare a sondei Cc este ajustabil pentru a permite ndeplinirea
condiiei de compensare indiferent de valoarea capacitilor Cin i Ccc, adic indiferent de osciloscop i de
lungimea i tipul cablului coaxial.
Aplicaie. Un osciloscop are Rin= 1 M i Cin = 30 pF. Cablul coaxial utilizat pentru sond are o
capacitate parazit de 70 pF/m. S se calculeze elementele impedanei de intrare a osciloscopului n cazul
unei sonde fr i cu atenuator 10 : 1, la o lungime l = 1,5 m a cablului coaxial. S se calculeze, de
asemenea, modulul impedanei de intrare n cele dou cazuri, pentru frecvena de 10 MHz.
Soluie. Notnd cu Ri i Ci elementele impedanei de intrare cutate, n cazul sondei fr atenuator,
pe baza fig. 1.4 se obine:
Ri Rin 1 M ,
Ci Ccc Cin 70 1,5 30 135 pF .

La frecvena de 10 MHz, reactana capacitiv a lui Ci este


Xc =

1
2 10 135 10 12
7

120 ,
115

mult mai mic dect Ri , astfel nct modulul impedanei de intrare a osciloscopului la aceast frecven este
practic de 120 .
n cazul sondei cu atenuator, n capul de prob, pe baza fig. 1.5 i a relaiilor (1.1) i (1.2) i innd
cont i de atenuarea de 10 ori a sondei, se poate scrie:
R 9 Rin 9 M ,

Cc

1
135
(Ccc Cin )
15 pF ,
9
9
Ri R Rin 10 M ,

Ci

Cc (Ccc Cin )
13,5 pF .
Cc Ccc Cin

La frecvena de 10 MHz, reactana capacitiv a lui Ci este de 10 ori mai mare n situaia sondei cu
atenuator (1200 ).
Din cele de mai sus se observ c, n cazul sondei cu atenuator, componentele impedanei de intrare
sunt mbuntite - fa de cazul sondei fr atenuator - cu un factor de 10, egal cu raportul de atenuare al
sondei.

3. Tehnica esantionarii secventiale (principiul, caracteristici)


paragraf 1.3.2. pag 25,
1.3.2. Tehnici de eantionare utilizate n osciloscoapele numerice
Tehnicile de eantionare utilizate n osciloscoapele numerice sunt: eantionarea secvenial,
eantionarea aleatoare i eantionarea n timp real.
Eantionarea secvenial este ilustrat n fig. 1.9.
2

ui 1
R
0

T+t
3

5 R

R
5

T+t

T+t

T+t

R
3

t
y

5
0

6
x

5(T+t) -timp real


5t -timp echivalent

Fig. 1.9. Eantionarea secvenial.


Ea se poate aplica numai n cazul semnalelor periodice i const n prelevarea n fiecare perioad a
semnalului de vizualizat a cte unui eantion, eantioanele succesive fiind ntrziate tot mai mult fa de un
moment de referin R. Primul eantion este prelevat cu o ntrziere t fa de momentul de referin R.
Perioada de eantionare este T+t, T fiind perioada semnalului. Ca urmare, n cea de-a doua perioad a
semnalului, eantionul va fi prelevat cu o ntrziere 2t. n cea de-a treia perioad a semnalului, eantionul
va fi prelevat cu o ntrziere 3t fa de momentul de referin R .a.m.d. Dei eantioanele sunt culese n
perioade diferite, aparent ele aparin aceleiai perioade. Perioada aparent de eantionare este t, iar n
realitate ea este T+t. Dac se ia, de exemplu, t = 0,01T, atunci perioada de eantionare este aproximativ T,
116

iar perioada aparent de eantionare este de 0,01T. Ca urmare, folosind aceast tehnic, banda de frecvene a
osciloscopului poate crete foarte mult, avnd n vedere faptul c frecvena aparent de eantionare este de
100 de ori mai mare dect frecvena real de eantionare.

4. Generator sinusoidal RC de joasa frecventa (schema, relatia pentru frecventa de oscilatie, rolul
reactiei negative)
paragraf 2.2.1. pag.43,

DOMEN

c) Generatoare RC. Oscilatorul RC intr n componena celor mai multe generatoare de joas frecven. n
schema de principiu prezentat n figura 2.4 se observ c amplificatorul A este prevzut cu dou reacii: una
negativ realizat cu termistorul RT si rezistena R i una pozitiv realizat cu impedana Z1 (format din
rezistena R1 n serie cu capacitatea C1) i impedana Z2 (format din rezistena R2 n paralel cu capacitatea
C2).


R1
R1

R 1

RT

FRECVENA

C1

R 2


R 2

R2

C2

Rad

Uie

Fig.2.4. Oscilatorul RC.

Circuitul din figur va genera oscilaii sinusoidale dac satisface condiia lui Barkhausen:
_

A B =1

(2.9)

A B exp [ j ( + )] = 1

(2.10)

sau
Unde:
_

= A exp ( j ) este factorul de ctig al amplificatorului A, iar B = B exp ( j ) este factorul de reacie,
ambele exprimate sub form de numere complexe.
Relaia 2.10 poate fi desfcut n dou condiii:
1) condiia de amplitudine:
AB=1
2) condiia de faz:
+ = 2n ( n = 0,1,2,3,.)

(2.11)
(2.12)

Pentru circuitul din figura 2.4, condiia de faz este ndeplinit pentru o singur frecven, iar
valoarea acesteia va fi calculat n cele ce urmeaz.
Deoarece amplificatorul A are o banda de frecven acoperitoare pentru domeniul de frecvene
generat, defazajul introdus de el este constant i anume = 2. Ca urmare este un numr real. innd
seama i de condiia 2.12, rezult c i B trebuie s fie real. Din figura 2.4 factorul de reacie poate fi
explicitat:
_

Z2
_

(2.13)

Z1 Z 2

nlocuind :
117

1
jC1

Z 1 R1

Z2

R2
1 jC 2 R2

(2.14)

n practic, innd seama de uurina realizrii elementelor reglabile se iau:


R1 = R2 = R;
Rezult :
_

C1 = C2 = C.

(2.15)

1
3 j ( CR 1 / CR )

(2.16)
_

Din relaia 2.16 se observ c B devine real i ia valoarea B = 1/3 in cazul valorii particulare a
pulsaiei

1
.
RC

(2.17)

Relaia (2.17) arat c pentru modificarea frecvenei de oscilaie, altfel spus, pentru ndeplinirea
condiiei de faz, trebuie modificate valorile RC. Din aceast cauz, reeaua ce alctuiete reacia pozitiv se
mai numete reea de defazare (n cazul dat n figura 2.4 reeaua de defazare este o reea Wien).
nlocuind B = 1/3 n relaia (2.11) aflm valoarea A = 3 pentru care este satisfacut condiia de
amplitudine. Un oscilator construit n jurul unui amplificator cu o amplificare aa de mic este foarte instabil
i de aceea n practic se folosete un amplificator cu o amplificare A0 n bucl deschis foarte mare, iar
aceasta e redus la A = 3 cu ajutorul unei reacii negative. n cazul din figura 2.4 reacia negativ este
realizat cu un termistor cu coeficient de temperatur negativ a crui valoare este RT i cu rezistena R.
Constanta de timp a termistorului este mult mai mare dect perioada cea mai mare a oscilaiei generate de
oscilator. n felul acesta, rezistena termistorului va depinde doar de valoarea efectiv a tensiunii de ieire i
nu va nregistra modificri sensibile pe durata unei perioade a oscilaiei generate. Prezena termistorului
asigur i stabilizarea n amplitudine a oscilaiilor.

5. Voltmetru de curent continuu (caracteristici, schema de principiu, functionare)


paragraf 3.2.1.
3.2.1. Schema bloc. Funcionare
n figura 3.1. se arat schema bloc a unui voltmetru numeric la care circuitele de comand (realizate
fie cu logic cablat, fie cu microprocesor) pot lucra n dou moduri:
-LOCAL, atunci cnd programarea lor se face de la panoul frontal PF, panou pe care se face i
afiarea rezultatelor, depirea de domeniu, funcionarea defectuoas;
-REMOTE (distan), atunci cnd programarea lor i prelucrarea rezultatelor se face de la distan
prin intermediul unei interfee standard (IS). n aparatura de msurare se ntlnete cel mai des interfaa IEEE
488 i mai rar RS 232.
UR

Zero

Domenii

Fin

Ux
EI

CAN

CC

Disp.
afi.

Circuite
de
comand

PF

K1 K2
Plan de
referin

Conector

IS

Fig. 3.1. Schema bloc a unui voltmetru numeric.

118

Prin intermediul comutatorului K1 etajul de intrare EI poate fi conectat la tensiunea necunoscut Ux


sau la potenialul masei. Corecia de zero este monitorizat de ctre circuitele de comand.
Comutatorul K2 ne d posibilitatea s verificm al doilea punct de pe caracteristica de transfer prin
msurarea unei tensiuni de referin UR cunoscute. Eventualele ajustri se realizeaz cu poteniometrul "Fin"
din cadrul amplificatorului A. Schimbarea de domenii se face prin modificarea amplificrii i prin
schimbarea raportului de divizare (figura 3.2.).
Un convertor analog-numeric CAN, furnizeaz la ieire un numr, cel mai adesea n cod binar,
proporional cu tensiunea msurat. Convertorul de cod CC face transformarea n cod zecimal, care prin
afiare, este mai uor interpretat de operatorul uman.

6. Etaj de intrare pentru voltmetre de curent continuu (caracteristici, schema de principiu,


functionare) paragraf 3.2.2.
3.2.2. Etajul de intrare
Asigur impedana de intrare ridicat i o deriv a nulului ct mai mic.
n figura 3.2. este reprezentat un circuit de intrare compus dintr-un atenuator rezistiv, cu trei trepte
de atenuare i un amplificator cu reacie negativ cu dou trepte de amplificare. Prin combinarea treptelor de
atenuare x1, x0,01 i x0,001 i a treptelor de amplificare x1 i x10 se obin cinci game de msurare.
Se observ c pe gamele de intrare 0,1V i 1V rezistena de intrare este mare (intrarea neinversoare a
AO realizeaz uzual rezistene de intrare n jur de 100 M), pe cnd pe gamele de 10V, 100V i 1000V
rezistena de intrare este de 10 M (dat de divizorul rezistiv).

1k

9k
x10
x1

x1
x 0,01
Ucc
x 0,001

9,9M
90k
10k

Gama

0,1V
1V
10V
100V
1000V

Atenuarea
x1
x1
x0,01
x0,01
x0,001

la A
Amplificarea
x10
x1
x10
x1
x1

Fig.3.2. Etajul de intrare al unui voltmetru electronic.

7. Convertor analog numeric cu dubla integrare (schema de principiu, functionare)


paragraf 3.2.3. pag 70,
3.2.3. Convertorul analog-numeric cu dubl integrare
Convertorul analog-numeric cu dubl integrare convertete tensiunea continu de msurat ntr-un
interval de timp proporional, care este apoi msurat pe cale numeric. Structura de principiu simplificat a
unui astfel de convertor este redat n figura 3.3. Funcionarea convertorului comport dou faze: integrarea
tensiunii de msurat i, apoi, integrarea tensiunii de referin.
n prima faz, comutatorul K este pus n poziia 1 i la intrarea integratorului se aplic tensiunea de
msurat -Ux. Admitem, n continuare c Ux este pozitiv, deci - Ux este negativ. Admitem, de asemenea, c
amplificatorul operaional din integrator este ideal, n sensul c are amplificare infinit, curent de intrare nul
i tensiune de decalaj nul. Ca urmare, punctul 0 poate fi considerat practic legat la mas, iar curentul prin
rezistena R, n faza 1, are valoarea constant dat de expresia

119

INTEGRATOR
I
-Ux
1

uc

+
_

AO

UREF

COMP

ui

DISPOZITIV
CY NUMRTOR
DE COMAND

OSCILATOR
f0 (T0)

Fig. 3.3. Structura convertorului analog-numeric cu dubl integrare.


I

Ux .
R

(3.3)

Acelai curent parcurge i condensatorul C i, n consecin, tensiunea pe condensator va avea expresia


U
U
1
1 U
(3.4)
u c idt x dt x dt x t ,
C
C R
RC
RC
adic, pe condensator tensiunea crete liniar n timp (figura 3.4).
Faza 1 are durata fix T1. La sfritul acestei faze, tensiunea de la ieirea integratorului, care este aceeai
cu tensiunea de pe condensator, are valoarea Uimax dat de relaia:
U
U i max x T1 .
(3.5)
RC
ui
Uimax
Ux

UREF

Ux2

T1

tx

Fig. 3.4. Diagrama de timp aferent funcionrii CAN cu dubl integrare.


Faza a doua ncepe la t = T1. Comutatorul K este trecut n poziia 2 i la intrarea integratorului se
aplic tensiunea de referin UREF, pozitiv (tensiunea de referin are polaritate opus tensiunii de
msurat). Ca urmare, curentul prin R va avea valoarea constant dat de relaia:
U
I REF
(3.6)
R
i sens opus celui din faza 1, reprezentat n figura 3.3. Aceasta conduce la o scdere liniar a tensiunii de pe
condensator i, implicit, a tensiunii de la ieirea integratorului, ui. Faza a doua ia sfrit n momentul n care
tensiunea ui atinge valoarea 0 (se anuleaz), moment sesizat de comparatorul COMP. Se noteaz cu tx durata
acestei faze. Se poate scrie:
U
(3.7)
U i max REF t x .
RC
Combinnd relaiile (3.5) i (3.7), se obine:
(3.8)
U x T1 U REF t x ,
120

care exprim faptul c intervalul tx este direct proporional cu tensiunea Ux, mrimile T1 i UREF fiind
constante. Cu alte cuvinte, tx este o msur a lui Ux i msurnd pe tx, se msoar de fapt Ux.
Relaia (3.8) arat i faptul c precizia de msurare nu depinde de valorile componentelor R i C ale
integratorului.
Msurarea intervalului de timp tx se realizeaz prin numrarea, pe durata tx, a impulsurilor de
perioad cunoscut T0, furnizate de oscilator. Fie n numrul de impulsuri astfel numrate. Rezult
(3.9)
t x n T0 .
Analiznd relaiile (3.8) i (3.9), rezult c precizia de msurare depinde de precizia cu care se cunoate T0.
Pentru ca precizia de msurare s nu depind nici de valoarea lui T0, se face n aa fel nct i durata T1 s fie
determinat tot n funcie de T0. Pentru aceasta, durata T1 se obine prin numrarea unui numr de N
impulsuri de durat T0. Rezult:
(3.10)
T1 N T0
i, n final:
n
(3.11)
U x U REF .
N

ui
Ux3Ux2Ux1

UREF

Ux3
UREF

Ux2
Ux1

T1

UREF

tx1

tx2

t
tx3

Fig. 3.5. Diagrame de timp pentru tensiuni de intrare diferite.


n practic, numrul N este capacitatea numrtorului (numrul maxim pe care acesta l poate
numra), astfel nct dup numrarea, n faza nti, a N impulsuri, numrtorul se pune automat pe zero
(adic este pregtit pentru faza a doua) i d un impuls (de transport) la ieirea CY. Acest impuls este preluat
de dispozitivul de comand, care pune comutatorul K n poziia 2, ceea ce iniiaz faza a doua a msurrii.
Dup cum se observ din cele expuse mai sus, n faza a doua panta tesiunii ui este constant (ea este
determinat de UREF, care este constant). Ca urmare, pentru tensiuni de intrare diferite, Ux1, Ux2 i Ux3, se
obin diagrame diferite, reprezentate n figura 3.5.

8. Convertor curent - tensiune pentru multimetre electronice (cerinte, schema de principiu)


paragraf 3.3.1.
3.3.1. Convertor curent-tensiune
Pentru msurarea curentului continuu se poate folosi circuitul din figura 3.9.

121

0,1mA
1mA

900

10mA

90

100mA

la VN

+
9k

0.9

1A

1k

0.1

Fig. 3.9. Schema unui convertor curent-tensiune.


Curentul de msurat parcurge un unt comutabil producnd o cdere de tensiune nominal de 100 mV. Se
observ c amplificatorul de curent continuu este acelai cu cel din figura 3.2, dar fixat pe poziia x10. La
ieirea amplificatorului se furnizeaz spre voltmetrul numeric o tensiune ntre 0 i 1V pentru fiecare domeniu
de msurare a curentului.
n cazul n care cderea de tensiune pe rezistena untului (rezisten ce poate avea o valoare
nsemnat la msurarea curenilor mici) deranjeaz funcionarea n care are loc msurarea, se utilizeaz un
convertor curent-tensiune cu amplificator transimpedan, figura 3.10.
R

a)

U0

Ux

R1
R2
U0

b)
Fig. 3.10. Amplificatoare de transimpedan.

Tensiunea de ieire este:


(3.12)
U 0 AI ,
iar pentru circuitul din figura 3.10.a) avem:
U 0 RI
(3.13 )
Putem calcula valoarea rezistenei R pentru diferite sensibiliti. De exemplu, pentru 1V/mA avem R=1k,
iar pentru 1V/A avem R = 1M. Pentru sensibiliti mai mari valoarea lui R devine nepermis de mare.
Circuitul din figura 3.10.b) elimin necesitatea unei valori foarte mari pentru R. n nodul reelei T
avem
U x RI ,
(3.14)
iar din relaia lui Kirchoff pentru cureni avem
0 U x 0 U x U x U0
(3.15)

R
R1
R2
Eliminnd tensiunea Ux obinem:
U 0 Rech I ,
(3.16)
unde
R
R
(3.17)
Rech (1 2 2 ) R
R
R1
Se observ c R este nmulit cu un factor supraunitar a crui mrime este controlat de raportul
R2/R1.
122

9. Convertoare curent continuu curent alternativ de pentru valori medii (schema de principiu,
functionare, erori la masurarea valorii efective).
paragraf 3.3.3.
3.3.3. Convertoare curent alternativ-curent continuu de valoare medie
Valoarea medie redresat a unei tensiuni alternative este valoarea medie n timp a modulului
tensiunii
U med

1 t T
u (t ) dt
T t

(3.28)

Convertoarele c.a.-c.c. de valoare medie se realizeaz practic ntotdeauna prin redresarea tensiunii
alternative (figura 3.15.a), b) ). Circuitul din figura 3.15.a) funcioneaz ca un redresor monoalternan i
folosete un amplificator operaional pentru a corecta neliniaritatea diodelor. n semialternana negativ a
tensiuni de intrare, D1 este blocat, D2 conduce, iar raportul dintre valorile instantanee u2/u1 este egal cu
R2/R1 cu o precizie foarte bun. n semialternana pozitiv a tensiunii de intrare D1 conduce, amplificarea este
mic, D2 este blocat iar tensiunea de ieire este practic nul.
Schema din figura 3.15.b) realizeaz redresarea dubl alternan, iar amplificatorul operaional
corecteaz practic orice neliniaritate a diodelor (deoarece amplificarea cu reacie crete cnd rezistena
diodelor este mare i scade n situaia contrar). Schema poate fi folosit i ca redresor simpl alternan dac
ieirea se consider ntre A sau B i mas.
Ambele scheme din figura 3.15. au banda de frecven limitat n special datorit prezenei
amplificatoarelor operaionale.
R

R2
D1

D2

R1

u1

u2

A
B

D1
D2

u2

u1

a)

b)
Fig.3.15. Scheme de convertoare c.a.-c.c. de valoare medie.
Pentru a netezi tensiunea pulsatorie rezultat din redresarea simpl sau dubl alternan,
convertoarele c.a.-c.c. de valoare medie au la ieire un filtru trece jos i cum n tehnic intereseaz cel mai
adesea valoarea efectiv, amplificarea global a filtrului este 1,11. Ca urmare, un astfel de convertor c.a.-c.c.
msoar corect valoarea efectiv doar n cazul unei tensiuni sinusoidale la intrare (fr armonici i fr
zgomot alb).
n cele ce urmeaz vom studia erorile ce apar ntre valoarea indicat de un voltmetru de valori
efective echipat cu convertor c.a.-c.c. de valori medii i valoarea efectiv adevrat pentru cteva tipuri de
form de und la intrare: o und triunghiular i o und dreptunghiular (figura 3.16.).
u

Uv

Uv

a)
b)
Fig. 3.16. Forma de und triunghiular i dreptunghiular.
Calculm valoarea medie n modul a unei tensiuni triunghiulare (figura 3.16.a) ), a crei valoare de
vrf este UV:
123

U
4 / 2 2U v
tdt v

2 0
2
Valoarea efectiv a aceleiai unde este:
U med

(3.29)

2
U
4 / 2 4U v 2
U
t dt v
(3.30)

2
2 0
3
Putem calcula eroarea ce apare ntre valoarea indicat de un voltmetru de valori efective echipat cu
un convertor c.a.-c.c. de valori medii i valoare efectiv adevrat, eroare ce apare la msurarea tensiunilor
triunghiulare.
1,11 / 2 1 / 3

100 3,81%
1/ 3
Pentru cazul undei dreptunghiulare calculele sunt simple deoarece valoarea medie este egal cu
valoarea efectiv. Deci, voltmetrul va indica cu 11% mai mult dect valoarea efectiv adevrat.
Totodat se observ c unda dreptunghiular are, fa de oricare alt form de und, cel mai mic
raport dintre valoarea efectiv i valoarea medie. Se poate spune deci, c un voltmetru de valori efective,
echipat cu convertor c.a.-c.c. de valori medii nu va indica niciodat cu mai mult de 11% fa de valoarea
efectiv adevrat a undei alternative periodice de la intrare.
n concluzie, convertoarele c.a.-c.c. de valoare medie, fiind cele mai uor de realizat, practic sunt i
cele mai des ntlnite n construcia multimetrelor. Se utilizeaz uzual n gama de frecven 10Hz - 100kHz
dar cu circuite speciale (diode i amplificatoare de nalt frecven) gama poate fi extins la 10 MHz.
Precizia convertoarelor c.a.-c.c. de valoare medie este de obicei ntre 0,05% i 0,5%. Se poate obine
un interval de msurare relativ larg, limita superioar fiind dictat de saturarea amplificatorului operaional,
iar limita inferioar de fluctuaii i derive. Totui, n cazul msurrilor de precizie, tendina este de a nlocui
acest tip de convertor cu cele de valoare efectiv.

10. Convertor rezistenta - tensiune pentru multimetre electronice (cerinte, schema de principiu)
paragraf 3.3.5.
3.3.5. Convertoare rezisten - tensiune
Dac pn acum convertoarele studiate preluau energie de la msurand, n procesul de msurare a
rezistenei, aparatul de msur trebuie s fie capabil s furnizeze energie. n principal se folosesc cele dou
scheme prezentate n figura 3.19.
Rref

Rref

Uref

Uref
U2

A
U2

Rx

la voltmetru

Rx

a)

la voltmetru

b)

Fig.3.19. Scheme de convertoare rezisten - tensiune.


Prima variant (figura 3.19.a) ) folosete o surs de curent constant care determin o cdere de
tensiune pe rezistena necunoscut Rx. Aceast cdere de tensiune este amplificat de un amplificator cu
rezisten mare de intrare. Gamele de msurare sunt obinute prin comutarea rezistoarelor de reacie ale
amplificatorului A i prin schimbarea curentului generat de surs.
A doua variant (figura 3.19.b) ) plaseaz rezistena Rx n reacia amplificatorului operaional i
astfel curentul de referin va fi egal cu cel care strbate rezistena necunoscut.
Rezult relaia:
124

U ref
Rref

U2
,
Rx

(3.31)

U2

(3.32)

de unde
Rx

Rref
U ref

Tensiunea U2 msurat de voltmetrul numeric este deci proporional cu Rx. Factorul de


proporionalitate se poate modifica prin comutarea rezistoarelor Rref.

125

Bazele sistemelor flexibile inteligente


Anul III

126

BIBLIOGRAFIE: Ivan Bogdanov, CONDUCEREA ROBOTILOR, Ed.Orizonturi Universitare, 2009.


1.

Reprezentarea rotatiilor spaiale cu ajutorul cuaternionilor

127

pp.54-57.

128

2.

Schema bloc a unui sistem robot. Funciile sistemului de conducere pp 23-28;pp.28-29.

129

130

131

132

133

134

3.

Problema conducerii unui robot. pp.83-85.

135

136

4.

Legtura spaiu timp n conducerea unui robot. pp88, pp.91 - 96.

137

138

139

140

141

5.

Problema conducerii nemijlocite a elementelor c.c.c. Schema de conducere a unei axe. pp. 27 28; pp.99-101;
pp.213 -218

142

143

144

145

146

147

148

149

150

151

152

6.
7.

Cum se realizeaz conducerea unui robot n cazul conducerii distribuite. pp.27 28; pp.99-101; pp.214-215 ----IDEM 5
Specificarea micrii n coordonate c.c.c. pp.102-107

153

154

155

156

157

158

8.

Generarea micrii n coordonate c.c.c. Concluzii, avantaje, dezavantaje pentru conducerea in coordonate c.c.c.
pp.107-109

159

160

9.

Conducerea unui robot n coordonate carteziene. Generarea micrii. pp.109 -110.

161

10. Problema timpului de calcul n conducerea unui robot. Interpolarea liniar. pp.122 -126.

162

163

164

165

166

167

Electronica de putere in comutatie


Anul III

168

1. Convertor buck n regim CCM.[1] ( schema, forme de und , pp.98-99).

Factorul de umplere al semnalului rezultat n urma modulrii n durat este:


t on / TS u C / u max ,
(3.1)
unde : u C este tensiunea de control;

u max - valoarea maxim a tensiunii liniar variabile;


Convertoarele c.c. c.c. cunosc dou moduri distincte de funcionare:
- cu un curent de sarcin nentrerupt (continuos conduction mode CCM);
- cu un curent de sarcin ntrerupt (discontinuos conduction mode DCM).
Convertoarele c.c. c.c. fr izolare se vor analiza n aceste dou regimuri de funcionare.
3.2.1. CONVERTORUL STEP-DOWN ( BUCK)
+
iL

i0

Ui
+
u0i
-

u0
-

u0i
Ui
t

U0
ton

toff
Ts

Fig.3.4. Convertorul buck.

Convertorul produce o tensiune de ieire a crei valoare medie este mai mic dect a tensiunii de
la intrare. n fig.3.4 este prezentat convertorul buck, care
debiteaz pe o sarcin rezistiv. Considernd comutatorul ca un ntreruptor ideal, se
poate calcula valoarea medie a tensiunii de ieire, U 0 :

U0

1
TS

TS

u 0 (t )dt
0

1
TS

t on

U i dt
0

1
TS

TS

t on

t on

0dt T

U i U i .

(3.2)

innd cont de relaia (3.1), avem:

U0

uC
U i u C .
u max

(3.3)

Prin modificarea factorului de umplere al semnalului de comand se poate controla


valoarea medie a tensiuni de ieire. Totodat se poate vedea c tensiunea U 0 se modific liniar
cu tensiunea de comand.

169

3.2.1.1.CONVERTORUL BUCK N REGIM CCM

(Ui-U0)
A

t
B

iL

(-U0)
Ts

IL=I0
toff

ton
iL
+

Ui

iL

uL

Ui
-

U0

uL

U0

Fig.3.5. Regimul de curent nentrerupt:


a)
a) comutator nchis; b) b)
comutator deschis.

2. Convertor buck n regim DCM cu Ui = constant. [1] [ fig.3.7, U0 = f(Ui), pp.102-103].

Reprezentarea

grafic

acestei

relaii

este

redat

figura

3.6b.

Pstrnd

U i , L, TS constante, valoarea maxim a curentului de ieire pentru care se ajunge la regimul de


curent ntrerupt se obine pentru 0,5.
TU
( I L ) L max S i ,
(3.9)
8L
iar

( I L ) L 4( I L ) L max (1 ).
u

(3.10)

iLmax

uL

Ui U 0

iL

IL=I0
Ts

Ts

t
1 Ts

2 Ts
-U0

Fig.3.7. Regimul de curent ntrerupt.

Dac U i este constant i U 0 este variabil, n funcionarea convertorului apar momente


cnd, n funcie de valoarea lui , curentul prezint discontinuiti. Spre exemplu, dac consumul
170

de putere de la ieire scade ( adic RS crete), valoarea medie a curentului prin bobin scade i
se ajunge la situaia reprezentat n figura 3.7.
Pentru a ilustra acest fenomen, se va calcula raportul U 0 / U i i se va pune in eviden n
ce condiii apare regimul de curent ntrerupt, dac tensiunea de ieire se modific.
Din diagrama din figura 3.7 avem:
(U i U 0 )TS (U 0 )1TS 0,
(3.11)
adic

U0

.
U i 1

(3.12)

Tot din diagram rezult:

i L max

U0
1TS ,
L

(3.13)

U 0 /Ui

=1,0

1,0

Ui=cons
t.

0,9
0,75
0,7
0,5

0,5

Discontinu
u

0,3

0,25

0,1
0,5

1,5

1,0

2,0

I0
)
( I L ) L max

Fig.3.8. Caracteristica convertorului buck la Ui = const.

I 0 i L max

(TS 1TS ) 1
( 1 )
i L max
.
TS
2
2

(3.14)

innd cont de relaia (3.13), rezult:

I0

U 0 1TS ( 1 ) U i TS

1 4( I L ) L max 1 ,
2L
2L

(3.15)

3. . Pulsaiile tensiunii de ieire la convertorul buck.[1,pp. 106-107].

Pulsaia, vrf la vrf, a tensiunii pe condensator este:


uL

(Ui U0)
t
( U0)

iL
Q
IL/2

IL = I 0

Ts/2

t
u0
U0
U0
t
Fig.3.10. Pulsaiile tensiunii pe condensatorul de filtraj.

171

Q 1 1 I L TS
.

C
C2 2 2
Cum pe intervalul t off este valabil relaia:
U 0

U0 L

(3.23)

I L
U (1 )TS
, I L 0
,
t off
L

pulsaia tensiunii este:

U 0

TS (1 )TSU 0
,
8 LC

(3.24)

iar

f
U 0 1 2 1 2
TS

(1 )( c ) 2 ,
U0
LC
fS
8
2

(3.25)

unde

fS

1
1
, fc
.
TS
2 LC

Relaia (3.25) ne arat c amplitudinea pulsaiilor poate fi minimizat dac frecvena f c a


filtrului trece jos este mult mai mic dect f S . Se mai observ c amplitudinea pulsaiilor nu
depinde de valoarea curentului de sarcin.
3.2.2.CONVERTORUL STEP-UP (BOOST)
Convertorul boost se utilizeaz la construcia surselor de alimentare care ofer tensiune
stabilizat de valoare medie mai mare dect a tensiunii de intrare. n figura 3.11 se prezint
schema de principiu a acestui convertor.
iL

i0

+
Ui

U0

Fig.3.11. Convertorul Boost.

Cnd comutatorul este nchis, dioda este invers polarizat, iar tensiunea de la intrare
creeaz curent doar prin inductana L. Circuitul de sarcin este izolat de circuitul de intrare. Cnd
comutatorul se deschide, etajul de la ieire primete energie att de la bobin, ct i de la sursa de
alimentare Ui. n regim permanent
4. Convertorul boost n regim CCM .[1] ( schema, forme de und , pp.108-109).

Figura 3.12 red principalele forme de und ce caracterizeaz acest regim de funcionare.
Cum integrala de timp a tensiunii la bornele inductanei, pe o perioad, este nul, putem scrie:

172

uL
Ui
t
(Ui - U0)
iL

IL

Ts
ton

toff

iL
+

U i t on (U i U 0 )t off 0,

uL

Ui

U0

U i (t on t off ) U 0 t off .

b)

(3.26)

mprind fiecare membru cu TS, avem:

U 0 TS
1

.
U i t off 1

(3.27)

Dac pierderile de putere pe comutator sunt nule ( Pi P0 ) :

Ui Ii U 0I0 ,

(3.28)

I0
1 .
Ii

(3.29)

i la acest convertor, ca urmare a modificrii n limite largi a curentul cerut de consumator,


se poate ajunge n zona n care curentul poate trece de la regimul de curent nentrerupt la regimul
de curent ntrerupt. Figura 3.13 red formele de und pentru cazul limit.
iL

uL

(IL)Lmax

uL
iLmax

(I0)Lmax
(IL)L
(IL)L
toff

ton

(I0)L

Ts
(1/3) 0,5

Fig.3.13. Convertorul boost la limita de continuitate.

173

S-a reprezentat situaia cnd curentul iL se anuleaz chiar n momentul n care se sfrete timpul
de blocare t off .
5 Pulsaiile tensiunii de ieire la convertorul boost.[1, pp.113] .
iD

Q
Q

ID =I0
t0ff

ton

u0
U0

U0
t
Ts

(1-)Ts)

Fig.3.16. Pulsaiile tensiunii de ieire la convertorul boost.

Calculul pulsaiilor tensiunii de la bornele condensatorului de filtraj se face pe baza formelor


de und prezentate n figura 3.16, forme ce caracterizeaz funcionarea convertorului cu curent
nentrerupt.
Admind c prin rezistena de sarcin circul doar valoarea medie a curentului de ieire,
iar prin capacitate componentele variabile n timp ale acestuia, aria haurat n figura 3.16
reprezint sarcina electric Q cu care se ncarc condensatorul:

Q I 0TS U 0 TS

,
C
C
R C
T
U 0 TS

S ,
U0
RC

U 0
iar

(3.43)
(3.44)

6. Convertorul buck boost n regim CCM. .[1] (schema, forme de und , pp.114-115).

Convertorul buck-boost poate fi obinut prin conectarea n cascad a dou convertoare:


unul de tip buck i unul de tip boost. n regim staionar la ieirea convertorului pot rezulta tensiuni a
cror valoare medie poate fi mai mare sau mai mic dect tensiunea de alimentare de la intrare.
Schema convertorului este redat n figura 3.17.
+

ii

Ui
L
-

C
R

iL

U0
+

i0
Fig.3.17. Convertorul buck boost.

Cnd comutatorul este nchis, sursa de alimentare U i determin creterea energiei


electromagnetice nmagazinate n inductan. Dioda este blocat. Cnd comutatorul se deschide,
energia din bobin este cedat rezistenei de sarcin. Capacitatea de filtraj se consider de
valoare mare, aa c tensiunea la bornele ei o considerm tot timpul constant.
174

3.2.3.1.CONVERTORUL BUCK-BOOST N REGIM CCM


Figura 3.18 red formele de und corespunztoare celor dou stri ale comutatorului. Se
observ c:

U i TS U 0 (1 )TS ,
uL

Ui
t
(-U0)

iL

ILmax

IL = I i

ILmin
t

toff

ton

+
+
Ui u L
-

iL

U0
+

Ui

U0

i0

a)

iL

uL

b)

i0

Fig.3.18. Convertorul buck boost (CCM): a) comutator nchis;


b)comutator deschis.

U0

.
Ui 1

(3.45)

Relaia (3.45) arat c raportul ntre tensiunea de ieire i cea de intrare este egal cu
produsul factorilor de conversie ai celor dou tipuri de convertoare
7. Convertorul CUK.[1, pp. 120-121, schema i principiul de funcionare].
+

Ui

boost

buck

Fig.3.23. Combinaie de convertoare boost i buck

Convertorul CUK a fost conceput ca o variant a convertoarelor buck i boost conectate n


cascad (fig.3.23), astfel nct s rezulte un convertor la care curentul absorbit de la sursa de
alimentare s aib pulsaii mai mici dect la convertorul boost, iar curentul de ieire s aib pulsaii
mai mici dect la convertorul buck. n plus, acest lucru este realizat doar cu un singur tranzistor.
L1
+

Ui

L2

C1

IL1

UL1

UC
Q1

IL2

UL2
D

C2

Fig.3.24. Convertorul CUK.

175

Uo

uL1

uL2
Ui

UL

UC1U

UL2 t

-Uo

UiiL1

ton

toff

ton

iL2

toff

IL1

IL2
T
s
t
t
s
Fig.3.25. Formele de und caracteristice funcionriiTconvertorului.
Ts
Ts

Circuitul boost-buck rezultat n figura 3.23 poate fi simplificat, obinndu-se configuraia din
fig.3.24.
n figura 3.25 se prezint formele de und ce caracterizeaz funcionarea convertorului.
8 . Convertorul forward .[1, pp. 148-149, schema i principiul de funcionare].
D2

+
i1 1
Ui

D3

U1

iL

RS

iS
t1

i3

U1

UQ

D1

t2

2Ui
Ui

Fig.3.43. Convertorul forward.

Ts

i1

t
i3
t
iL

ILmax

ILmin

Fig.3.44. Formele de und pentru convertorul forward.

Schema convertorului i principalele forme de und ce caracterizeaz funcionarea sunt


redate n figura 3.43 i 3.44.
9 . Convertorul d.c.-d.c. n contratimp. [ 1, pp.157-158, schema i principiul de funcionare].

Convertorul c.c.-c.c. n contratimp cu transformator este prezentat n figura 3.50. El poate fi


echivalat cu dou convertoare de tip forward, care lucreaz pe aceeai sarcin, n antifaz.
Q1

D1

Ui
Q2

L1

L
+

L2

RS
-

L1

L2
D2

Fig.3.50. Convertor n contratimp.

176

Formele de und sunt redate n figura 3.51. Diodele D1 i D2 redreseaz tensiunea din secundar,
furniznd mpreun curentul care strbate inductivitatea
de filtraj. n intervalul de timp n care tranzistoarele sunt blocate, secundarul
transformatorului este scurtcircuitat de ctre cele dou diode, care ndeplinesc n acest moment (
n paralel) rolul de element de nul, ele fiind parcurse de curentul generat de energia nmagazinat
n inductivitate L. Cnd unul din tranzistoare este n stare de conducie, tensiunea pe cellalt este
suma tensiunilor din primar, adic 2Ui . Din formele de und din figura 3.51 se constat c pentru o
anumit valoare medie a curentului de sarcin, curentul mediu printr-un tranzistor este jumtate
din curentul de sarcin, fapt ce determin o solicitare termic a acestora mult mai mic.
Tensiunea de la ieire este dat de relaia:
u2

Q2 on

Q1 on

t
iL

IL min

IL max
iD

iD1

iD2

t
Fig.3.51. Formele de und aferente convertorului n contratimp.

U S 2
unde:

Ui
,
n

(3.120)

este factorul de umplere;


n - raportul de transformare.

10. Convertor d.c.- d.c. n contratimp n montaj semipunte [ 1, pp.160-161, schema i principiul de
funconare].

Soluia constructiv de tip semipunte (fig.3.53) este foarte larg rspndit pentru c:
- permite conectarea direct la reeaua de 220V fr transformator de separare;
- ofer posibilitatea egalizrii intervalelor de conducie a tranzistoarelor, chiar dac
caracteristicile lor difer ntre ele.
Q1
D

D2

D5

UC1
C1

D7

R1

C3

220
V
D3

D4

C2

R2

Q2
D6

UC
2

Fig.3.53. Convertor n contratimp n semipunte.

177

D8

RS

Un capt al transformatorului este conectat ntre cele dou tranzistoare, iar cel de al doilea este
conectat la un punct cu potenial creat de capacitile C1 i C2 a crui valoare este Ui /2 (R1 = R2,
C1 = C2). Cnd Q1 conduce, captul de sus al transformatorului ajunge la potenialul pozitiv creat
de sursa de alimentare, format din puntea redresoare ( D1 D4). Cnd tranzistorul Q1 se
blocheaz i intr n conducie Q2, se schimb sensul de circulaie al curentului n primar. Prin
comanda alternativ a celor dou tranzistoare, n primar se va obine o tensiune alternativ n
amplitudine de 155V. Se observ c tensiunea pe tranzistoare n stare blocat nu poate depi
valoarea tensiunii de alimentare. La un randament 0,8 , curentul prin tranzistoare ajunge la
valoarea [14]:
UC

UC

U2

U1

b)

S1
S2

S1 > S 2

U2
Tens. de
dezechilibru

S1
S2

S1 = S 2

a)
Fig.3.54. Explicativ pentru comportarea tranzistoarelor cnd nu exist condesatorul C (cazul a ) i cnd este introdus
condensatorul (cazul b).

178

Radiocomunicaii
Anul III

179

1. Definii parametrii discretizrii semnalelor de voce, respectiv audio hi-fi, i determinai debitele corespunztoare.
https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/1_Multimedia.PDF, 15-16
Informaia audio (II+III)

Standardul muzic stereo (nalt calitate)


standard CD, muzic hi-fi, 20 kHz banda audio
2 canale
pentru nregistrare i transmisie stereo
f = 44,1 kHz
E

frecvena de eantionare, conform teoremei lui Shannon


n = 16 bii
pentru cuantizare cu un RSZ = 96 dB

debit: 2 44.100 16 = 1.411.200 bii/s


Standardul de voce
standard telefonie, voce, 3,4 kHz banda audio
1 canal
pentru recunoaterea vocii
f = 8 kHz
E

frecvena de eantionare, conform teoremei lui Shannon


n = 8 bii
pentru cuantizare cu un RSZ = 48 dB

debit: 1 8.000 8 = 64.000 bps


2. Definii i comparai cele dou principii de reducere a zgomotului.
https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/2_1_Sunetul.PDF, 20-25
Reducerea zgomotului la redare (I+II)

Zgomot = semnal de nivel sczut i cu frecven medie spre nalt

un astfel de semnal poate fi identificat i rejectat (poart de zgomot)


Exemplu: limitatorul dinamic de zgomot de la Philips DNL (Dynamic Noise Limiter)

IN: semnal cu zgomot


OUT: semnal cu un RSZ mbuntit cu 8 dB

Avantaj:
compatibil cu orice sistem de nregistrare pe orice sistem de redare
Analiza funcionrii
pauz ntre melodii
muzic nregistrat cu nivel mare
muzic nregistrat cu nivel mic
Dezavantaj:
nu poate face diferena ntre zgomot i semnalul real
Sisteme de nregistrare redare cu reducerea zgomotului la redare (I+II)

Sistemele realizeaz:
prelucrarea semnalului nainte de nregistrare
prelucrarea invers dup redare
180

Avantaj: semnalul real nu este alterat i este obinut cu un RSZ ridicat


Dezavantaj: funcioneaz doar pe acelai sistem (nregistrare i redare)
Sistemul Dolby (I+II)

Zgomot:
frecven mare (1 15 kHz)
nivel sczut (-20 -40 dB)
Circuite Dolby:
n timpul nregistrrii amplific neliniar i selectiv
realizeaz prelucrarea invers la redarea semnalului
mrete RSZ cu 9 dB

3. Definii i comparai tehnicile de cuantizare uniform i neuniform.


https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/2_2_Sunetul.pdf, 8, 12
Cuantizare uniform

181

niveluri de decizie uniforme

(intrare analogic)

niveluri de cuantizare uniforme

(ieire digital)

trepte de cuantizare (q) - constante


pentru semnal de nivel mic
pentru semnal de nivel mare
zgomot de cuantizare

(eroare): -q/2 q/2


Rezultat:

semnal mic cu eroare constant

RSZ sczut

semnal mare cu eroare constant

RSZ ridicat
Concluzie:

calitate (RSZ de ansamblu) sczut


Cuantizare neuniform

niveluri de decizie (intrare analogic) neuniforme


niveluri de cuantizare (ieire digital) neuniforme
trepte de cuantizare diferite
mici pentru semnal de mic
mari pentru semnal de mare
zgomot de cuantizare (eroare):

variabil
Rezultat:

semnal mic cu eroare mic

RSZ mare

semnal mare cu eroare mare

RSZ mare
Concluzie:
calitate (RSZ de ansamblu) ridicat

4. Prezentai structura camerei foto digitale i elementele de reglaj.


https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/3_1_Imaginea.pdf, 5, 6, 8, 9
Achiziia imaginii fotografice (II)

Achiziia convenional a imaginii necesit urmtoarele componente principale:


obiectiv
pentru a focaliza lumina dintr-o scen pe un film fotosensibil (argint)
diafragm
pentru a controla cantitatea de lumin care impresioneaz filmul
obturator
pentru a controla timpul de expunere la lumin a filmului
Achiziia imaginii electronice (I)

Imaginea electronic este obinut utiliznd:


elemente tradiionale: obiectiv, diafragm, obturator
componente suplimentare:
CCD
explorarea imaginii i conversia foto-electric
CAN
obinerea formatului digital al imaginii
mediu de stocare
memoria electronic, suport magnetic
182

Camera foto digital (I+II)

O camer digital portabil, pentru achiziia imaginilor statice, are urmtoarele componente
electronice:

CCD
pentru achiziia imaginii (conversie opto-electronic i explorare)
CAN
pentru cuantizarea imaginii
procesor numeric de imagine
pentru compresia imaginii i conversia formatului
sub-sistem de stocare (digital)
memorie electronic, magnetic sau interfa PC
microprocesor de comand
pentru coordonarea procesului de achiziie (vizor LCD i reglarea automat a focalizrii, a
diafragmei, a timpului de expunere etc.)
5. Prezentai principiul compresiei JPEG.
https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/3_3_JPEG.PDF, 4-8
Metodologia JPEG (I+II+III)

DCT
transform blocul cu reprezentare n timp, A (multe puncte de date)
n blocul cu reprezentare n frecven, B (puine puncte de date puine componente de
frecven)
CUANTIZAREA
reduce neuniform precizia coeficienilor (D), conform cu tabelul de cuantizare C (n algoritmul
JPEG sunt implementate 4 tabele):
frecven joas cu precizie mare (pai mici, valori nenule)
frecven ridicat cu precizie mic (pai mari, majoritar valori nule)
CODAREA DE ENTROPIE
este folosit pentru obinerea compresiei de date
este utilizat o explorare n zig-zag pentru obinerea unor secvene lungi de zerouri
codarea RLE (Run-Length Encoding) ofer o compresie excelent
codarea Huffman este utilizat pentru a obine un factor de compresie mai mare
Transformata cosinus discret (I+II)

DCT (asemntor transformatei Fourier) convertete datele


din domeniul timp
183

un bloc de 88 (pixeli):
linii 0 7
coloane 0 7
n domeniul frecven
O matrice de 88 coeficieni
locaia 00
coeficient DC
componenta continu a blocului 88
locaiile 01 77
coeficieni AC
frecven joas n colul din stnga sus
frecven ridicat n rest

Explorarea n zig-zag
ncepe cu coeficienii de frecven joas (nenuli)
apoi cu coeficienii de frecven ridicat (nuli)
rezult un ir lung de zerouri, dup cteva valori semnificative, uor de codat entropia (RLE,
Huffman)

6. Definii parametrii i componentele semnalului video complex. Reprezentai oscilograma unei linii TV.
https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/4_1_Televiziune.PDF, 8, 11, 12
Frecvena semnalului de televiziune (II)

Raport de imagine
4:3
Rezoluia vertical
575 linii vizibile (din 625)
Rezoluie orizontal
4/3575 = 766 pixeli
Frecvena maxim a semnalului de imagine
f = 766/2f = 38315.625 Hz 6 MHz
MAX

Pentru percepie optim, pixelul trebuie s fie ptrat


Semnalul video complex (I+II)

Este obinut pe parcursul explorrii liniare (x-x)

184

7. Indicai semnalele folosite n transmisia televiziunii n culori, expresiile acestora i justificarea alegerii lor.
https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/4_1_Televiziune.PDF, 19, 20, 21
Semnale TV color (I+II)

Luminana unei imagini (color) este folosit n televiziunea alb-negru:

Y = 0,3R + 0,59G + 0,11B


Folosirea semnalelor R, G, B este incompatibil cu vechiul sistem TV
Sistemele TV color compatibile folosesc:
Y luminana (pentru procesare corect de ctre sistemele TV alb-negru)
C crominana (doar informaie de culoare, fr informaie de strlucire)
semnale diferen de culoare: R-Y, G-Y, B-Y

Din cele 4 semnale se utilizeaz doar 3:

luminana
Y = 0,3R + 0,59G + 0,11B
crominana (2 semnale diferen de culoare)
R-Y = 0,7R - 0,59G - 0,11B
B-Y = -0,3R - 0,59G + 0,89B

185

Semnale TV compatibile (I)

Luminana

E = 0,3E + 0,59E + 0,11E = 0 1


Y

Diferen de culoare

= 0,7E - 0,59E - 0,11E = -0,7 0,7

= -0,3E + 0,41E - 0,11E = -0,41 0,41

R-Y
G-Y

(nu se utilizeaz)
E

B-Y

= -0,3E - 0,59E + 0,89E = -0,89 0,89


R

8.

Definii parametrii discretizrii semnalului video, indicai formatele reprezentative de eantionare i determinai
debitele corespunztoare.
https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/4_3_TV_numerica.PDF, 1,2,7,8
Standardul de studio TV digital (I+II)

1982, CCIR Rec.601: USA/Europa


NTSC/SECAM/PAL, 525/625 linii
linie digital TV uzual
acelai debit i calitate
conversie facil ntre sisteme
componente TV (Y, R-Y, B-Y)
eantionare ortogonal
frecven de eantionare standard
f = 13,5 MHz
E

eantion n format PCM


8 bii/component

Debitul semnalului numeric de televiziune

Debitul unui semnal numeric


D = f n [bii/s]
E

Debitul semnalului TV
186

D=D +D +D
Y

R-Y

B-Y

=f n +f
EY

Debitul semnalului TV n format 4:2:2


D = 216 Mbps

ER-Y

n +f
R-Y

EB-Y

B-Y

Familia standardelor de televiziune numeric

Standar
d

Parametrii

4:4:4
FORMATE
DE ORDIN
SUPERIOR

fH = 31.250 Hz

progresi
v

f = 27 MHz
E
f = 15.625 Hz
H

4:4:4

FORMAT
DE BAZ

4:2:2

fE = 13,5 MHz
fEY = 13,5 MHz
fEC = 6,75 MHz
f

4:1:1
FORMATE
DE ORDIN
INFERIOR

4:2:0

2:1:1

EY

DY

DR-Y + DB-Y

D [Mbps]

216

216 + 216

648

108

108 + 108

324

108

54 + 54

216

108

27 + 27

162

= 13,5 MHz

fEC = 3,375 MHz


alternativ pe
linii

4:2:2
4:0:0

fEY = 6,75 MHz


f

= 3,375 MHz
EC

108

54

54 + 54
0+0
27 + 27

9. Definii tipurile de imagini folosite n MPEG i explicai principiul compresiei.


https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/4_5_MPEG.PDF, 5-7
Codarea MPEG (I+II)

162

108

nlturarea redundanei spaiale


nlturarea redundanei temporale
DCT
Compensarea micrii
Predicie bidirecional (interpolare)
MPEG utilizeaz trei tipuri de imagini
Imagine I
codare JPEG
independent de succesiunea imaginilor n micare
codare robust
independent de erorile precedente
factor de compresie sczut
Imagine P
este estimat o predicie a imaginii (compensarea micrii)
este codat diferena dintre imaginea actual i cea obinut prin predicie
succesiunea de predicii poate propaga eventuale erori
factor de compresie mai mare
Imagine B
este calculat o imagine interpolat bidirecional folosind imaginile I i P
187

estimarea este foarte bun


poate propaga erori
cel mai bun factor de compresie
Raport de compresie: determinat de M i N;
uzual, M = 12 i N=3;
M - perioada imaginii I, N - perioada imaginii P
Compresia digital a imaginilor n micare

Rearanjarea succesiunii de imagini pentru transmisie:


1(I), 4(P), 2(B), 3(B), 7(P), 5(B), 6(B), 10(P), 8(B), 9(B), 13(I), 11(B), 12(B)
10. Prezentai structura i parametrii unui canal TV.
https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/4_6_RF.PDF, 2,3
Metode de modulaie

Modulaie de amplitudine
MA

eficen sczut
MA cu band lateral unic
MA-BLU

imposibil de filtrat

MA cu rest de band lateral


MA-RBL

soluie standard
Prelucrarea la frecven intermediar

188

Un singur filtru pentru:


extragere canal
rejectarea canalului adiacent
rejectarea RBL

189

Sisteme cu logic programabil


Anul III

190

1. Implementarea unei funcii cu o structur programabil (arhitectura I-SAU)


O structur logic programabil are la baz o arhitectur de tip I-SAU, ca n figur:

n cazul PLA, acelai termen se poate utiliza pentru mai multe funcii, fcnd implementarea mai
eficient.

n cazul PAL, unde reeaua de pori PAL este fix, implemementarea necesit o arie de siliciu mai
mare

191

2. Arhitectura PAL i GAL: schem, funcionare, avantaje i dezavantaje

Structurile PAL i GAL au o arhitectur comun, format dintr-o reea de pori I programabile i o
reea de pori SAU fixe. Avantajul principal este simplitatea arhitecturii, dezavantajul este
ineficiena ei (un termen comun n dou funcii se genereaz de dou ori). Circuitele PAL se
bazeaz pe tehnologia bipolar (nu se mai folosesc), iar cele GAL pe tehnologia CMOS (se mai
folosesc uneori).
3. Explicarea arhitecturii pipeline
Un sistem numeric complet se compune dintr-o parte combinaional i una secvenial. n figura de
mai jos este prezentat simplificat o secven de operaii (prelucrri) combinaionale.

Prin tehnica pipeline, pe traseul informaional sunt inserate bistabile acionate de un semnal de tact
comun. Prin aceasta se asigur predictibilitatea prelucrrii informaionale, dar mai ales este posibil
paralelizarea operaiilor prin multiplicarea lanului de mai jos n mai multe asemenea module n
paralel, toate comandate de un semnal de tact comun. De exemplu la execuia unei instruciuni n 4
faze, procesorul este la un moment dat angrenat n rularea a 4 instruciuni simultan, dar n faze
diferite (fetch, decode, execute, output)

192

4. Elemente structurale ale unui cod VHDL (enumerare, descriere sumar)


Un program VHDL este format din urmtoarele seciuni:
1. Declararea de biblioteci (LIBRARY declarations): cuprinde lista tuturor bibliotecilor
utilizate n proiect, de exemplu: ieee, std (implicit), work (implicit), etc.
2. ENTITY: specific porturile circuitului, materializate prin pini de intrare, ieire, intrareieire sau de tip buffer.
3. ARCHITECTURE: descrie modul n care circuitul se comport. Pot exista mai multe
arhitecturi (funcionale) pentru aceeai entitate.
5. Moduri de descriere n VHDL (structural, flux de date, comportamental) scurt
descriere, avantaje, dezavantaje, aria de utilizare
Un sistem numeric se poate descrie n oricare din urmtoarele variante:
structural: sistemul este compus din mai multe blocuri, descrise separat i conectate
asemntor unei liste de legturi dintr-o schem electric prin instruciuni specifice VHDL
(component, port map). Este o variant laborioas, dar foarte util pentru proiectele
ierarhice.
dataflow: se scriu direct ecuaiile boolene care descriu funcionarea circuitului.
behavioral: se descrie (de obicei prin algoritmi) funcionarea circuitului. Este cea mai
puternic variant, deoarece nu face referire la tehnologie sau furnizor. n plus dimensiunea
unui circuit anume nu influeneaz codul (un numrtor pe 10 bii are aceeai descriere ca
unul pe 32 de bii).
6. Comparaie ntre semnale i variabile n VHDL (mod de asignare)
Semnalele reprezint unicul mijloc de comunicare ntre procese.
Atribuire
Utilizare
Vizibilitate
Comportare

Locul de
declarare

SIGNAL / Semnal
<=
Folosite pentru conectarea circuitelor /
modulelor (wires)
Global

VARIABLE/ Variabil
:=
Reprezint informaie local

Actualizarea nu este imediat datoprit


proprietii de memorare (noua valoare se
genereaz doar la ncheierea PROCESS,
FUNCTION, sau PROCEDURE)
n PACKAGE, ENTITY, sau
ARCHITECTURE. n ENTITY, toate
legturile de PORT sunt de tip SIGNAL

Local (vizibile doar n PROCESS,


FUNCTION, sau PROCEDURE)
Actualizare imediat (noua valoare se poate
folosi n urmtoarea linie de cod)
Doar n cod secvenial (doar n PROCESS,
FUNCTION, sau PROCEDURE)

7. Modelarea unui circuit n VHDL


Un prim exemplu este modelarea unui circuit I cu dou intrri, la care timpul de propagare este de
5 ns.
entity and2 is
port ( a, b : in bit;
y : out bit );
end and2;
architecture and2_behav of and2 is
begin
y <= a and b after 5 ns;
end and2_behav;

Modelare ntrziere

193

Un al doilea exemplu prezint un MUX 4:1 cu un timp de propagare identic pentru cele 4 intrri, de
10 ns.
library ieee;
use ieee.std_logic_1164.all;
entity mux4 is
port ( I0, I1, I2, I3, a, b: in std_logic;

I0
I1
I2
I3

Y : out std_logic);
end mux4;
architecture mux4 of mux4 is
signal sel: integer;
begin
sel <= 0 when A = '0' and B = '0' else
1 when A = '1' and B = '0' else
2 when A = '0' and B = '1' else
3 when A = '1' and B = '1' else
4 ;
with sel select
Y <= I0

after 10 ns when 0,

Y <= I1

after 10 ns when 1,

Y <= I2

after 10 ns when 2,

Y <= I3

after 10 ns when 3,

Y <= 'X' after 10 ns when others;


end mux4;

8. Multiplexor n VHDL, exemplu de cod

Prima soluie (MUX 2:1, canalul de 1 bit)

library ieee;
use ieee.std_logic_1164.all;

entity mux2to1 is
port ( sel: in

std_logic;

a, b: in

std_logic;

y: out std_logic);
end mux2to1;
architecture bhv of mux2to1 is
begin
y <= a when sel = '0' else b;
end bhv;

A doua soluie (MUX 2:1, canalul de 8 bii)

library ieee;
use ieee.std_logic_1164.all;
194

Y
B

entity mux2to1_8bit is
port (sel: in
A,B: in

std_logic;
std_logic_vector (7 downto 0);

Y: out std_logic_vector (7 downto 0));


end mux2to1;
architecture bhv of mux2to1_8bit is
begin
Y <= A when sel = '0' else B;
end bhv;

Trebuie remarcat similitudinea celor 2 exemple de mai sus (difer doar prin modul de declarare al
semnalelor A i B).

A treia soluie (MUX 4:1, canalul de 1 bit)

library ieee;
use ieee.std_logic_1164.all;
entity mux4to1 is
port ( a, b, c, d: in
SEL: in

std_logic;
std_logic_vector (1 downto 0);

y: out std_logic);
end mux4to1;
architecture mux1 of mux4to1 is
begin
y <= (a and not SEL(1) and not SEL(0)) or
(b and not SEL(1) and

SEL(0)) or

(c and

SEL(1) and not SEL(0)) or

(d and

SEL(1) and

SEL(0));

end mux1;

Not: n curs sunt prezentate zece variante distincte de definire pentru acest MUX 4:1. Oricare
dintre ele este potrivit pentru o rezolvare corect.
9. ALU n VHLD, exemplu de cod
ALU (Arithmetic Logic Unit) prezentat mai jos permite efectuarea de opt operaii aritmetice i opt
operaii logice definite n tabelul de adevr. Este alctuit din dou module distincte care proceseaz
simultan informaia selecia final fiind realizat n funcie de bitul cel mai semnificativ din sel
prin intermediul unui multiplexor. Ceilali trei bii din sel sunt utilizai pentru selecia operaiei
specifice aritemetice sau logice.

195

Soluia prezentat utilizeaz doar cod concurent (combinaional) i date de un singur tip att pentru
operaiile aritmetice ct i logice. Acest lucru e posibil deoarece a fost declarat un package specific
- std_logic_unsigned din biblioteca ieee.
Dou semnale, arith and logic, sunt folosite pentru transmiterea rezulatelor blocurilor aritmetic i
logic ctre multiplexor.

sel
0 000
0 001
0 010
0 011
0 100
0 101
0 110
0 111
1 000
1 001
1 010
1 011
1 100
1 101
1 110
1 111

Y <=
Y <=
Y <=
Y <=
Y <=
Y <=
Y <=
Y <=
Y <=
Y <=
Y <=
Y <=
Y <=
Y <=
Y <=
Y <=

Operaie
A
A + 1
A - 1
B
B + 1
B - 1
A + B
A + B + Cin
not A
not B
A and B
A
or B
A nand B
A nor B
A xor B
A xnor B

Descriere
Transfer
A
Increment A
Decrement A
Transfer
B
Increment B
Decrement B
Add A and B
Add A and B with carry
Complement A
Complement B
AND
OR
NAND
NOR
XOR
XNOR

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity ALU is
port (A, B: in

std_logic_vector (7 downto 0);

sel: in

std_logic_vector (3 downto 0);

cin: in

std_logic;

Y: out std_logic_vector (7 downto 0));


end ALU;
architecture dataflow of ALU is
signal arith, logic: std_logic_vector (7 downto 0);
begin
196

Modulul activ

Aritmetic

Logic

----- Arithmetic unit: -----with sel(2 downto 0) select


arith <= A

when "000",

A+1 when "001",


A-1 when "010",
B

when "011",

B+1 when "100",


B-1 when "101",
A+B when "110",
A+B+cin when others;
----- Logic unit:
with sel(2 downto
logic <= not
not
A and
A or
A nand
A nor
A xor
A xnor

----------0) select
A when "000",
B when "001",
B when "010",
B when "011",
B when "100",
B when "101",
B when "110",
B when others;

-------- Mux: --------------with sel(3) select


Y <= arith when '0',
logic when others;
end dataflow;

10. Numrtor modulo-m n VHDL, exemplu de cod


Un numrtor modulo-m parcurge ciclic un numr de stri egal cu modulul su (de obicei de la 0 la
m - 1) dup care reia acest ciclu. n VHDL este util crearea unui numrtor parametric carecterizat
de dou constanbte generice:
- M, specific modulul m i
- N, care specific numrul de bii (i implicit de bistabile) pentru numrtor
Un secven de cod mai avansat ar trebui s elimine redundana dintre M i N, M fiind unicul
parametru utilizat. Logica pentru starea urmtoare este realizat printr-o atribuire condiional.
Atunci cnd numrtorul atinge valoarea M - 1, va fi reiniializat. n caz contrar este incrementat
(cu o unitate).
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity mod_m_counter is
generic ( N: integer := 4;
M: integer := 10);
port ( clk, reset : in

-- number of bits
-- mod-M

std_logic;

max_tick : out std_logic;


Q: out std_logic_vector (N-1 downto 0));
197

end mod_m_counter;

architecture arch of mod_m_counter is


signal

r_reg: unsigned (N-1 downto 0);

signal r_next: unsigned (N-1 downto 0);


begin

-- register

process(clk,reset)
begin
if (reset='1') then
r_reg <= (others =>'0');
elsif (clk'event and clk = '1') then
r_reg <= r_next;
end if;
end process;
r_next <= (others =>'0') when r_reg = (M-1) else

-- next-state logic

r_reg + 1;
Q <= std_logic_vector (r_reg);

-- output logic

max_tick <= '1' when r_reg = (M-1) else '0';


end arch;

198

Sisteme de achiziii de date


Anul III

199

1.

Amplificator cu modulare-demodulare cu chopper utilizare, structura de principiu, explicarea funcionrii pe


baz de diagrame de timp.

Amplificatorul cu modulare-demodulare (AMD) este utilizat pentru amplificarea cu 60100 dB a unor tensiuni de
nivel foarte mic (microvoli sau milivoli) i de joas frecven.

R1

C1
K1 u m

ui

Amplificator
de curent
alternativ

Rf

C2
uma

K 2 ud C f

ue

up
ui
[V] [mV]
t

um
[V] [mV]
t
uma
[V]

K1 i K2 nchise
ud, ue
[V]

ue

2.

Convertor tensiune-frecven structura de principiu, explicarea funcionrii pe baz de diagrame de timp,


funcia de transfer.

200

C
R
ui

Ir
Rr

AO

uI

Comp

uc

Circuit
basculant
monostabil

ue
(fe)

K
-Ur
uI

uc

ue

tr

Din
3.

Te

ui
U
Rr
Te r tr rezult funcia de transfer f e
ui .
R
Rr
RU r tr

Convertor numeric analogic relaie de calcul a cuantei, funcia de transfer (ieire curent, ieire tensiune),
definiii pentru eroarea de decalaj (de zero) i eroarea de ctig.

Calculul cuantei

q 1LSB

FSR
FSR
FR

, FSR capt de scal, FR domeniul maxim al ieirii,


N
2
Dmax 1 Dmax

N numrul de bii.
Funcie de transfer ieire curent

Ie

Ur
R U
DCBN , ieire tensiune U e 1N r DCBN unde Ur tensiune
N
2 R
2 R

de referin, R rezisten de referin, R1 rezisten din reacia negativ a AO, DCBN valoarea n zecimal a
numrului de la intrare scris n CBN.
Eroare de zero (0) (eroare absolut) reprezint valoarea mrimii de ieire dac la intrare s-a aplicat codul numeric
pentru ieire zero.
Eroare de ctig (FR) reprezint eroarea absolut a domeniului maxim al ieirii. Dac eroarea de zero nu este zero
trebuie inut cont de ea la calculul erorii de ctig
4.

Convertor numeric analogic cu reea de rezistene R-2R structura de principiu, funcie de transfer.

201

b1 - MSB

b2

bN-2

bN-1

R1

bN - LSB
Ie

-Ur

5.

K2

KN-2

KN-1

2R

2R

2R

2R

I2

IN-2

U e I e R1

IN-1

IN-2

I2

I1

R
Funcia de transfer

K1

I1
Ir

_
+

AO

Ue

KN

2R

2R

IN

IN

IN-1
R

U r R1
DCBN .
2N R

Conectarea unui convertor numeric analogic unipolar pentru conversie bipolar n codul complementul lui doi
structura de principiu, deducere matematic a funciei de transfer.
R1

Ie

DCCD

CNA
unipolar

b1

b1Ur
R

Ir
R
K

Ur

Ie

AO

Ur
DCBN i DCBN DCCD b1 2 N rezult succesiv
N
2 R
U R
Ur
Ur
U
I e b1
N DCCD , I e" I e b1 r , U e I e" R1 Nr 1 DCCD .
R 2 R
R
2 R
Din

6.

Ue

Ie

Ie

Ur
DCCD b1 2 N
N
2 R

Convertor analog numeric cu comparare de tip paralel cuanta, structura de principiu, calculul tensiunilor de
comparare.

Cuanta unui CAN se calculeaz cu relaia


de intrare. Pentru un CAN unipolar

q 1LSB

1LSB

FSR
, unde FSR este intervalul de variaie a semnalului
2N

FSR U r
N .
2N
2

Tensiunile de comparare utilizate n procesul de conversie pentru un CAN de tip paralel vor avea valorile:

U Ci (i 0,5)

Ur
, i = 1, 2, ..., 2N 1, unde N este numrul de bii.
2N

202

ui
Ur

Ir

C2N-1

1,5R
UC2N-1

C2N-2

R
UC2

...

-2

Decodificator
...

bi
b2
b1

C1

...

bN
bN-1

UC1
0,5R

7.

Convertor analog numeric cu aproximaii succesive structura de principiu, prezentare principiu de conversie.
STARE
START
CONVERSIE
CONVERSIE

ui

Registru de aproximaii
succesive

b1 ... bN

(T0)

Gen. de
tact

Registru
tampon
Ieire

Uc

CNA

Ur

Valoarea fiecrui bit se obine n cte o perioad T0 a semnalului de tact. Stabilirea valorilor ncepe cu bitul b1
(MSB) i se termin cu bitul bN (LSB). Registrul de aproximaii succesive comand intrrile CNA pentru obinerea
k

unor tensiuni de comparare Uck cu valorile

U ck U r bi 2 i , k = 1, 2, ..., N, unde bk = 1 iar biii cu indici mai


i 1

mari dect k au valoarea 0. Dac n urma comparrii rezult ui Uck atunci bitul bk rmne pe 1, n caz contrar bk
este pus (napoi) pe zero. Astfel, tensiunea de comparare Uck se formeaz pe baza bitului bk a crei valoare urmeaz
a fi stabilit i a biilor bi, i = 1, 2, ..., k-1, cu valori stabilite pe baza unor comparri anterioare.
8.

Etalonarea convertorului analog numeric - etalonarea decalajului i ctigului pentru un convertor bipolar pe N
bii, rezultat sub form de cod binar deplasat; tensiuni aduse la intrarea CAN, rezultate obinute la ieirea
CAN.

Pentru reglajul decalajului se aduce la intrarea convertorului tensiunea de 0,5LSB iar la ieire trebuie s obinem
secvene numerice ce prezint o instabilitate ntre valorile 10 ... 00 (codul pentru 0 bitul de semnificaie maxim pe 1,
restul pe 0) i 10 ... 01. Pentru reglajul ctigului se aduce la intrarea convertorului tensiunea de 0,5FSR 1,5LSB iar la
ieire trebuie s obinem secvene numerice ce prezint o instabilitate ntre valorile 11 ... 10 i 11 ... 11 (toi bitii pe 1).
Se are n vedere caracteristica de transfer a unui CAN bipolar, n CBD.

203

se

[bk]

11...11
11...10
....
10...010
10...01
-2N-1 1-2N-1
10...00
...
-1
-2
0 1 2
-(FSR/2)
01...11
01...10
...
...

...

....

ui
N-1

N-1

[LSB]
2 -1 2
2N-1-2
(FSR/2)

00...01
00..00
9.

Circuit de eantionare i memorare structura de principiu a unui CEM cu bucl de reacie global (un
comutator), rolul reaciei globale, caracteristici ale AO de intrare i de ieire.
R

AO1
ui

(M)

_
K

AO2
+

(E)

ue

Cd
Reacia global asigur eliminarea erorilor de decalaj corespunztoare amplificatorului operaional de la ieire
AO2. n cazul structurii de principiu din figur, erorile de decalaj ale CEM sunt date de AO1, care trebuie ales cu
deriv redus a tensiunii de decalaj.
Amplificatorul operaional AO1 din circuitul de intrare al CEM trebuie s asigure o impedan mare de intrare
pentru a nu se perturba circuitul din care se preleveaz tensiunea ui. De asemenea, trebuie s aibe o impedan mic
de ieire pentru a putea ncrca sau descrca rapid condensatorul C astfel nct timpul de achiziie s fie ct mai
mic.
Amplificatorul operaional AO2 de la ieirea CEM trebuie s fie ales astfel nct curenii de polarizare a intrrilor
s fie foarte mici pentru ca, n starea de memorare, descrcarea condensatorului C s fie foarte lent. Din acest
motiv se utilizeaz amplificatoare operaionale ce au n circuitul de intrare tranzistoare cu efect de cmp.
10. Sistem de achiziie de date cu multiplexarea semnalelor analogice de intrare structura de principiu, explicarea
funcionrii pe baz de diagrame de timp ale semnalelor de comand i control.

204

ui1
ui2 .
.
.
uiK

MUX

CAN

CEM
E/M

Start Stare
conv conv.

b1,...,bN

Sistem de prelucrare
numeric
M
(ui1)

(ui2)

(ui3
t

E/

Start
conv
.
Stare
conv.

t
t

b1,...,bN

t1 t2
(tap+ts)

Tc

t3

tac

t4 t5

t6

Bibliografie
[1] Liviu Toma Sisteme de achiziie i prelucrare numeric a semnalelor, Editura de Vest, Timioara, 1997
[2] https://intranet.etc.upt.ro/ An 3, Sisteme de achizitii de date, Curs
[3] https://intranet.etc.upt.ro/ An 3, Sisteme de achiziii de date, Laborator

205

Testarea echipamentelor pentru EA


Anul IV

206

1. Celula de scanare pe frontier: Arhitectura, semnale, moduri de operare.


Cursul 3 paginile 9-11
https://intranet.etc.upt.ro/~TEE_EA/CURS/ sau
http://este2.etc.upt.ro/moodle/course/view.php?id=5
Celula de scanare arhitectura, semnale

- Intrarea de DATE se conecteaz la terminalul circuitului integrat iar ieirea de DATE la intrarea/ieirea
blocului funcional, sau invers, dup cum celula de scanare este conectat la un terminal de intrare sau de ieire.
- Intrarea de SCANARE se conecteaz la ieirea celulei anterioare (sau la terminalul TDI) iar ieirea de
SCANARE la intrarea urmtoarei celule (sau la multiplexorul pinului TDO), formnd un registru de deplasare cu
ncrcare paralel.
- Semnalele ShiftDR, ClockDR, UpdateDR i Mode sunt generate de ctre controlerul TAP care gestioneaz
transferul datelor la nivelul fiecrei celule.
Celula de scanare moduri de operare

- Modul captur: intrrile de date sunt transferate n registrul de captur


- Modul transfer: se realizeaz deplasarea serial a datelor prin registru de captur
- Modul actualizare: registrul de captur se transfer n registrul de memorare i la ieirile de date.
- Modul transparent: intrrile de date sunt conectate direct la ieirile de date, registrele de captur i de
memorare sunt invizibile, fr a fi afectat coninutul lor.

207

2. Tehnici de inspecie optica automat: enumerere, scurt caracterizare


Cursul 5 paginile 8-10
https://intranet.etc.upt.ro/~TEE_EA/CURS/ sau
http://este2.etc.upt.ro/moodle/course/view.php?id=5
-

Metoda referinei (Template Matching):


- Prin compararea cu o imagine de referin se decide dac produsul inspectat corespunde sau nu
specificaiilor.
- Imaginea de referin poate fi obinut fie n urma unei reprezentri CAD fie prin memorarea imaginii
unei plci de referin care n mod cert este conform specificaiilor (Golden Board).
- Principalul dezavantaj: admite o toleran redus a procesului tehnologic, abateri minore de la
referin, ce nu au impact asupra calitii sau fiabilitii sistemului inspectat, declannd adeseori
alarme false.

Metoda comparaiei statistice (Statistical Pattern Matching):


- reprezint o mbuntire a metodei referinei n scopul de a atribui mai mult flexibilitate n luarea
automat a deciziei CONFORM/NECONFORM.
- se utilizeaz mai multe imagini de referin obinute n urma inspeciei unor produse att conforme ct
i neconforme. Decizia se ia pe criterii statistice n urma analizei similaritii sistemului inspectat cu
cele dou categorii de referin.

Msurarea bidimensional (2D Measurement):

utilizeaz una sau mai multe camere montate perpendicular pe planul de inspecie care este iluminat
din multiple unghiuri.
Imaginea obinut permite efectuarea de msurtori pe direciile X i Y, n urma unui proces de
calibrare.
Metoda se folosete pentru inspecia plcilor neechipate n scopul deteciei ntreruperilor i
scurtcircuitelor, pentru a verifica poziionarea componentelor i alinierea pastei de lipire pe padurile
SMD.

Msurarea tridimensional (3D Measurement):

208

Utilizeaz o surs de lumin structurat, de exemplu o diod laser, pentru a proiecta un ablon, cel
mai frecvent o linie orizontal, pe suprafaa suprapus inspeciei. Lumina structurat proiectat pe
sistemul testat urmrete seciunea acestuia ntr-un plan perpendicular pe planul inspeciei.
Camera este poziionat oblic fa de planul inspeciei i capteaz conturul luminii structurate, a crui
analiz permite efectuarea de msurtori tridimensionale.
Tehnica se folosete n principal pentru a determina volumul pastei de lipire depus pe padurile
componentelor SMD.

3. Testarea continuitii
Cursul 5 paginile 24-26
https://intranet.etc.upt.ro/~TEE_EA/CURS/ sau
http://este2.etc.upt.ro/moodle/course/view.php?id=5
-

Testele de continuitate au ca scop detecia defectelor de tip ntrerupere i se aplic ntre extremitile reelelor
conductoare ale circuitului imprimat.
O reea conductoare (net) reprezint o structur metalic continu format din trasee i guri de trecere (via),
care asigur o cale de circulaie a curentului ntre mai multe paduri de lipire a componentelor sau paduri de
test.

Testarea continuitii unei reele se realizeaz prin msurarea rezistenei dintre un punct de extremitate al
acesteia i toate celelalte i compararea acesteia cu un prag.
Standardul IPC-ET-652 solicit utilizarea unui prag de continuitate de 50 pentru echipamentele electronice
de uz general i 20 pentru echipamentele de mare fiabilitate, cele de uz medical sau aeronautic.
Tehnici pentru msurarea rezistenelor dintre punctele de test situate la extremitile unei reele conductoare:
A. Msurarea n dou puncte
Este tehnica uzual de msurare a rezistenei utiliznd o surs de
curent i un voltmetru.
Sursa de curent injecteaz curentul I ntre punctele de test PT1 i PT2
iar un voltmetru msoar cderea de tensiune pe rezistena Rx dintre
acestea. Prin compararea acestei tensiuni cu un prag se ia decizia de
conformitate sau neconformitate.
Datorit rezistenelor comutatoarelor situate ntre punctele de msur
i punctele de test, notate n figura de mai sus cu Rsw1 i Rsw2,
tensiunea msurat va fi:
Vm = I(Rx + Rsw1 + Rsw2) = Vx + Verr
adic tensiunea msurat Vm conine att tensiunea de interes Vx ct i o tensiune de eroare Verr. Rezistena msurat
Rm se obine prin raportarea Vm la I:
Rm = Rx + Rsw1 + Rsw2
, ceea ce conduce la o eroare relativ de msurare a rezistenei:

Rx

Rm Rx Rsw1 Rsw2

Rx
Rx

Avnd n vedere c la testarea continuitii rezistena Rx are valori de ordinul ohmilor chiar dac se utilizeaz
comutatoare cu rezistena n conducie mic eroarea de msurare tot poate depi cu uurin pragul de 100%.

209

B. Msurarea n patru puncte: utilizeaz o punte Kelvin format din rezistenele n conducie ale comutatoarelor
pentru a msura rezistena de valoare mic dintre cele dou puncte de test.
- Sursa de curent este conectat la punctele de test prin dou puncte de msur iar voltmetru prin alte dou puncte de
msur.
- Se poate neglija curentul prin voltmetru pentru c impedan de intrare a acestuia mult mai mare dect valorile de
ordinul ohmilor pe care dorim s le msurm.
- Tensiunea msurat este: Vm=I*Rx
- Eroarea de msur devine nul indiferent de rezistenele comutatoarelor.
- Configuraia de msur elimin necesitatea calibrrii i a compensrii software ns utilizeaz de dou ori mai multe
puncte de msur dect varianta prezentat anterior.

4. Resurse de testare digital Senzorul i Driverul: Scheme, funcionare


Cursul 6 paginile 16-17
https://intranet.etc.upt.ro/~TEE_EA/CURS/ sau
http://este2.etc.upt.ro/moodle/course/view.php?id=5
Pentru testarea digital se utilizeaz dou tipuri de circuite: driver i senzor.
- Driverul (DD = Digital Driver) este un circuit care genereaz un stimul de test digital.
- Senzorul (DS = Digital Sensor) este un circuit care msoar un semnal digital.
- Prin combinarea celor dou se obine un circuit de test bidirecional denumit driver/senzor (DDS = Digital Driver
/Sensor).
- Senzorul (a) este un comparator dublu cruia i sunt furnizate dou praguri de referin independente, Vref1 i Vref0,
i care furnizeaz dou ieiri independente, una care semnaleaz starea logic 1 i una care semnaleaz starea logic
0.
- Configuraiea permite nu doar detecia nivelurilor logice ci i detecia strii interzise, n care tensiunea de la intrare se
afl ntre cele dou praguri de referin.

La punctul de msur se pot conecta rezistene de ctre


tensiunea de alimentare corespunztoare nivelului 1,
notat V1, sau tensiunea corespunztoare nivelului 0, notat V0.
De obicei aceste dou tensiuni sunt chiar tensiunile de alimentare ale circuitului supus testrii, dar exist i
posibilitatea controlului prin software al acestora.

Driverul (b) dispune, pe lng intrarea de date, de dou intrri analogice V1 i V0 la care se stabilesc nivelurile de
tensiune corespunztoare nivelurilor logice 1, respectiv 0, precum i de o intrare de validare a comenzii
tranzistoarelor finale, notat HighZ, prin intermediul creia se poate aduce ieirea n starea de nalt impedan.
Suplimentar, driverul poate avea circuite de monitorizare a curentului de ieire i de protecie la scurtcircuit.
Driverul trebuie s aib impedan de ieire redus i s fie capabil s genereze un curent ridicat, uneori pn la 500
mA, datorit fenomenului de comand invers (backdriving) inerent testrii n circuit.
Pentru protecia circuitului supus testrii mpotriva efectelor destructive ale comenzii inverse, driverele performante
sunt prevzute cu circuite de control al timpului de cretere.

210

5. Structura unui stand de testare funcional


Cursul 7 paginile 22-24
https://intranet.etc.upt.ro/~TEE_EA/CURS/ sau
http://este2.etc.upt.ro/moodle/course/view.php?id=5
Circuitele de complexitate redus pot fi testate funcional fr a necesita echipamente speciale; Pentru testarea
sistemelor de complexitate redus se pot utiliza testoare n circuit i monturi de test.
n acest scop a fost dezvoltat o categorie de testoare n circuit performante, dotate cu mai multe resurse de test dect
cele prezentate anterior, denumite testoare combinaionale (Combinational Tester).
- Sistemele complexe necesit ns echipamente de test dedicate, construite cel mai frecvent prin interconectarea mai
multor instrumente de uz general i eventual a unor instrumente dedicate ntr-un stand de test (test rack).

Structura general a unui stand de testare funcional, realizat prin interconectarea mai multor echipamente att de uz
general ct i specializate. Conceptul central al structurii este de instrument modular controlat de calculator
Din punct de vedere mecanic standul de test reprezint un asiu dotat cu un fund de sertar (backplane): o plac ce
conine conectori de asiu (slot) n care pot fi montate diverse module.
Fundul de sertar asigur conexiunea fizic ntre diferitele module ce pot implementa diferite funcii, inclusiv aceea de
comunicaie cu un sistem de calcul care poate controla n mod automat execuia testelor.
Prin standardizarea interfeei prin care comunic modulele, precum i a specificaiilor mecanice ale asiului, devine
posibil ca modulele se poat fi dezvoltate de diveri productori, oferind astfel o mare varietate de funcii de msur.
6. Caracterizarea erorilor: Detectabilitatea i Redundana definiii
Cursul 8 paginile 16, 18
https://intranet.etc.upt.ro/~TEE_EA/CURS/ sau
http://este2.etc.upt.ro/moodle/course/view.php?id=5
1. Detectabilitatea : Spunem despre un vector de test X=[x1, ... xn] c detecteaz o eroare f dac i numai dac vectorul
de rspuns conine cel puin o ieire zk a crei valoare este diferit n prezena, respectiv n absena erorii f:

Cu zk(X) s-a notat funcia logic implementat de circuit la ieirea zk n funcionarea corect, iar cu zfk(X) funcia logic
implementat la aceeai ieire n prezena erorii f.
Operaia SAU-EXCLUSIV transpune n algebra binar cerina valoare diferit, ( vezi tabelul de adevr al funciei
XOR.)
Spunem despre o eroare f c este detectabil dac i numai dac exist cel puin un vector de test care o detecteaz:

211

2. Redundana: Redundana erorilor se definete ca opusul detectabilitii:

O eroare ce nu poate fi detectat de nici un vector de test este o eroare redundant. ntruct orice combinaie binar
aplicat intrrilor poate reprezenta un vector de test, rezult c doar ntr-un circuit redundant pot exista erori astfel de
erori.
Circuit redundant poate fi eliminat cel puin o poart logic fr a-i modifica funcia logic implementat.
Redundana poate aprea nu doar din cauza unei proiectri suboptimale a circuitului, ci i n urma aplicrii unor tehnici
de eliminare a hazardului combinaional sau pentru obinerea toleranei la defeciuni.
Erorile redundante nu reprezint o problem n funcionarea circuitului (acesta implementeaz aceeai funcie n
prezena i n absena unei astfel de erori), ns afecteaz testabilitatea datorit fenomenului de mascare.
O eroare redundant g mascheaz o eroare f dac f este detectabil ns n prezena simultan a erorilor f i g, aceasta
devine redundant:

7. Generarea determinist a vectorilor de test. Principiul


Cursul 9 pagina 17
https://intranet.etc.upt.ro/~TEE_EA/CURS/ sau
http://este2.etc.upt.ro/moodle/course/view.php?id=5
Un generator determinist de vectori de test (ATPG = Automatic Test Pattern Generator) reprezint un modul
software care, n urma analizei unui model structural al unui circuit electronic, genereaz vectori pentru testarea
acestuia. Analiza unui model structural este ceea care confer acestui tip de generator de vectori de test caracterul
determinist, n opoziie cu generatoarele statistice care genereaz vectori aleatori n mod independent de structura
circuitului supus testrii.
- Pe baza modelului structural i eventual a unei erori int generatorul furnizeaz vectori de test unui modul de
analiz a testului;
- Utiliznd un simulator de erori i un algoritm de compactare a testului, acesta ntreine un dicionar de erori ce
conine vectori de test, vectori de rspuns i erorile detectate de fiecare dintre acestea.
- Dac dicionarul de erori ofer o acoperire a testului satisfctoare, adic vectorii de test determinai pot
detecta un procent minim impus dintre erorile int, atunci procesul de generare a testului este ncheiat.
- Pn la atingerea unei acoperiri a testului satisfctoare generatorul determinist este apelat n mod repetat,
eventual cu specificarea unei erori int.

Utilizarea unui generator determinist de vectori de test, n conjuncie cu un simulator


212

8. Principiul testrii cu compresia datelor. Metode de compresie. Obiective.


Cursul 11 paginile 17-18
https://intranet.etc.upt.ro/~TEE_EA/CURS/ sau
http://este2.etc.upt.ro/moodle/course/view.php?id=5
Principiul testrii cu compresia datelor: n urma aplicrii unei succesiuni de m vectori de test, la o ieire a circuitului
supus testrii se obine o succesiune de m valori binare care poate fi caracterizat printr-o semntur pe n bii.
Calculnd semntura obinut n urma aplicrii testului i comparnd-o cu cea corespunztoare funcionrii corecte,
stocat ntr-o memorie, se poate determina dac circuitul este sau nu defect.
O semntur caracterizeaz o secven binar de lungime m, adic un ir de m bii care se succed n timp la intrarea
analizorului. Semntura se reprezint pe un numr finit de n bii, de obicei 16, ns poate caracteriza o secven binar
de orice lungime, ceea ce o face atractiv pentru testarea circuitelor secveniale complexe.

Metode de compresie: - numrarea valorilor binare


- numrarea tranziiilor
- determinarea paritii
- testarea sindromului
- analiza de semntur
Obiective urmrite n implementarea metodelor de compresie:
Metoda trebuie s poat fi implementat prin tehnici (circuite) ct mai simple.
Tehnica utilizat nu trebuie s introduc ntrzieri suplimentare n funcionarea circuitului sau s afecteze
major timpul de test.
Lungimea semnturii trebuie s fie mult mai mic dect cea a rspunsului circuitului (comparaia se face
pentru o cantitate mult mai mic de informaie). Aceast performana este caracterizat de gradul de
compresie (GC) definit ca raportul dintre lungimea secvenei de ieire (rspunsul circuitului) i lungimea
semnturii.
Metoda de compactare nu trebuie s piard informaia util din rspunsul circuitului, adic s nu mascheze
manifestarea defectelor. Aceast performan este caracterizat prin probabilitatea de mascare (P) a erorilor.
9. Testoare cu procesare numeric. Structura. Problema sincronizarii.
Cursul 12 paginile 23-24
https://intranet.etc.upt.ro/~TEE_EA/CURS/ sau
http://este2.etc.upt.ro/moodle/course/view.php?id=5

Ansamblul DSP-RAM-CNA formeaz un sintetizator, capabil s genereze stimuli analogici: cureni, tensiuni, forme de
und, etc.
Ansamblul CAN-RAM-DSP formeaz un digitizor, capabil s achiziioneze si apoi s analizeze semnale analogice.
Sintetizatorul i digitizorul reprezint emulatoare numerice ale unor instrumente analogice, care permit echipamentului
de test s opereze cu vectori numerici n locul mrimilor analogice.
O caracteristic important a testoarelor cu procesare numeric este sincronizarea emulatoarelor pe baza unui tact unic.
Figura prezint o structur tipic de distribuie a tactului ntr-un echipament pentru testarea circuitelor de semnal mixt.
Oscilatorul cu cuar (OSC) produce tactul de frecven medie (zeci de MHz), aplicat mai multor bucle cu calare pe faz
(PLL = Phase Locked Loop) care genereaz multiple semnale de tact sincronizate, avnd diferite frecvene, de ordinul
213

sutelor de megahertzi. Att sintetizatoarele ct i digitizoarele pot opera cu oricare dintre aceste semnale de tact, a cror
frecven se poate reduce prin utilizarea unor divizoare de tact (DT). Factorii de multiplicare ai oscilatoarelor PLL i
factorii de divizare ai blocurilor DT sunt alei astfel nct ntre frecvenele cu care pot opera sintetizatoarele i
digitizoarelor s se stabileasc rapoarte de numere ntregi, de obicei prime ntre ele, necesare pentru eantionarea
coerent. Sincronizarea n faz a tuturor semnalelor de tact i impunerea ntre acestea a unor rapoarte de numere ntregi
poart denumirea de sincronizare M/N.

10. Testarea static a CNA. Probleme. Masurarea indirect


Cursul 13 paginile 7-9
https://intranet.etc.upt.ro/~TEE_EA/CURS/ sau
http://este2.etc.upt.ro/moodle/course/view.php?id=5
Testarea convertoarelor numeric-analogice pentru evaluarea caracteristicilor statice poate prea de domeniul evidenei:
se msoar valorile de ieire pentru fiecare cod aplicat la intrare i se compar cu valoarea ideal. Exist totui dou
probleme:
(i) Precizia digitizorului: pentru testarea unui convertor pe n bii digitizorul trebuie s ofere o precizie de cel puin
LSB/10, ceea ce, innd cont de zgomot i de erorile proprii digitizorului, solicit ca acesta s opereze pe cel puin n+4
bii. i atunci cum testm un convertor pe 32 bii ?
(ii) Numrul mare de coduri: un convertor pe n bii necesit 2n msurtori, adic 65.536 pentru convertoarele pe 16
bii i 16.777.216 pentru convertoarele pe 24 bii, ceea ce crete considerabil timpul de testare.
Soluia primei probleme este msurarea indirect, iar soluia celei de-a doua este superpoziia erorilor
Msurarea indirect se refer la evaluarea unei tensiuni prin msurarea diferenei dintre aceasta i o tensiune
cunoscut .

Programnd sursa de tensiune pentru generarea unei valori Vref apropiate de valoarea ce se dorete a fi msurat
(determinat de codul aplicat la intrarea CNA), se msoar o diferen de tensiuni amplificat de un amplificator de
instrumentaie (AI). Pentru msurarea unor valori absolute ale Vx este necesar ca Vref s aib precizie ridicat, ns
pentru msurarea unor variaii relative, cum e cazul neliniaritii difereniale, nu trebuie dect ca s fie stabil n
timp.
Ex: msurarea direct cu un digitizor ce opereaz pe 16 bii a tensiunii de la ieirea unui convertor pe 12 bii, ambele
avnd aceeai gam de variaie FSR. Cuanta digitizorului va fi de 16 ori mai mic dect cuanta CNA, astfel nct,
ignornd orice alt surs de eroare, precizia de msurare va fi 0.5LSBdigitizor= 3,125% LSBCNA .
S presupunem acum c se realizeaz msurarea indirect a erorilor de neliniaritate diferenial a CNA, iar gama de
variaie a digitizorului se stabilete la
FSR digitizor = 4LSB CNA
Cuanta digitizorului va fi n acest caz de 216/4 = 16 384 ori mai mic dect a CNA, astfel nct precizia de msurare,
considernd din nou doar eroarea de cuantizare a digitizorului, va fi de 0,003% LSBCNA.
Pentru msurarea DNL se vor face dou msurtori consecutive:
214

Vx1 Vref i Vx2 Vref ,


prin a cror difereniere se obine rezultatul dorit fr a fi afectat de eroarea de programare a Vref, cu singura condiie
ca aceast tensiune s rmn constant pe durata celor dou msurtori.

215

S-ar putea să vă placă și