Documente Academic
Documente Profesional
Documente Cultură
EXAMEN LICEN
SPECIALIZAREA
ELECTRONIC APLICAT
2012-2013
EXAMEN LICEN
SPECIALIZAREA
ELECTRONIC APLICAT
2012-2013
Cuprins
Discipline fundamentale
Uniti de msur ..1
Noiuni generale de Fizic.4
Concepte/teoreme matematice de uz practic n exercitarea profesiei de inginer.......9
Circuite electronice fundamentale...17
Circuite integrate analogice.31
Circuite integrate digitale48
Sisteme de prelucrare numeric cu procesoare............................... 61
Semnale i sisteme.......82
Zona tematic 5 (aplicaii)...94
Discipline de specialitate
Aparate electronice de msurat......112
Bazele sistemelor flexibile inteligente...126
Electronic de putere n comutaie....168
Radiocomunicaii...179
Sisteme cu logic programabil.190
Sisteme de achiziii de date...199
Testarea echipamentelor pentru EA..206
UNITTI DE MSUR
ale Sistemului International
1.
Specificai unitatea SI pentru mas i simbolul ei. Specificai factorul de multiplicare i simbolul pentru
micro (exemplu: atto = 10-18, a).
Unitatea SI pentru mas este kilogramul. Simbolul su este kg. Factorul de multiplicare pentru micro este
10-6. Simbolul su este .
2.
Specificai unitatea SI pentru lungime. Specificai factorul de multiplicare i simbolul pentru mili (exemplu:
atto = 10-18, a).
Unitatea SI pentru lungime este metrul. Simbolul su este m. Factorul de multiplicare pentru mili este 103
. Simbolul su este m.
3.
Specificai unitatea SI pentru timp. Specificai factorul de multiplicare i simbolul pentru micro (exemplu:
atto = 10-18, a).
Unitatea SI pentru timp este secunda. Simbolul su este s. Factorul de multiplicare pentru micro este 10-6.
Simbolul su este .
4.
Specificai unitatea SI pentru curentul electric. Specificai factorul de multiplicare i simbolul pentru mili
(exemplu: atto = 10-18, a).
Unitatea SI pentru curentul electric este amperul. Simbolul su este A. Factorul de multiplicare pentru mili
este 10-3. Simbolul su este m.
5.
Specificai unitatea SI pentru viteza unghiular. Specificai factorul de multiplicare i simbolul pentru kilo
(exemplu: atto = 10-18, a).
Unitatea SI pentru angular viteza unghiular este radianul pe secund. Simbolul su este rad/s. Factorul
de multiplicare pentru kilo este 103. Simbolul su este k.
6.
Specificai unitatea SI pentru frecven. Specificai factorul de multiplicare i simbolul pentru tera
(exemplu: atto = 10-18, a).
Unitatea SI pentru frecven este herul. Simbolul su este Hz. Factorul de multiplicare pentru tera este
1012. Simbolul su este T.
7.
Specificai unitatea SI pentru energie, lucru mecanic i cldur. Specificai factorul de multiplicare i
simbolul pentru mega (exemplu: atto = 10-18, a).
Unitatea SI pentru energie, lucru mecanic i cldur este joulul. Simbolul su este J. Factorul de
multiplicare pentru mega este 106. Simbolul su este M.
8.
Specificai unitatea SI pentru putere i flux radiant. Specificai factorul de multiplicare i simbolul pentru
giga (exemplu: atto = 10-18, a).
Unitatea SI pentru putere i flux radiant este wattul. Simbolul su este W. Factorul de multiplicare pentru
giga este 109. Simbolul su este G.
9.
Specificai unitatea SI pentru for sarcin electric i cantitate de electricitate. Specificai factorul de
multiplicare i simbolul pentru femto (exemplu: atto = 10-18, a).
Unitatea SI pentru sarcin electric i cantitate de electricitate este coulombul. Simbolul su este C.
Factorul de multiplicare pentru femto este 10-15. Simbolul su este f.
10. Specificai unitatea SI pentru tensiune electric, diferen de potenial i tensiune electromotoare.
Specificai factorul de multiplicare i simbolul pentru nano (exemplu: atto = 10-18, a).
2
Unitatea SI pentru tensiune electric, diferen de potenial i tensiune electromotoare este voltul.
Simbolul su este V. Factorul de multiplicare pentru nano este 10-9. Simbolul su este n.
11. Specificai unitatea SI pentru intensitatea cmpului electric. Specificai factorul de multiplicare i simbolul
pentru mega (exemplu: atto = 10-18, a).
Unitatea SI pentru intensitatea cmpului electric este voltul pe metru. Simbolul su este V/m. Factorul de
multiplicare pentru mega este 106. Simbolul su este M.
12. Specificai unitatea SI pentru rezisten electric, impedan i reactan. Specificai factorul de
multiplicare i simbolul pentru kilo (exemplu: atto = 10-18, a).
Unitatea SI pentru rezisten electric, impedan i reactan este ohmul. Simbolul su este . Factorul
de multiplicare pentru kilo este 103. Simbolul su este k.
13. Specificai unitatea SI pentru conductana electric. Specificai factorul de multiplicare i simbolul pentru
kilo (exemplu: atto = 10-18, a).
Unitatea SI pentru conductana electric este siemensul. Simbolul su este S. Factorul de multiplicare
pentru kilo este 103. Simbolul su este k.
14. Specificai unitatea SI pentru capacitatea electric. Specificai factorul de multiplicare i simbolul pentru
pico (exemplu: atto = 10-18, a).
Unitatea SI pentru capacitatea electric este faradul. Simbolul su este F. Factorul de multiplicare pentru
pico este 10-12. Simbolul su este p.
15. Specificai unitatea SI pentru inductan. Specificai factorul de multiplicare i simbolul pentru mili
(exemplu: atto = 10-18, a).
Unitatea SI pentru inductan este henry. Simbolul su este H. Factorul de multiplicare pentru mili este
10-3. Simbolul su este m.
10
11
12
13
14
15
16
17
f
fB
BC
EC
f fT
n conexiunea BC tranzistorul se comporta mult mai bine cu frecvena deoarece f f T f B
Obs
S-a preferat utilizarea parametrilor h deoarece analiza poate fi fcut pentru toate cele trei conexiuni
utiliznd o singur schem echivalent i particulariznd valorile parametrilor h corespunztori conexiunii
avute n vedere.
18
Ie
I
2
I1
I1
U 0 I 2 RL
Ai
h21
1
1 h22 RL
U1
I1
U 1 h11 I 1 h12 U 0 h11 I 1 I 2 R L h12 h11 I 1 RL h12 A i I 1
Zi
U 1 I 1 h11 R L h12 A i
Ri Z i h11 RL h12
h21
2
1 h22 RL
Obs
1. semnul ,,- n expresia unei amplificri semnific faptul c acel amplificator introduce un defazaj de
180o
2. se constat apoi c mrimea rezistenei de intrare este influenat de rezistena de sarcin RL
RL 1K
Ri 2 K,20,100 K
2. Desenati schema electric a unei reele Wien precum i modul de conectare.
pentru a realiza un oscilator Wien. Explicati modul de functionare al oscilatorului.
Curs 26 (pg. 7-8).
19
Z2
Ur
U o Z1 Z 2
1
Z
1 1
Z2
1
1 jC1 R1
1 jC1 R1 1 jC 2 R2
Z1
jC1
jC1
1
R2
jC1
R2
Z2
R2
jC 2
1 jC 2 R2
1
R2
jC 2
R1
1
C R C 2 R2 2C1 R1C2 R2
1
1 1
1
jC1 R2
C1 R2
jC1 R2
0 , j
0
1
1
R1 C2
R2 C1
1
1
1
0C 2 R1 0 0
o C1 R2
C1 R1C 2 R2 RC
1
3
numai de condiia de faz dar i de condiia de amplitudine deoarece pentru 0 , j max respectiv
1
min i prin urmare pentru aceast pulsaie poate fi satisfcut condiia de amplitudine (1).
j
De regul acest oscilator este cu frecvena reglabil, reglarea frecvenei fcndu-se n trepte, prin
comutarea capacitilor C1 ,C 2 , i continuu, modificndu-se simultan rezistenele R1 , R2
20
D
e regul oscilatoarele cu circuitul Wien se realizeaz n jurul unui amplificator operaional ca n figura:
Obs
1. pe durata cte unei semialternane tranzistorul funcioneaz n conexiune CC.
2. pentru a asigura o excursie simetric la ieire tensiunile celor dou surse de tensiune se iau egale
E E E
3. Datorit tensiunii de deschidere semnalul de ieire va prezenta distorsiuni neliniare, aa numitele
distorsiuni de racordare.
21
4.
22
Compensarea cu poli dominant se realizeaz prin conectarea unui condensator de compensare ntre
dou etaje succesive ale amplificatorului.
A( j )
(1)
1 j
1 j
1 j
1
2
3
A
AC ( j )
(2)
1 j
1 j
1 j
1 j
d
1
2
3
1
jCC
Ri
1
Ri
1 jCC
Ri
Ri
jCC
1
1
Ri
R0 Ri 1 jCC Ri R0 R0 Ri 1 jCC Ri R0
Ri
R0
jCC
1 jCC
R0
1
Ri
jCC
Ri
Ri R0 CC Td
1
Td
23
Aceste etaje funcioneaz ntr-un regim care se situeaz ntre regimul de funcionare clas A i regimul
de funcionare clas B (mai aproape de clas B, n lipsa semnalului tranzistoarele nc conduc puin). Etajele n
clas AB prezint distorsiuni de racordare mai reduse.
Aceste distorsiuni sunt cu att mai sczute cu ct tranzistoarele conduc mai mult n lipsa semnalului.
Acest fapt poate conduce ns pe de alt parte la pericolul ambalrii termice. n clas AB randamentul este i el
ceva mai sczut.
Dezavantajul schemei l constituie instabilitatea funcionrii cu temperatura.
u BE u D
R2
R1 R2
R
u D u BE 1 1
R2
Neajunsul schemei l constituie lipsa unui control facil al tranzistorului de prepolarizare. Acest neajuns
poate fi eliminat nlocuind cele doua diode cu o superdioda.
Vom considera situaia n care conduce T1. Care este valoarea tensiunii
de comand?
uicm u BE E u ces E 1
Din relaia (1) rezult c etajul prefinal ar trebui alimentat cu o
tensiune E ' E pentru a putea asigura comanda necesar pentru excursia maxim la ieire.
Pe de alt parte excursia de la ieirea etajului prefinal este diminuat fa de tensiunea de alimentare
ca urmare a dreptei de sarcin dinamic.
24
VI : I 1 , U 2
VD : U 1 , I 2
U 1 H 11 I 1 H 12 U 2
I 2 H 21 I 1 H 22 U 2 (1)
Rif
U if
IR
I if
Rof
U r 0
I if
U r 0
Ur
Ir
I if 0
Se rearanjeaz schema.
7. Calculati frecventa limita la inalte a unui amplificator cu reactie, cunoscind frecventa limita la
inalte pentru amplificatorul fara reactie si factorul de desensibilizare F=1+A.
Curs 21 (pg. 7-8).
25
A j
A
1
1 jTi
j
Ar j
1
A j
A
A A
A
1 A j 1 jTi 1
1 jTi A 1 A
1 jTi
Ar
1
jTi 1 jTi
1
1 A
ir
20 lg
1
1
20 lg A 20 log Ti 20 lg A 20 lg1 A
Tir
Tir
A
1 A
20 lg Ar
Obs
Se constat c reacia negativ mrete de 1 A ori frecvena limit superioar a benzii de trecere.
Analiza la joase frecvene
Presupunem o funcie cu rspuns la frecven cu un singur pol.
26
A j
j T j
1 jT j
Ar j
A1
jT j
A j
A
1 A j
1 jT j
1
1 A
jT j
1 j T j
jT j
1 jT j A j T j
jT j 1 A
Ar T jr
A
Ar j
1 A 1 jT j 1 A
1 jT jr
Pzto
1
Pzgo
F 1
F
2
U zto
2
U zgo A 2
n general din punct de vedere al zgomotelor unui amplificator este apreciat pe baza urmtoarei
scheme echivalente. Studiul zgomotelor se face ntotdeauna pentru ansamblul generator-amplificator.
t - total
27
g generatorului
2
U zto
A 2 U zg2
2
zg
U z2 Rg2 I z2
A2
RL
A2
U zg2
RL
2
2
U z2 Rg I z
U zg2
U zg2
U zg2 4 K T f Rg
Rg
Rg2 I z2
R
U z2
1
1 ZD
F 1
4 K T f Rg 4 K T f Rg
Rg RZp
I z2
9. Demonstrati efectul reactie negative asupra variatiei relative a amplificarii.
Curs 21 (pg. 1-2).
1
1
U z2 4 K T f Rbb '
2
g
m
g m 1
1
2gm
2
f
g m 1
Rg
R
F 1 zs
; F Fmin
Rg Rzp
Rzp
Obs.
1. Deoarece factorul de zgomot depinde de mrimile g m i mrimi care la rndul lor sunt
determinate de curentul de colector, nseamn c exist o valoare a curentului de colector
pentru care F=Fmin.
2. n cataloage se specific o serie de informaii privind zgomotul tranzistoarelor bipolare.
Ic
3.
4.
F F1
Rg 2 F2
Rg 2 A12
29
CC
1
; Ce 1 2
C1 C 2
LC e
U 0 g m U gs R p
gm Rp
U gs
U gs
1
jC 2
C
U
j r
1
1
C2
U0
I
jC1
I
A j 0 1
C
g m R p 1 1
C2
C 1
1 - conditia de amorsare
gm 2
C1 R p
Obs
1. bobina de oc X L asigur alimentarea cu tensiune continu a circuitului n condiiile n care
blocheaz componenta variabil spre sursa de semnal (care reprezint pentru aceast component un
scurtcircuit).
2. la acest oscilator frecvena este dificil de reglat n mod continuu, de aceea, se utilizeaz pentru
generarea unor frecvene fixe.
30
31
1. Specificati si definiti cinci parametri referitori la circuitul de intrare a AO. (2.2, pag.68-69)
1. Parametri referitori la circuitul de intrare:
- tensiunea de decalaj iniial Uio (sau decalaj iniial de tensiune ori offset de tensiune) reprezentnd
o tensiune de eroare cauzat de inegalitatea tensiunilor UBE (UGS) ale tranzistoarelor de la intrrile etajului
diferenial de intrare. Acest decalaj iniial se msoar prin tensiunea ce trebuie aplicat la o intrare, cu o
polaritate sau alta, pentru a realiza o tensiune de ieire nul (exemplu: 1...5 mV la amplificatoare operaionale
cu tranzistoare bipolare uzuale, 10 mV la cele cu intrare pe TECJ sau MOS, 10 V la amplificatoare hibride cu
pereche TECJ, 1 V la amplificatoare hibride cu chopper);
- deriva termic de tensiune, Uio/T, denumit i sensibilitate termic sau coeficient de temperatur
al tensiunii de decalaj iniial; arat variaia cu temperatura a acestei tensiuni i se msoar n V/oC;
- curentul de polarizare a intrrilor (sau curent de intrare), reprezentnd valoarea medie a curenilor
de la cele dou intrri. Exemplu:
IB
I B1 I B 2
2
pentru intrare pe tranzistoare bipolare. Valoarea acestui curent depinde de tipul etajului diferenial de intrare;
- curentul de decalaj iniial, Iio (sau offset de curent) reprezentnd eroarea cauzat de inegalitatea
curenilor de intrare ai etajului diferenial; este msurat ca diferen a curenilor de la cele dou intrri n
situaia cnd Ue=0 (de obicei Iio<0,1 IB);
- deriva termic de curent, denumit i sensibilitate termic sau coeficient de temperatur al
curentului de decalaj iniial; reprezint raportul Iio /T i se msoar n nA/oC sau pA/oC;
- rezistena de intrare diferenial (pentru semnal diferenial), care reprezint deseori i rezistena de
intrare nesimetric;
- factorul de rejecie a semnalului comun, CMRR (de obicei 90...110 dB);
- factorul de rejecie a variaiei tensiunilor de alimentare SVRR, msurat n dB (sau inversul lui, n
V/V).
Acesta reprezint raportul ntre variaia tensiunii simetrice de alimentare i semnalul diferenial, ce
produc aceeai tensiune de ieire diferenial.
32
2. Specificati si definiti doi parametri referitori la comportarea in regim dinamic a AO. (2.2, pag.70)
2. Parametri referitori la comportarea n regim dinamic (ca amplificator):
- amplificarea de tensiune, fr reacie, la semnal mare, n condiii de E i RS precizate. Valoarea
amplificrii este n mod obinuit 100.000...300.000;
- banda de frecven la amplificare unitar, ce reprezint frecvena de tiere a axei logf de ctre
caracteristica de frecven a amplificatorului fr reacie corectat (sau frecvena de tiere a amplificatorului cu
reacie n regim de repetor, cnd Aur=1, respectiv cnd 20 log Aur = 0);
- viteza maxim de cretere a tensiunii de ieire, slew-rate, notat SR, pentru semnal mare. La unele
amplificatoare (cu corecie extern) se d viteza maxim realizabil pentru diferite corecii (care se aleg n
funcie de amplificarea cu reacie dorit).
Pentru ca un semnal sinusoidal cu anumit amplitudine s sufere distorsiuni mici - 1% - la trecerea prin
amplificator, trebuie ca mrimea SR s aib o valoare:
SR 2fmax (uem)max,
uem
3. Prezentati oglinda de curent cu tranzistor tampon si analizati valoarea raportului dintre curentii de
pe cele doua ramuri in contextul utilizarii sale ca si sarcina activa pentru un etaj diferential de
intrare dintr-un AO integrat. (1.1, pag.26)
33
Schema acestei oglinzi, folosit ca sarcin activ n etajul diferenial de intare al amplificatoarelor
integrate (operaionale) este dat n fig.1.6. Tranzistorul T3, denumit tampon, prelund un curent foarte mic
din Iref, face ca cei doi cureni Ie i Iref s fie foarte apropiai.
+E
Iref
2 IB
3+1
2IB
Ie =1 IB
T3
Re
2IB
T2
IB
IB
T1
(nIB)
RE
RE3
RE
Ie = 1IB i Iref = 2 I B
2 2
2I B
IB
2 3
3 1
3 1
caz n care:
Ie
13 1
1 3 1
I ref 23 2 2 23 2
Aadar raportul este net supraunitar (tensiunile UCE ale celor dou tranzistoare nu mai sunt att de apropiate
ca i la oglinda Wilson). Pentru a compensa acest lucru se introduce rezistena RE3 care mrete artificial
curentul prin T3 i prin intermediul curentului su de baz, mrete i pe Iref. Astfel se obine:
Iref = 2 I B
( n 2) I B 2 3 2 n 2
IB
3 1
3 1
i raportul devine:
34
Ie
13 1
1
I ref 23 2 2 n
care poate fi fcut apropiat de 1 alegnd potrivit valoarea rezistenei RE3. Aceast rezisten are i rolul de a
asigura o mai bun stabilitate termic circuitului.
Rezistenele RE pot mri sensibil rezistena de ieire Re a sursei de curent (n colectorul lui T1). n unele
amplificatoare integrate rezistenele RE permit legarea ntre emitoarele lui T1 i T2, din exteriorul integratului, a
unui poteniometru care poate ajusta fin raportul Ie / Iref (echilibrarea amplificatorului).
4. Ce este o sursa band-gap, care este forma generala a tensiunii sale de iesire si explicati pe baza
acestei formule principiul sau de functionare. (1.2, pag.32, 33, 34)
IC2
I
I
U T ln C1 U T ln C 2 U T ln n
IC0
IC0
I C1
Cu aceasta rezult:
IC1
U T ln n
R1
U T ln n
U ln n
R
n T
) = 2 (n 1) U T ln n = NUT
R1
R1
R1
unde s-a notat (R2 / R1)(n+1)ln n = N (constant). Aceast tensiune (UR2) trebuie s aib un coeficient de
temperatur de +2mV/K.
+E
RC2 =
RC1
+E
= R /n
Ui
A
Ui
IC1
T2
T1
UBE1
IC1R1
IC2
Ue
IB0
UBE2
R1
R2
UR2
Cunoscnd c UT = kT/q (n care k este constanta lui Boltzmann iar q sarcina electronului), se scrie:
dU R 2
dU
k
N T N
dT
dT
q
2
dT
qT
T
K
300
23 .
26 10 3
36
Acest numr este realizat suficient de precis prin rapoarte de rezistene. Astfel:
Ue = UBE2 + UR2 = UBE2 + NUT = const. (T)
adic tensiunea Ue este compensat termic (n realitate nu total).
n multe aplicaii A.O. se poate considera ideal, calculul circuitelor fiind atunci mult mai simplu.
Apropierea funcionrii amplificatoarelor reale de a celor ideale se datorete performanelor atinse n
fabricarea lor.
Amplificatoarele operaionale ideale au urmtoarele proprieti:
- amplificare de tensiune infinit,
- rezisten de intrare diferenial infinit,
- rezisten de ieire nul,
- curent de polarizare (intrare) nul,
- band de frecven foarte larg (astfel nct nu intervine n funcionarea circuitului),
I1
Rir
A ur
R1
U1
I1
Ue
0V
0V
RS
R2=R1Rr
U e I1R r
R
r
U1
I1R1
R1
Ue
Ue
R
1 r
R1
U2 U
R1
e
R1 R r
i poate fi doar supraunitar pentru acest circuit. Rezistena de intrare vzut de sursa U2 este foarte mare,
datorit reaciei negative de tipul paralel-serie. Totui ea este limitat la valoarea rezistenei de intrare pentru
semnal comun care a fost ignorat fa de rezistena de intrare diferenial pn acum. La amplificatoarele
uzuale rezistena de intrare pentru semnal comun are o valoare de ordinul n 10 M.
Pentru realizarea unei amplificri de tensiune subunitare se poate utiliza un divizor de tensiune la
intrarea + dar n acest caz rezistena de intrare coboar la o valoare obinuit (n 10K), (fig.3.3). Pentru acest
circuit se poate scrie tensiunea de ieire:
R
R3 Rr
1
U e U ' 1 r U 2
R 2 R 3 R1
R1
38
Rr
Rr
R1
R1
U2
Rir
U2
R2
U2
Ue
Rir
RS
U2
R2
=R R
Fig. 3.2. Amplificator neinversor cu AO
Ue
RS
R3
7. Amplificatorul logaritmic.
Carcteristica volt-amperic exponenial a diodelor semiconductoare i a tranzistoarelor poate fi
utilizat pentru realizarea unor amplificatoare cu caracteristc de transfer ue = f(u1) logaritmic. Este vorba de
relaia:
i C I Co e
u BE
UT
sau u BE U T ln
iC
I Co
39
Schema de principiu a amplificatorului logaritmic este dat n fig.3.11, iar schema se completeaz n
uCE=uBE
iC
T
iC
R1
uBE
R1
0V
u1>0
0V
CC
u1
+
R1
ue=-uBE
<0
RP
(2k)
ue
R1
amplificator
logaritmic
practic aa
cum se arat
n fig.3.12.
logaritmic simplu
iC
u
U T ln 1
ICo
R1ICo
Etaj diferenial
U1
Ue1
A1
R2
fire
R1
R3
RA (ext)
A3
R1
pini
+
U2
R2
A2
Ue
R3
pin
fir
Sarcin
Ue2
pin
Etaj de intrare
Fig. 3.23. Amplificator de msur clasic
Relaia tensiunii de ieire se stabilete innd cont c amplificatorul realizat cu A3 este diferenial, iar
amplificatoarele cu A1 i A2 sunt neinversoare, fiecare utiliznd rezistena RA care impune amplificarea (i poate
fi deci programabil):
U e U e 2 U e1
R2
A3
R2
R
U U1
R A 2R 3 R 2
IR A 2R 3 2 2
R1
R1
RA
R1
2R 3 R 2
U 2 U1 1
A ur U 2 U1
R A R1
Reacie
Sarcin
Echilibrare
Exist, de asemenea, varianta de redresor de precizie monoalternan inversor (fig.3.28), care poate realiza i
o amplificare.
n semiperioada negativ tensiunea ueA>0 i D1 conduce, iar D2 este blocat. n acest caz se pot scrie
ecuaiile:
u1 = i1R1 + ui
(1)
u1 = -i1R2 + ui
(2)
ueA = -uiAu
(3)
ueA = ud1 + ue
(4)
Eliminnd i1, ueA i ui, rezult pentru semiperioada negativ a tensiunii u1:
ue
u1
R2
u
R
d1
u1 2 u d1
R1 u A u
R1
1
1
1
u A u
cu: u
R1
1
,
R1 R 2
u A u
R2
R1
adic forma tensiunii de la ieire repet forma tensiunii de la intrare. Prin urmare se asigur precizia redresrii i se poate
realiza amplificarea dorit.
Dioda D1 are rolul de redresor dar tensiunea ud1 este mprit cu uAu>>1, i efectul acesteia, inclusiv
efectul termic, este neglijabil. Cu alte cuvinte, dioda D1 prezint o comportare ideal ce se datorete cuprinderii
ei n bucla de reacie.
Pentru semiperioada pozitiv a tensiunii u1 , tensiunea ueA<0 i dioda D1 este blocat. n lipsa diodei D2
ieirea amplificatorului ajunge la saturaie spre E i comutarea acesteia spre ueA>0 n semiperioada urmtoare
ar fi lent, D1 nu se deschide la timp provocnd deformarea tensiunii ue deci imprecizie, ca n fig.3.26. Prezena
diodei D2 asigur evitarea saturaiei ieirii amplificatorului (diod antisaturaie), meni-nnd pe ueA apropiat
de zero (- 0,6 V). Astfel, dioda D2 conduce curentul ce vine de la intrare. Tensiunea ui foarte mic produce prin
divizorul R2, RS o tensiune de ieire:
ue ui
RS
R 2 RS
care este neglijabil. Pe lng tensiunea ui redus, n semiperioada pozitiv a lui u1 conteaz la intrare i
decalajul iniial de tensiune (nu se face echilibrarea).
42
ue
-R2/R1
0
u1
fig.3.29a i 3.29b.
Se pot redresa tensiuni mici de ordinul milivolilor. Amplificatoarele integrate cu etaj final n clas C (cu
zon moart n caracterisitca de transfer) nu sunt ns potrivite pentru redresoare de precizie de semnale mici
(exemplu 709, 324 etc.).
Rezistena de intrare a redresorului de precizie inversor este modest.
Dac se dorete obinerea unei
tensiuni
redresate
negative
se
inverseaz sensul celor dou diode.
R2
Cc
Pentru creterea frecvenei
R1
D2
tensiunii ce se redreseaz, cu menineD1
u1
ue
rea preciziei, s-au mai aplicat unele
Cc
soluii de mbuntire a compensrii
+
de frecven [3]. Astfel, tiind c n
RS
R1R2
timpul scurt de comutare diodele D1 i
pin de corecie
D2 nu conduc, se poate crete factorul
(intrare etaj II)
SR prin suspendarea coreciei. Cnd
Fig. 3.30. Redresor cu frecvena de lucru mrit
corecia e prin efect Miller, condensatorul de corecie nu se conecteaz direct la ieirea amplificatorului ci prin
diodele D1, respectiv D2 (fig.3.30). Cnd o diod conduce corecia acioneaz normal.
10. Precizati cateva tipuri de comparatoare, desenati-le caracteristica de transfer si explicati care
dintre acestea elimina riscul bascularilor multiple atunci cand tensiunea de intrare este insotita de
zgomote. (3.11)
10. Comparatoare.
Comparatoare simple (fr reacie)
43
Comparatoarele sunt circuite care indic, prin tensiunea de ieire, situaia relativ a dou tensiuni
aplicate la intrri (fig.3.74). Este vorba aici de un comparator pentru tensiuni cu acelai semn. De obicei una din
tensiuni este variabil iar cealalt este fix, reprezentnd cu aproximaie pragul comparatorului. Cnd
tensiunea variabil este U1 comparatorul este inversor, iar cnd tensiunea variabil este U2 comparatorul
este neinversor.
Caracteristica de transfer a acestor comparatoare este prezentat n fig.3.75a (pentru inversor) i b
(pentru neinversor).
U1
Pentru situaia U1 < U2 rezult la ieire Ue = Uemp nivelul logic
superior (pozitiv), iar pentru U1 > U2 rezult Ue = Uemn nivelul logic inferior
Ue
U2
(negativ de obicei, dac se alimenteaz AO cu dou surse). Se folosete
+
comparator inversor dac se dorete bascularea ieirii de la nivel superior
spre inferior, atunci cnd tensiunea de intrare cresctoare depete
tensiunea fix i comparator neinversor n caz contrar.
Fig. 3.74. Comparator simplu cu AO
Dac ns tensiunile U1 i U2 (sau una dintre ele) conin zgomote,
cnd tensiunea variabil ajunge n dreptul zonei de indecizie apare fenomenul de vibraie (oscilaie) a
tensiunii de la ieirea comparatorului (fig.3.76) care nseamn schimbarea de cteva ori, consecutiv, a deciziei
logice deci comenzi false (uneori suprtoare) pentru circuitele i dispozitivele conectate la ieire. Acesta este
dezavantajul major al comparatorului simplu din fig.3.73; tensiunile ce se compar trebuie s fie foarte
Ue
Ue
Uemp
Uemp
pant Au
U1 (prag)
U2 (prag)
0
U1
Uemn
U2
pant Au
Uemn
Ui
Ui
Fig. 3.75. Caracteristicile de transfer pentru comparatorul simplu inversor (a) i neinversor (b)
U2
Ue
Rr>>R2
44
Uemp
Ue
Ue
t2
U2
0
U1
t1
Uemn
U2
U1
t1
U1med
t2
0,1mV
i n acest caz ntlnim comparator inversor i neinversor, dup intrarea la care este aplicat
tensiunea variabil.
a) Comparatorul inversor
Acest comparator se folosete atunci cnd se dorete bascularea ieirii de la nivel superior spre inferior,
dac tensiunea de intrare cresctoare depete tensiunea fix. Caracteristica de transfer a acestui comparator
este prezentat n fig.3.80.
Pentru explicarea funcionrii comparatorului se consider iniial c U1 < 0 i de valoare absolut mare
(punctul A de pe caracteristica de transfer), iar U2 > 0. Atunci U2 >> U1 i la ieire se obine nivelul Uemp. Pe
divizorul Rr R2 rezult la intrarea + o tensiune, notat cu U1' , care ndeplinete inegalitatea U1' > U2. Dac
A
Uemp
tensiunea U1 crete
Ue
Uemn
Uemp
U1
0
U2
Uemn
R2
R2+Rr
UH
R2
R2+Rr
U2 U1
R2
R2+Rr
U1
C
45
i atinge valoarea U1' (punctul B pe caracteristic) intervine bascularea comparatorului care are loc din cauza
situaiei tensiunilor existente direct la intrrile + i . Datorit reaciei pozitive realizat prin Rr , bascularea se
accelereaz pentru c diferena dintre tensiunile de la intrrile + i se mrete rapid prin scderea tensiunii
Ue ncepnd din punctul B. Astfel, trecerea la nivelul Uemn are loc pentru o variaie foarte mic a tensiunii U1 i
n caracteristica de transfer apare o ramur practic vertical.
Creterea n continuare a tensiunii variabile U1 conduce la atingerea unui punct C pe caracterisitic.
Acum, pe divizorul Rr R2 apare la intrarea + o tensi-une notat cu U1'' i de valoare U1'' < U2 (fig.3.80). Dac n
continuare U1 scade, bascularea spre nivelul logic superior ncepe la atingerea valorii U1'' - punctul D - i are loc
la fel de brusc ca i prima basculare, datorit accenturii diferenei tensiunilor de la intrri prin reacie pozitiv.
Nivelurile U1' i U1'' , la care apar basculrile se numesc pragurile comparatorului. Ele se pot calcula innd
cont de cele dou situaii ale tensiunilor pe divizorul Rr R2 (fig.3.81) la momentul nceperii basculrii.
Eroarea de comparare n acest caz este determinat n primul rnd de distanele dintre praguri i
tensiunea fix U2 i se consider cea mai mare dintre cele dou distane.
(dac acestea nu sunt egale ntre ele). Limea zonei de histerezis este stabilit de utilizator, ntruct ea
trebuie s depeasc amplitudinea vrf-la-vrf a zgomotelor nsumate ale tensiunilor ce compar, U1 i U2 ,
(fig.3.82). n acest fel nu mai apar vibraiile ieirii comparatorului. n concluzie, se adopt:
U H 1,2 U zg.v.v.
pentru a avea sigurana c la traversarea zonei de histerezis nici un vrf negativ al zgomotelor nsumate nu va
duce la coborrea tensiunii U1 dup momentul t1 pn sub pragul U1'' . Bascularea va fi ferm i are loc n
momentul t1 al atingerii pentru prima dat a pragului U1' dac UH este bine adoptat. Desigur, n prealabil se
va face tot posibilul ca zgomotele suprapuse peste cele dou tensiuni s fie ct mai reduse, spre a se putea
lucra cu UH mic.
46
Ue
Ue
Uemp
UH
U1
0
U2
U1
t1
U1
Uemn
0
U2
U1
t1
U1med
t
Fig. 3.82. Comportarea comparatorului cu histerezis de tip inversor
47
Anul II
48
Di
1
0
0
1
1
0
Figura 1;
74HC138
G1
G2A
G2B
A
B
C
Y0
Y1
Y2
Y3
Y4
Y5
Y6
Y7
1
1
1
0
1
1
1
1
Di
1
1
0
1
1
0
Figura 2;
74HC138
G1
G2A
G2B
A
B
C
Y0
Y1
Y2
Y3
Y4
Y5
Y6
Y7
1
1
1
1
1
1
1
1
Di 1
0
0
0
1
1
Figura 3;
74HC138
G1
G2A
G2B
A
B
C
Y0
Y1
Y2
Y3
Y4
Y5
Y6
Y7
1
1
1
1
1
1
0
1
Di 0
0
0
0
1
1
74HC138
G1
G2A
G2B
A
B
C
Y0
Y1
Y2
Y3
Y4
Y5
Y6
Y7
1
1
1
1
1
1
1
1
Figura 4.
Concluzie: Nu se fabric DMUX. Pe post de DMUX se poate folosi orice DCD care are o intrare de
validare. Dac aceasta este activ pe 0 se obine un DMUX neinversor iar dac este activ pe 1 se obine un
DMUX inversor.
49
2. Desenai reprezentarea simbolic a unui bistabil de tip D care comut pe frontul cresctor al impulsului de
tact, tabelului lui de funcionare i formele de und aferente
Unul dintre cele mai simple bistabile care se produce sub form integrat este bistabilul de tip D, activ
pe frontul cresctor al impulsului de tact aplicat la intrarea CK (figura 5).
Pe lng intrarea D, circuitul poate avea i dou intrri asincrone prioritare /S i /R. Funcionarea se
bazeaz pe tabelul 2 cu observaia c dac ambele intrri prioritare sunt inactive circuitul funcioneaz sincron
conform tabelului 1.
Tabelul 2
/S /R Q
/Q
0
Funcionare
tabelului 1
1
sincron
conform
Stare interzis
CK
t
t
Q
t
/Q
50
3. Desenai reprezentarea simbolic a unui bistabil de tip T care comut pe frontul descresctor al impulsului
de tact, tabelului lui de funcionare i formele de und aferente
Bistabilul T se obine numai din CBB JK-MS prin conectarea mpreun a intrrilor J i K (CBB JK-MS este
forat s funcioneze doar n situaiile J = K = 0 i J = K = 1).
Tabelul de funcionare:
Obs:
Dac T este permanent 1,
Qn1 Qn ,
Funcionare:
Se terge coninutul registrului punnd intrarea /CLR la 0 (cu toate c principial nu este necesar
iniializarea coninutului registrului, deoarece el se va suprascrie oricum dup n impulsuri de tact).
Considernd un registru SIPO de 8 bii, secvena de nscriere a informaiei este D7, D6 ,..., D0 fiind
necesare 8 impulsuri de tact pentru ca bitul D7 (cel mai semnificativ) s ajung la ieire pe poziia corect Q7.
n acest moment cuvntul este nscris n totalitate n registru i poate fi citit paralel.
Ritmul n care sunt adui biii la intrarea serial SIN trebuie s fie corelat cu secvena de aplicare a
impulsurilor de tact. Registrul comut pe frontul cresctor al tactului (chiar dac bistabilele comut pe frontul
descresctor). Secvena care se convertete este 10101101.
CK
Di7
Di6
Di5
Di4
Di3
Di2
Di1
Di0
SIN
51
Obs.: Fiecare ieire Qi poate fi folosit ca ieire serial (circuitul se poate folosi ca SISO1, ... SISO8).
Conversia paralel-serie necesit utilizarea unui registru PISO. Conversia se face n n tacte
corespunztoare celor n bii ai cuvntului binar.
Pentru nscrierea paralel a datelor Di7, ..., Di0 se pune intrarea SH//LD = 0 i se aplic un impuls de
tact (nscrierea propriu-zis se face pe frontul cresctor al semnalului de tact). Pentru citirea serial a datelor (a
cuvntului de n bii) se pune intrarea SH//LD = 1 i se aplic n-1 impulsuri de tact.
ntreaga operaie de conversie necesit n perioade de tact, prima fiind destinat pentru ncrcarea
paralel, iar restul pentru citirea serial.
5. Descriei, pe scurt, principalele de realizare a memoriilor temporare FIFO i LIFO
Memoriile temporare sunt organizate pe n cuvinte binare de cte b bii compuse din b registre de
deplasare seriale SISO de cte n bii fiecare.
Memoria FIFO (First In First Out) se realizeaz cu ajutorul unor registre SISO care permit deplasarea
ntr-un singur sens (spre dreapta).
nscrierea cuvintelor binare de b bii n memorie se face n paralel pe cele b intrri seriale prin aplicarea
a cte unui impuls de tact i deplasarea acestora spre dreapta.
Memorie este plin atunci cnd s-au nscris toate cele n cuvinte binare. Dup umplerea complet a
memoriei, primul cuvnt citit (paralel pe cele b ieiri seriale) este primul cuvnt nscris n memorie.
n procesul de citire, informaia se deplaseaz n continuare spre dreapta cu fiecare impuls de tact
aplicat. Prin citire, informaia se pierde!
Acest tip de memorie poate fi utilizat la gestionarea adreselor altor memorii pe durata ntreruperilor
unui sistem cu microprocesor.
52
Memoria temporar LIFO (Last In First Out) necesit registre SISO care pot deplasa informaia n
ambele sensuri (o intrare R / L - Right//Left - specific sensul deplasrii).
nscrierea cuvintelor se face ca la memoria FIFO, prin deplasarea spre dreapta a datelor ( R / L 1 ) iar
citirea se face prin deplasarea acestora spre stnga ( R / L 0 ).
Astfel ultimul cuvnt nscris va fi primul citit.
Memoria LIFO se utilizeaz ca memorie stiv n sistemele cu microprocesoare.
6. Desenai schema unui numrtor asincron binar, pe 4 bii, explicai funcionarea sa,
i trasai formele de und aferente
Un numrtor asincron binar, pe 4 bii, este format din 4 bistabile de tip T (provenite din JK-MS) cu T
permanent pe 1. Impulsurile de tact se aplic doar primului bistabil. Urmtoarele bistabile au ca semnal de
tact ieirea Q a bistabilului anterior (MR Master Reset este o denumire sinonim cu R - Reset sau CLR).
fCLK
fCLK/2
fCLK/4
fCLK/8
fCLK/16
53
Obs:
1). Numrtorul numr n sens cresctor (direct) adic cu fiecare impuls de CK aplicat, valoarea
numrtorului crete cu o unitate.
2). Numrtorul este modulo 16 (are 4 bistabile), al 16-lea impuls de tact ncheie ciclul, el aducnd
numrtorul pe zero. Cel de-al 17-lea tact global este primul impuls de tact din cel de-al doilea ciclu.
3). La un moment dat, codul binar obinut citind ieirile corespunde cu numrul de impulsuri de tact
aplicate n ciclul respectiv (citind ieirile dup 11 tacte rezult Q3Q2Q1Q0 = 1011 care corespunde cu numrul 11
codat binar). Aceasta este practic funcia de numrare.
4). Bistabilele funcioneaz ca divizoare de frecven cu 2. Ieirea Q0 divizeaz cu 2 frecvena tactului,
Q1 divizeaz cu 2 frecvena semnalului Q0 i cu 4 frecvena tactului, etc.
5). Pentru extinderea capacitii de numrare se pot conecta mai multe numrtoare n cascad prin
conectarea ieirii Q3 la intrarea de tact a urmtorului numrtor.
7. Desenai schema unui numrtor sincron binar, pe 4 bii, explicai funcionarea sa, i trasai formele de
und aferente
Numrtoare sincrone sunt numrtoare la care impulsul de tact se aplic simultan tuturor bistabilelor
(de tip T) permind, astfel funcionarea la frecvene de tact mult mai mari (tipic 35MHz).
n cadrul unui ciclu de numrare, la trecerea dintr-o stare n alta, unele bistabile trebuie s comute,
altele nu. nseamn c numrtoarele trebuie realizate cu bistabile de tip T care au intrarea T accesibil pentru
a permite ca, naintea aplicrii urmtorului impuls de tact, intrarea T a bistabilului ce trebuie s comute s fie
conectat la 1 iar intrarea T a bistabilului ce nu trebuie s comute s fie conectat la 0.
Apare, astfel, necesitatea utilizrii unor circuite logice pentru generarea valorilor T ce corespund celor n
bistabile folosite pentru ca funcionarea numrtorului s decurg n conformitate cu tabelul de funcionare
dorit.
Din tabel se deduc urmtoarele:
bistabilul
T0 1
Q0
Q0 1 deci T1 Q0 ;
Q
bistabilul Q2 basculeaz numai dac naintea aplicrii tactului 0
T Q0 Q1 Q1 T1 .
i Q1 sunt pe 1 adic: 2
54
bistabilul
deci
Q3
Q0 Q1 Q2
,
i
sunt pe 1
T3 Q0 Q1 Q2 Q2 T2 .
T2 T1 Q1
T3 T2 Q2 .
Dezavantaj:
Avantaj:
T2 Q0 Q1 i
T3 Q0 Q1 Q2
55
Se observ c tp este mai mic ceea ce conduce la o frecvena de tact mai ridicat. Din acest motiv
aceasta este varianta preferat la realizarea numrtoarelor sincrone integrate.
Semnalul Carry (semnalul de transport) se genereaz din semnalele Q0, Q1, Q2, i Q3.
fCLK/k
74HCT193
X2
CLR
LD
fCLK
Dn Bo
Up Cy
A
B
C
D
X1
Q0
Q1
Q2
Q3
56
Pentru obinerea unui divizor de frecven pe 12 bii sunt necesare un numrtor i un comparator pe
12 bii.
Schema prezentat este una care funcioneaz foarte bine n regim de simulare digital, dar nu n
realitate deoarece folosete circuite CMOS i TTL LS n acelai montaj. Pentru a rezolva acest neajuns, cel mai
bine este s se foloseasc variantele HC sau HCT ale circuitelor prezentate: 74HCT4040 i 74HCT85, caz n care
schema nu va mai prezenta nici un neajuns.
Varianta 3 combinat, cu posibilitatea numrrii n ambele sensuri.
Este cea mai versatil metod. Se bazeaz pe folosirea numrtoarelor 4029 la care intrarea de
ncrcare este comandat de o poart SAU-NU cu un numr de intrri egal cu numrul de circuite 4029
utilizate.
Circuitul ofer:
RAM statice (SRAM Static Random Access Memory) la care celula elementar de memorare este
un latch D realizat n tehnologie bipolar sau unipolar;
RAM dinamice (DRAM Dynamic Random Access Memory) - celula elementar este o capacitate;
sunt realizate numai n tehnologie unipolar NMOS sau CMOS.
Memoria SRAM pstreaz datele pentru o perioad de timp nelimitat, pn n momentul n care ea
este rescris. n schimb, memoria DRAM necesit rescrierea permanent, la cteva fraciuni de secund, altfel
informaiile fiind pierdute.
Avantajele memoriei SRAM: utilitatea crescut datorit modului de funcionare i viteza foarte mare
(raportul de timp de acces SRAM/DRAM = 8-16).
Dezavantajele memoriei SRAM: densitatea de integrare mai redus i preul mult mai mare dect al
memoriei DRAM (de obicei raportul de capacitate DRAM/SRAM = 4-8 iar raportul de cost SRAM/DRAM = 8-16).
Aplicaiile de baz ale memoriilor RAM se regsesc la PC-urile. Memoria SRAM este folosit cel mai
adesea ca memorie intermediar/cache, pe cnd DRAM-ul este utilizat ca memorie principal a oricrui sistem.
10. Explicai, pe scurt, funcionarea unei memorii DRAM
58
Citirea informaiei
Scrierea informaiei
60
61
UCP
MEMORIE
PERIFERICE
..
CALL S_1
Instr. 1
SUBRUTINA S_1
ADRESE INSTRUCIUNI
SUBRUTINA S_2
ADRESE INSTRUCIUNI
AS_1
AS_2
ADR2
ADR2+n
.
CALL S_2
Instr.2
..
RET
RET
SUBRUTIN DE NTRERUPERE
ADRESE
INSTRUCIUNI
ADRESE
INSTRUCIUNI
ADR1
.........
ADR1+n
.........
ntrerup. surs i
........
.........
RETI
Pentru un pin avnd funcia de intrare, informaia binar aplicat la pin sub forma unei
tensiuni de la o surs (0 logic-0V sau mas, 1 logic-tensiunea de alimentare pozitiv
+Vcc) este transmis n microcontroler, regsindu-se n valoarea unui bit (0 sau 1 logic).
Rezumnd, cele dou operaii pot fi prezentate sintetic astfel:
Ieire: bit (scris prin program)tensiune la pin (msurat cu un voltmetru).
Intrare: tensiune la pin (aplicat de la o surs)bit (citit prin program).
Microcontroler
bit 0/1
+VCC
Microcontroler
pin
bit 0/1
pin
ieire
intrare
Fig. 1.6 Funciile de ieire i, respectiv, de intrare ale unui pin.
6. Care este rolul magistralei de adrese (MA) ntr-un sistem de prelucrare numeric cu
procesor? Dac MA are 16 linii, care este dimensiunea spaiului memorie adresat?
Determinai intervalul de adresare corespunztor unei capaciti de memorie de 8 Koctei
care ncepe la adresa 2500h.
[2], slide nr. 7, 8.
Magistrala de adrese selecteaz locaia de memorie care urmeaz s fie scris sau
citit. Pentru o magistral de adrese de 16 bii, dimensiunea spaiului de memorie adresat
este de 2161B= 26210B=64 KB.
Intervale de adresare
Capacitate de memorie
0000h00FFh
256 octei
0000h00FFh
256 octei
0000h03FFh
1024 octei =1 koctet
0000h0FFFh
4 koctei
0000h3FFFh
16 koctei
Din tabel se deduce c la 8 koctei corespunde intervalul 0000-1FFFh. Pentru obinerea
intevalului cerut, se translateaz capetele intervalului 0000-1FFFh cu 2500h, adic se
obine intervalul 2500h-44FFh.
Cst
= Cst T0 ,
f0
(1.1)
unde Cst este constanta de temporizare, iar f0=1/T0 reprezint frecvena impulsurilor de
numrat.
Pe baza relaiei (1.1) rezult cele dou posibiliti de modificare a perioadei de
temporizare: modificarea constantei Cst sau modificarea frecvenei f0. A doua variant se
realizeaz de obicei prin divizarea semnalului furnizat de generatorul de tact.
Exist temporizatoare de 8 bii, 16 bii, 24 de bii, etc. n funcie de numrul de
bii al temporizatorului rezult valoarea maxim a constantei Cst.
n practic, utilizarea unui temporizator implic s se fac o aciune de fiecare
dat cnd perioada de temporizare s-a ncheiat (modificarea strii unui led, citirea strii
unui pin programat ca intrare, declanarea unei conversii analog-numerice, etc.). Pentru
aceasta este necesar testarea continu a bitului indicator (flag) i executarea aciunii
respective n momentul cnd bitul devine 1. Bitul respectiv trebuie imediat ters (trecut
pe 0) pentru ca ulterior s se poat detecta noua trecere pe 1.
IDx
Timer Block
Timer Clock
MCx
15
TACLK
00
ACLK
01
SMCLK
10
INCLK
11
0
16bit Timer
TAR
Divider
1/2/4/8
Clear
Count
Mode
RC
EQU0
Set TAIFG
TACLR
CCR0
CCR1
CCR2
CCISx
CMx
COV
logic
SCS
CCI2A
00
CCI2B
01
GND
10
VCC
11
Capture
Mode
Timer Clock
15
0
Sync
TACCR2
Comparator 2
CCI
EQU2
SCCI
A
EN
CAP
0
1
Set TACCR2
CCIFG
OUT
EQU0
Output
Unit2
D Set Q
Timer Clock
OUT2 Signal
Reset
POR
OUTMODx
REFON
INCHx=0Ah
REF2_5V
Ve REF+
on
1.5 V or 2.5 V
Reference
VREF+
VREF-- / Ve REF-AVCC
INCHx
AVSS
4
A0
A1
A2
A3
A4
A5
A6
A7
A12
A13
A14
A15
0000
0001
0010
0011
0100
0101
0110
0111
1000
1001
1010
1011
1100
1101
1110
1111
SREF2
Ref_x
SREF1
SREF0
11 10 01 00
AVCC
ADC12OSC
ADC12SSELx
ADC12ON
ADC12DIVx
VR--
Sample
and
Hold
00
VR+
Divider
/1 .. /8
12--bit SAR
S/H
Convert
ADC12CLK
01
ACLK
10
MCLK
11
SMCLK
BUSY
SHP
ENC
4
Sample Timer
/4 .. /1024
1
SAMPCON
AVCC
SHSx
I SSH
SHT0x
SHI
0
1
Sync
4
SHT1x
00
ADC12SC
01
TA1
10
TB0
11
TB1
MSC
INCHx=0Bh
Ref_x
R
CSTARTADDx
CONSEQx
AVSS
ADC12MEM0
ADC12MCTL0
-16 x 12
Memory
Buffer
--
-16 x 8
Memory
Control
--
ADC12MEM15
ADC12MCTL15
U in
,
U ref
(1.4)
unde Uin reprezint tensiunea de intrare iar Uref reprezint o tensiune de referin, care
impune i intervalul n care Uin poate lua valori, adic [0, Uref). Numrul de bii n are
valori de tipul 8, 10, 12 sau chiar 16 i se mai numete rezoluie.
Cuanta convertorului (numit i 1 LSB) este reprezentat prin expresia
q=
U ref
2n
(1.5)
CIRCUITE I SISTEME
ANUL II
82
Prin eantionarea ideal a semnalului x(t ) = Acos0t cu pasul Te se obine semnalul n timp discret
x[n] = Acos 0 n cu 0 = 0Te . Pentru diferite alegeri ale pasului de eantionare ar trebui s se obin semnale n
timp discret diferite. Exist ns alegeri diferite ale pasului de eantionare care conduc la acelai semnal n timp
discret. De exemplu pentru Te1 = / 40 se obine semnalul n timp discret x1[n] = Acos n iar pentru
4
Te 2 = 7 / 40 se obine semnalul x2 [n] = Acos
7
n . Dar, datorit periodicitii funciei cosinus cu perioada 2,
4
Analiznd figura se constat c cele dou semnale n timp discret sunt identice.
n consecin, exist alegeri diferite ale pasului de eantionare, care pot conduce la semnale n timp discret
identice, producnd confuzie. Exemple similare pot fi observate i n figura de mai jos.
83
e j0 t + 1/ e j0 t
.
2
Cele dou exponeniale complexe din membrul drept sunt semnale complexe care se mai numesc i fazori. Ele
pot fi reprezentate ca i vectori rotitori n planul complex. Aceti vectori au module unitare i se rotesc cu
viteze unghiulare 0 i respectiv -0. Ei sunt reprezentai n figura de mai jos.
84
Dar 0 reprezint i pulsaia (sau frecvena) semnalului cos 0t . De aceea se mai spune c frecvena
fazorului e-j0t este negativ. Acest concept nu are un suport fizic, dar este util pentru simplificarea
calculelor. Construcia semnalului cos 0t cu ajutorul celor doi fazori este prezentat n figura
urmtoare.
Fie H un spaiu Hilbert i Hs un subspaiu Hilbert nchis al acestuia. Oricare ar fi vectorul x din H exist
un vector ~x din Hs care reprezint cea mai bun aproximare a lui x cu elemente din Hs care are
proprietile:
-
distana de la x la ~x este cea mai mic distan de la x la orice element din Hs;
eroarea comis, e=x- ~x , este ortogonal pe subspaiul Hs.
Dac dimensiunea spaiului Hilbert H este 3 i dac dimensiunea spaiului Hilbert Hs este 2, atunci
teorema proieciei se particularizeaz la teorema celor trei perpendiculare aa dup cum se vede n
figura de mai jos.
85
Cea mai bun aproximare a vectorului OA=x cu elemente din planul generat de versorii u2 i u3 este
dat de vectorul OB= ~x , proiecia vectorului OA pe acest plan (Hs).
n acest caz, eroarea de aproximare este dat de vectorul BA=e, care este ntr-adevr ortogonal pe Hs
(aa dup cum afirm cea de a doua consecin a teoremei proieciei).
Dac se consider c spaiul Hilbert H are dimensiunea n fiind generat de baza {u1 , u 2 ,..., un } i c
spaiul Hilbert Hs este generat de baza {u1 , u 2 ,..., um } cu m<n atunci cea mai bun aproximare a lui
n
x=
x,uk
k =1
x, uk
uk obinut prin
k =1
4. Fenomenul Gibbs
n anul 1898, Albert Michelson, fizician experimental, a construit primul analizor de spectru. El a dorit
s fac analiza spectral a semnalului periodic din figura de mai jos.
86
Nenelegnd motivul pentru care s-a obinut prin reconstrucie o form de und diferit de cea
analizat, Michelson i-a cerut ajutorul lui Gibbs. Acesta i-a oferit explicaia urmtoare.
Descompunerea n serie Fourier trigonometric a semnalului din prima figur este:
y (t ) =
4 sin 30t
4
sin 0t +
+ ...
3
sau, exprimnd n form compact suma de cosinusuri i aproximnd cu ajutorul funciei sinus
integral Si:
2
~
y (t ) Si (2n0t )
al crei grafic este tocmai curba neagr din ultima figur. Oscuilaiile sale au condus la denumirea de
fenomen Gibbs, specific tuturor reconstruciilor prin trunchiere.
5. Rspunsul sistemelor liniare i invariante n timp continuu la semnale periodice. Metoda armonic
Exponeniala complex de modul unitar este funcie proprie pentru orice sistem liniar i invariant n
timp, aa cum este ilustrat n figura urmtoare.
87
y (t ) =
ck H (k0 )e jk0t .
k
A
Pentru ck = 0 pentru k = 1 i ck=0 n rest, se obine metoda armonic, prezentat n figura
2
urmtoare.
88
Aceast teorem permite calculul transformatelor Fourier ale unor noi semnale pe baza unor
transformate Fourier deja cunoscute.
Fcnd schimbrile de variabile i de constante de forma
t i t se obine schema de calcul a unor noi transformate Fourier din figura de mai jos.
Este bine cunoscut faptul c semnalele de durat limitat sunt de band nelimitat i c semnalele de
band limitat sunt de durat nelimitat. Totui este necesar localizarea semnalelor n domeniile
timp i frecven. Pentru localizarea n timp se folosesc dou mrimi: timpul central, tc i dispersia
semnalului n jurul timpului central, t2 , definite dup cum urmeaz:
t x(t
tc = -
)2
(t - tc )2 x(t ) 2 dt
dt
x(t ) 2 dt
, t2 = -
x(t )
dt
2 , definite n
frecvena central, c i dispersia spectrului semnalului n jurul frecvenei centrale,
ecuaiile urmtoare:
X () 2 d
c = -
X () 2 d
( - c )2 X () 2 d
2
= -
,
X ()
1
2
N -1
x[n]e
- jk
2
n
N
n=0
2
n , care este periodic de perioad N. El poate fi descompus n serie
N
1 j N n 1 -j N n
x[n] =
e
e
,
2j
2j
x[n] =
1 j N n 1 j N ( N -1)n
e
e
.
2j
2j
Prin identificare cu formula de descompunere n serie Fourier a semnalelor periodice n timp discret:
x[n] =
N -1
ck e
jk
2
n
N
k =0
Rxy [k ] =
x*[n]y[n + k ] .
n = -
Spectrul su se numete densitate interspectral de energie a celor dou semnale i poate fi calculat
cu relaia:
S XY () = X * ()Y () .
n cazul n care cele dou semnale sunt identice, x=y, vorbim despre autocorelaie i despre densitate
spectral de putere. n continuare se prezint cteva proprieti ale funciei de autocorelaie.
Proprietatea 1. Teorema Wiener-Hincin
Autocorelaia unui semnal este pereche Fourier cu densitatea sa spectral de putere:
Rx [k ] X () 2 = S x () .
Proprietatea 2. Funcia de autocorelaie are un maxim n origine. Aceast valoare este egal cu
energia semnalului.
Wx = Rx [0] =
1
X () 2 d .
2 2
92
Analiznd ultima figur se constat c s-a obinut o funcie par cu maximul n origine de valoare
egal cu energia semnalului din figura anterioar.
10. Relaia ntre densitile spectrale de putere i de energie ale semnalelor ce trec prin sisteme
discrete, liniare i invariante n timp
Sistemul cu rspunsul la impuls h[n] rspunde la semnalul de intrare x[n] cu semnalul y[n]. Legtura
dintre aceste semnale este dat de ecuaia y[n] = x[n] h[n] . Lund n ambii membri transformata
Fourier n timp discret, se obine:
Y () = X ()H () sau Y () 2 = X () 2 H () 2 adic S y () = H () 2 S x () . Dac semnalul x[n] este
de energie finit, atunci funciile S x () i S y () reprezint densiti spectrale de energie iar dac
semnalul x[n] este de putere medie finit atunci funciile S x () i S y () reprezint densiti
spectrale de putere.
Dac semnalul de intrare n sistem este un zgomot alb atunci S x () = N 0 , o valoare constant, iar
densitatea spectral de putere a semnalului de la ieire devine egal cu ptratul modulului
rspunsului n frecven al sistemului. n consecin, cu ajutorul unui zgomot alb, se poate identifica
ptratul modulului rspunsului n frecven al unui sistem liniar i invariant n timp discret. Aceasta
este o metod de identificare a rspunsului n frecven al unui sistem liniar i invariant n timp
discret echivalent cu metoda armonic.
93
Zona Tematica 5
94
11. Se consider circuitul amplificator din figur de mai jos, pentru care se cunosc
parametrii TEC-J: gm = 5mA/V, rds = , Cgd = 5pF, Cgs = 10pF, Cds = 10pF.
S se determine frecvena de trecere la nalte:
a) Folosind teorema lui Miller;
b) Folosind metoda constantelor de gol.
VA+
R3
2K
C3
16F
Rg
C1
J1
RL
10K
0.16F
2K
vg
R1
1M
R2
C2
1K
160F
Cgd
Rg
Cds
vg
R1
Cgs
Ugs
gmUgs
95
R3
RL
Uo
Fig. 9. Schema echivalent la frecvene nalte i semnal mic pentru circuitul din fig. 8.
a) Folosind teorema lui Miller se elimin capacitatea Cgd rezultnd schema echivalent din fig. 10.
Rg
Ugs
vg
R1
Co
Ci
R3
RL
gmUgs
Uo
Uo
i Uo = - gmUgs (R3||RL), U i U gs K = AU0= - gmsR3||RL= -5
Ui
(21)
1
= 6 pF
K
(22)
Ci = Cgs||CiM = Cgs+ CiM = 40 pF, Co = Cds||CoM = Cds+ CoM = 16 pF
(23)
Frecvenele introduse de aceste capaciti sunt:
f P1
1
, RP1 Rg R1 Rg 10 K f P1 400 KHz
2 C i R P1
f P2
1
, RP 2 R3 RL 1K f P 2 10 MHz .
2 C o RP 2
(24)
(25)
Funcia de transfer la nalt frecven va fi atunci:
AU ( j ) 5
1
(1 j
f
f
) (1 j
)
6
0.4 10
10 10 6
(26)
Relaia de mai sus este aproximativ deoarece condensatorul Cgd introduce i o frecven de zero.
Frecvena de trecere la nalte se poate apoxima prin fP1 = 400KHz sau se poate calcula pe baza definiiei:
96
AU ( j )
ff
1
AU 0 f = 393,7KHz
2
(27)
b) Metoda se aplic, relativ la schema echivalent la frecvene nalte, prezentat fig. 9. Se analizeaz pe rnd
efectul fiecrei capaciti:
b1) Analiza efectului capacitii Cgs.
Schema echivalent obinut prin aplicarea metodei constantelor de gol este cea din fig. 11.
Ugs
Rg
R1
gmUgs
R3
Cgs
RL
f P1
1
, RP1 R1 R g R g 10 K f P1 1,6 MHz
2 C gs RP1
(28)
b2) Analiza efectului capacitii Cgd.
Schema echivalent obinut prin aplicarea metodei constantelor de gol este prezentat n fig. 12.
U
Cgd
I1
Rg
R1
R3
Ugs
gmUgs
f P2
1
U
, RP 2
2 C gd RP 2
I
(29)
Aplicnd teoremele lui Kirchhoff se pot scrie urmtoarele relaii:
97
RL
i Rg R1 ugs 0 ugs i Rg R1
(30)
I 1 g m u gs I I (1 g m Rg R1 )
(31)
U I Rg R1 I 1 R3 RL 0 U I Rg R1 I (1 g m Rg R1 ) R3 RL
(32)
RP 2
U
Rg R1 (1 g m Rg R1 ) R3 RL = 61K. f P 2 524,6 KHz
I
(33)
b3) Analiza efectului capacitii Cds
Schema echivalent obinut prin aplicarea metodei constantelor de gol este cea din fig. 13.
f P3
1
, RP 3 R3 RL 1K f P 3 16 MHz
2 C ds RP 3
(34)
gmUgs
Rg
Ugs
Cds
R1
R3
RL
1
1
1
1
f 385,2KHz.
fi
f i1 f i 2 f i 3
12. Amplificatorul A, din figura de mai jos este considerat dup o schem de cuadripol
avnd Ri = 1K, amplificarea de tensiune Au = 100 i Ro = 0,1K i variaia relativ a
amplificrii de tensiune este
mrimile: AUgr
Au
20% . S se calculeze la frecvene medii
Au
AUgr
uo *
, Rir , Ror ,
.
vg
AUgr
98
R*ir
R1
1K
vg
Ror
A
RL
Uor
R2
R'ir
10K
10K
Rezolvare:
Se identific cuadripolul de reacie pe baza faptului c acesta aduce o fraciune din tensiunea de
la ieirea amplificatorului napoi la intrare. Acest lucru este realizat de R2.
R2
Ir
Uo
Ur
VCC
VCC
RiA
RoA
Ro
Ii
R1
R2
Ri
R2
Ui
AuUi
99
RL
Uo
Fig. 3. Schema echivalent, cu influena cuadripolului de reacie inclus, pentru circuitul din fig. 1.
RiA R1 R2 Ri 0,47 K
RoA R2 Ro 100
ZT
Uo
Ii
Ir
Uo
AuU i
U r 0
RL R2
Ro RL R2
RL R2
Au
46,7 K
Ui
Ro RL R2
R1 R2 Ri
Ir
1
1
0,1K
I r R2
R2
Z Tr
RiA
ZT
RoA
8,24k , Rir
84 , Ror
17,6
1 Z T
1 Z t
1 Z T
Rir* R1 Rir' ;
Rir R1 Rir' Rir' Rir* R1 Rir' R1
AUgr
AUgr
AUgr
Uo I g
1
Z tr
8,24
I g vg
R1
A
1
U 3,5%
1 Z T AU
100
Ue
. b) Valorile lui Aur
U1
pentru poziiile extreme i la mijloc ale cursorului, apoi valoarea minim a lui n. c) Condiia de erori
minime pentru rezistene.
Soluie
a) Tensiunea de iesire a amplificatorului cu ntroducerea unei divizri d la poteniometrul R1
este:
Ue U1
Rr
R
dU11 r
Rr
R ech
n
1 n n1 R R r
ech
2n1
R ech R r R r
in care
Cu aceasta:
Ue nU1dU112n 1n2d1U1
Aur
Ue
n2d1
U1
R
R R
U e 1 U1 U 2 1 r r U 3 r U 4
2
R2
R ech R1
102
cu
Rech=R1R2R/
Avnd Rr=20k, rezult prin identificarea n cele dou expresii a coeficienilor tensiunilor cu semn
minus:
Rr
Rr
0,25 deci R1 20 80k i
0,75 deci R1 20 26,6k
R1
R2
0,25
0,75
Rezistena R3 , care nu apare implicit n expresia tensiunii de ieire, se calculeaz din condiia
de erori minime:
R3R3= RechRr sau 0,5R3=10k20k sau 0,5R3=6,66k ori R3=13,32k .
Toate rezistenele (inclusiv cele dou R3 care trebuie s fie perfect egale pentru precizia
nsumrii !) trebuie s fie de precizie mare deci trebuie hasurate pe schema dat.
103
Numrul de bistabile necesare n este: 2n-1<51<2n. Relaia este ndeplinit pentru n=6
(32<51<64).
Funcionarea numrtorului cu p=51 implic resetarea sa dup aplicarea celui de al 51-lea
impuls de tact. Acest lucru este posibil prin identificarea strii 51 cu ajutorul unui circuit (o poart
I/I-NU) i tergerea numrtorului prin activarea liniei /CLR.
Q5
Q4
Q3
Q2
Q1
Q0
50
51 (0)
1 0
1 0
1 0
1 0
Determinarea acestei stri se face cu o poart I-NU cu 4 intrri conectate la ieirile Q5, Q4,
Q1, Q0 care sunt simultan pe 1 doar cnd apare stare 51. n acel moment se activeaz intrarea /CLR
(ieirea porii I-NU este 0 doar n aceast stare) care terge numrtorul transformnd starea 51
n starea 0. n acest fel numrul strilor distincte ale numrtorului este redus la 51.
104
2. Folosind memorii SRAM 6264 (de tip 8k x 8 bii) i un numr minim de circuite logice, s se
obin o memorie de 32k x 8 bii.
32 k x 8biti
4
8 k x 8biti
.
3
10
13
b). Memoria de 8k are 2 2 2 locaii de memorie care pot fi accesate utiliznd 13 linii de
adres (A0, , A12).
5
10
15
Memoria de 32k are 2 2 2 locaii de memorie, adic 15 linii de adres.
Adresele suplimentare, A14 i A13, decodificate cu ajutorul unui decodificator 2/4 (figura 6), se
folosesc pentru validarea celor patru memorii conform tabelului 3.
Condiia de validare
Memoria
validat
CE0
105
CE1
CE 2
CE 3
X.. X
X.. X
X.. X
X.. X
6264
A0A12
13
1
A0
..
CS0
OE0
WE0
/OE
/WE
D0
D0D7
8
..
6264
13
A0
..
CS1
OE1
WE1
74HCT139
CS
A13
A14
G Y0
Y1
A Y2
B Y3
D0
..
6264
13
A0
..
CS2
OE2
WE2
D0
..
6264
13
A0
..
CS3
OE3
WE3
D0
..
106
SEMNALE SI SISTEME
aceea, produsul celor dou spectre este identic nul. Aplicnd acestui produs transformata Fourier
invers, rezult c x1 (t ) * x 2 (t ) 0 . Dac se calculeaz i transformatele Fourier inverse ale funciilor
X 1 () i X 2 () se obin expresiile analitice ale celor dou semnale i se constat c nici unul dintre
acestea nu este identic nul.
R1
1+ j
1
; 1 =
1
R1C
Prin urmare:
R R1
1
R + R1
2
R1
; RP =
.
; 2 =
=
H1 ( ) =1+
R + R1
R
R (1+ jR1 C)
RP C
1+ j
1
1+ j
107
1 + j ( / 2 )
1 + j ( / 1 )
1+ j
10 2
100 2
.
; H 3 ( ) = A
H 2 ( ) = A
1+ j
1+ j
10 1
100 1
1+ j
) ( 1+ j
) ( 1+ j
)
10 2
100 2
2
3
H () = A
.
(1 + j
)( 1+ j
)( 1 + j
)( 1+ j
)
10 1
100 1
1000 1
1
(1 + j
2
2
2
10lg 1
10lg 1
10lg 1
102
1001
10001
108
109
14
13
12
11
10
Unused
8
TASSELx
rw(0)
rw(0)
rw(0)
rw(0)
rw(0)
rw(0)
rw(0)
rw(0)
Unused
TACLR
TAIE
TAIFG
rw(0)
w(0)
rw(0)
rw(0)
IDx
rw(0)
MCx
rw(0)
rw(0)
rw(0)
Biii TASSELx (biii 9-8) selecteaz semnalul de tact al numrtorului de 16 bii astfel:
0 0, TACLK (semnal extern, aplicat la un pin dedicat)
0 1, ACLK
1 0, SMCLK
1 1, INCLK (TACLK inversat)
Biii IDx (biii 7-6) selecteaz factorul de divizare al semnalului de tact al numrtorului de 16
bii astfel:
0 0, divizare cu 1
0 1, divizare cu 2
1 0, divizare cu 4
1 1, divizare cu 8
Biii MCx (biii 5-4) selecteaz modul de lucru al numrtorului de 16 bii astfel:
0 0 stop, numrtorul nu funcioneaz
0 1 modul up
1 0 modul continuous
1 1 modul up-down
Bitul TAIFG (bitul 0), devine 1 la depirea sau anularea registrului numrtor TAR
Se prezint o parte din instruciunile MSP430x, din care trebuie alese cele necesare n
elaborarea programului:
Mnemonic
Operaie
Bii de stare
V
src dst
src SI dst
/Z
/Z
Rezolvare:
Se cunoate T =
(TACCR0) + 1
f CLK
Se obine TACCR0+1=T fCLK= fCLK /f=1 MHz/10 Hz=100 000. Aceast valoare
depete numrul maxim de 16 bii (65535) care poate fi nscris n registrul TACCR0. Ca
urmare, trebuie realizat o divizare a frecvenei semnalului SMCLK, cu 2, de exemplu.
Astfel: TACCR0+1=TfCLK=fCLK/f =500 kHz/10 Hz=50 000, sau TACCR0=49999.
Coninutul registrului TACTL:
10 0101 0000 = 250h
SMCLK;
Divizare cu 2;
modul up
Programul este prezentat n continuare
MOV.B
MOV.B
MOV.W
MOV.W
e2:
e1:
14
13
12
11
10
Unused
8
TASSELx
rw(0)
rw(0)
rw(0)
rw(0)
rw(0)
rw(0)
rw(0)
rw(0)
Unused
TACLR
TAIE
TAIFG
rw(0)
w(0)
rw(0)
rw(0)
IDx
rw(0)
MCx
rw(0)
rw(0)
rw(0)
Biii TASSELx (biii 9-8) selecteaz semnalul de tact al numrtorului de 16 bii astfel:
0 0, TACLK (semnal extern, aplicat la un pin dedicat)
0 1, ACLK
1 0, SMCLK
1 1, INCLK (TACLK inversat)
Biii IDx (biii 7-6) selecteaz factorul de divizare al semnalului de tact al numrtorului de 16
bii astfel:
0 0, divizare cu 1
0 1, divizare cu 2
1 0, divizare cu 4
1 1, divizare cu 8
Biii MCx (biii 5-4) selecteaz modul de lucru al numrtorului de 16 bii astfel:
0 0 stop, numrtorul nu funcioneaz
0 1 modul up
1 0 modul continuous
1 1 modul up-down
set-reset
reset-set
Toate instruciunile necesare n program sunt de forma MOV.W (.B) src, dst
[1], pag. 101-102.
Rezolvare:
Se tie c perioada semnalului generat este T=(TACCR0+1)/fCLK.
Se obine TACCR0+1=T fCLK=50 s 1 MHz=50, adic TACCR0=49; rezult c nu
este necesar o divizare a semnalului de tact.
Se
tie
c
factorul
de
umplere
al
semnalului
generat
este:
fu=(TACCR1+1)/(TACCR0+1).
Se obine TACCR0+1= fu (TACCR0+1)=0,2 50=10, adic TACCR1=9
Coninutul registrului TACTL:
10 0001 0000 = 210h
SMCLK;
Divizare cu 1;
modul up
Coninutul registrului TACCTL1:
1110 0000 = E0h
reset-set;
e1:
TACCR0
TACCR1
TAR
Bibliografie:
[1] S.Mischie, C. Dughir, G. Vasiu, R.Pazsitka, Microcontrolere MSP430. Teorie i Aplicaii,
Editura Politehnica 2012
[2] L1.pdf, n https://intranet.etc.upt.ro/~SPNP_B/Laborator
112
Bibliografie:
Traian Jurca, Dan Stoiciu, Septimiu Mischie Aparate electronice de masurat, Editura Orizonturi
Universitare Timisoara 2001
1. Osciloscop de uz general (schema bloc, mod de functionare)
paragraf 1.2.1.
1.2.1. Schema bloc. Funcionarea osciloscopului
uX
2
Baza de
timp
TIMP/DIV
Bloc de
alimentare
Circuit de
sincronizar
e
NIVEL
Calibrator
intern
IEIRE CALIBRATOR
REEA
K2
INT
GND
EXT
SINCRONIZARE EXT
uY
CA
CC
K1
Atenuator
uBT
113
1 K3
ETALONARE X POZIIE X
Amplificator
X
ux
y2
x1
x2
y1
uy
Amplificato
rY
VOLI/DIV
ETALONARE Y
POZIIE Y
Tub catodic
Osciloscopul analogic de uz general este destinat analizei semnalelor periodice. El este un osciloscop
n timp real, adic pe ecranul su se obine o reprezentare direct a semnalului de vizualizat, existnd o
coresponden biunivoc ntre punctele imaginii i punctele de pe curba semnalului. (Dup cum se va vedea
mai jos, aceast coresponden lipsete la osciloscoapele cu eantionare).
Schema bloc a osciloscopului este prezentat n fig. 1.1.
Piesa principal a osciloscopului este tubul catodic. Pentru obinerea unei imagini luminoase, ecranul
luminiscent al acestuia este bombardat cu un fascicul de electroni. n locul de impact apare un punct luminos,
denumit spot. Spotul poate fi deplasat pe ecran cu ajutorul a dou sisteme de deflexie: vertical (Y) i
orizontal (X). Deflexia poate fi electrostatic (cu plci de deflexie) sau electromagnetic (cu bobine
de
deflexie). Datorit avantajelor pe care le ofer n ce privete viteza de rspuns, la osciloscoape se folosete cu
precdere deflexia electrostatic, motiv pentru care n continuare numai aceasta va fi prezentat.
La tuburile catodice cu deflexie electrostatic, sistemele de deflexie sunt alctuite din dou perechi
de plci de deflexie, notate Y (pentru deflexia vertical) i, respectiv, X (pentru deflexia orizontal). Acestor
perechi de plci li se aplic tensiunile uy i ux, iar deplasarea spotului pe fiecare direcie este practic
proporional cu aceste tensiuni.
Pentru vizualizarea depedenei unei tensiuni de o alt tensiune, plcilor X li se aplic tensiunea n
funcie de care se dorete reprezentarea tensiunii aplicate plcilor Y (K3 n poziia 2).
Pentru vizualizarea formei de variaie n timp a unei tensiuni, aceasta se aplic la plcile Y, iar la
plcile X se aplic o tensiune liniar variabil (K3 n poziia 1). Necesitatea unei tensiuni liniar variabile
rezult din aceea c deplasarea pe orizontal a spotului, proporional cu ux, trebuie s fie proporional cu
timpul i, ca urmare, ux trebuie s fie proporional cu timpul.
Obinerea unei imagini stabile (staionare) se bazeaz pe suprapunerea pe ecran a mai multor imagini
identice, un rol esenial n acest sens revenindu-i circuitului de sincronizare, descris n paragraful 1.2.5.
Tensiunea uY este atenuat sau amplificat pentru a asigura nivelul necesar pentru comanda plcilor
Y. Comutatorul V/DIV permite modificarea dimensiunii verticale a imaginii (modificarea sensibilitii
osciloscopului).
Comutatorul K1 permite conectarea tensiunii uY la intrarea ATY fie direct (K1 n poziia CC), fie
prin condensator (K1 n poziia CA), caz n care componenta continu a tensiunii uY este suprimat. n
aceast situaie se poate vizualiza corespunztor componenta alternativ a unei tensiuni cu component
continu mare (de exemplu, o tensiune redresat i filtrat). n poziia GND (GrouND) a lui K1, intrarea
ATY este conectat la mas, ceea ce permite reglarea poziiei verticale a nivelului zero, prin deplasarea
corespunztoare a imaginii, cu ajutorul poteniometrului POZIIE Y .
Comutatorul K2 permite alegerea modului de sincro-nizare: cu semnalul de vizualizat, cu un semnal
extern sau cu reeaua. Utilitatea fiecrui mod de sincronizare, precum i rolul poteniometrului NIVEL vor fi
prezentate n paragraful 1.2.5.
Comutatorul TIMP/DIV permite vizualizarea cores-punztoare a semnalelor, indiferent de frecvena
acestora, prin modificarea coeficientului de baleiaj pe orizontal.
Calibratorul intern furnizeaz una sau mai multe tensiuni dreptunghiulare avnd frecvena i
valoarea vrf la vrf cunoscute cu o precizie acceptabil, necesare pentru etalonarea celor dou axe ale
ecranului tubului catodic. Aceasta se realizeaz cu ajutorul poteniometrelor ETALONARE Y i, respectiv,
ETALONARE X.
Observaie. Regimul calibrat este singurul pentru care sunt valabili coeficienii de deflexie
inscripionai pe panoul frontal (comutatoarele V/DIV i, respectiv, TIMP/DIV) .
Blocul de alimentare asigur alimentarea tuturor circuitelor osciloscopului, precum i polarizarea
adecvat a electrozilor tubului catodic.
114
OSCILOSCOP
Cap de prob
Cablu coaxial
Y
Rin
uY
Cin
Born de mas
Cc
OSCILOSCOP
Cablu coaxial
R
uY
Rin
Ccc
Cin
1
2 10 135 10 12
7
120 ,
115
mult mai mic dect Ri , astfel nct modulul impedanei de intrare a osciloscopului la aceast frecven este
practic de 120 .
n cazul sondei cu atenuator, n capul de prob, pe baza fig. 1.5 i a relaiilor (1.1) i (1.2) i innd
cont i de atenuarea de 10 ori a sondei, se poate scrie:
R 9 Rin 9 M ,
Cc
1
135
(Ccc Cin )
15 pF ,
9
9
Ri R Rin 10 M ,
Ci
Cc (Ccc Cin )
13,5 pF .
Cc Ccc Cin
La frecvena de 10 MHz, reactana capacitiv a lui Ci este de 10 ori mai mare n situaia sondei cu
atenuator (1200 ).
Din cele de mai sus se observ c, n cazul sondei cu atenuator, componentele impedanei de intrare
sunt mbuntite - fa de cazul sondei fr atenuator - cu un factor de 10, egal cu raportul de atenuare al
sondei.
ui 1
R
0
T+t
3
5 R
R
5
T+t
T+t
T+t
R
3
t
y
5
0
6
x
iar perioada aparent de eantionare este de 0,01T. Ca urmare, folosind aceast tehnic, banda de frecvene a
osciloscopului poate crete foarte mult, avnd n vedere faptul c frecvena aparent de eantionare este de
100 de ori mai mare dect frecvena real de eantionare.
4. Generator sinusoidal RC de joasa frecventa (schema, relatia pentru frecventa de oscilatie, rolul
reactiei negative)
paragraf 2.2.1. pag.43,
DOMEN
c) Generatoare RC. Oscilatorul RC intr n componena celor mai multe generatoare de joas frecven. n
schema de principiu prezentat n figura 2.4 se observ c amplificatorul A este prevzut cu dou reacii: una
negativ realizat cu termistorul RT si rezistena R i una pozitiv realizat cu impedana Z1 (format din
rezistena R1 n serie cu capacitatea C1) i impedana Z2 (format din rezistena R2 n paralel cu capacitatea
C2).
R1
R1
R 1
RT
FRECVENA
C1
R 2
R 2
R2
C2
Rad
Uie
Circuitul din figur va genera oscilaii sinusoidale dac satisface condiia lui Barkhausen:
_
A B =1
(2.9)
A B exp [ j ( + )] = 1
(2.10)
sau
Unde:
_
= A exp ( j ) este factorul de ctig al amplificatorului A, iar B = B exp ( j ) este factorul de reacie,
ambele exprimate sub form de numere complexe.
Relaia 2.10 poate fi desfcut n dou condiii:
1) condiia de amplitudine:
AB=1
2) condiia de faz:
+ = 2n ( n = 0,1,2,3,.)
(2.11)
(2.12)
Pentru circuitul din figura 2.4, condiia de faz este ndeplinit pentru o singur frecven, iar
valoarea acesteia va fi calculat n cele ce urmeaz.
Deoarece amplificatorul A are o banda de frecven acoperitoare pentru domeniul de frecvene
generat, defazajul introdus de el este constant i anume = 2. Ca urmare este un numr real. innd
seama i de condiia 2.12, rezult c i B trebuie s fie real. Din figura 2.4 factorul de reacie poate fi
explicitat:
_
Z2
_
(2.13)
Z1 Z 2
nlocuind :
117
1
jC1
Z 1 R1
Z2
R2
1 jC 2 R2
(2.14)
C1 = C2 = C.
(2.15)
1
3 j ( CR 1 / CR )
(2.16)
_
Din relaia 2.16 se observ c B devine real i ia valoarea B = 1/3 in cazul valorii particulare a
pulsaiei
1
.
RC
(2.17)
Relaia (2.17) arat c pentru modificarea frecvenei de oscilaie, altfel spus, pentru ndeplinirea
condiiei de faz, trebuie modificate valorile RC. Din aceast cauz, reeaua ce alctuiete reacia pozitiv se
mai numete reea de defazare (n cazul dat n figura 2.4 reeaua de defazare este o reea Wien).
nlocuind B = 1/3 n relaia (2.11) aflm valoarea A = 3 pentru care este satisfacut condiia de
amplitudine. Un oscilator construit n jurul unui amplificator cu o amplificare aa de mic este foarte instabil
i de aceea n practic se folosete un amplificator cu o amplificare A0 n bucl deschis foarte mare, iar
aceasta e redus la A = 3 cu ajutorul unei reacii negative. n cazul din figura 2.4 reacia negativ este
realizat cu un termistor cu coeficient de temperatur negativ a crui valoare este RT i cu rezistena R.
Constanta de timp a termistorului este mult mai mare dect perioada cea mai mare a oscilaiei generate de
oscilator. n felul acesta, rezistena termistorului va depinde doar de valoarea efectiv a tensiunii de ieire i
nu va nregistra modificri sensibile pe durata unei perioade a oscilaiei generate. Prezena termistorului
asigur i stabilizarea n amplitudine a oscilaiilor.
Zero
Domenii
Fin
Ux
EI
CAN
CC
Disp.
afi.
Circuite
de
comand
PF
K1 K2
Plan de
referin
Conector
IS
118
1k
9k
x10
x1
x1
x 0,01
Ucc
x 0,001
9,9M
90k
10k
Gama
0,1V
1V
10V
100V
1000V
Atenuarea
x1
x1
x0,01
x0,01
x0,001
la A
Amplificarea
x10
x1
x10
x1
x1
119
INTEGRATOR
I
-Ux
1
uc
+
_
AO
UREF
COMP
ui
DISPOZITIV
CY NUMRTOR
DE COMAND
OSCILATOR
f0 (T0)
Ux .
R
(3.3)
UREF
Ux2
T1
tx
care exprim faptul c intervalul tx este direct proporional cu tensiunea Ux, mrimile T1 i UREF fiind
constante. Cu alte cuvinte, tx este o msur a lui Ux i msurnd pe tx, se msoar de fapt Ux.
Relaia (3.8) arat i faptul c precizia de msurare nu depinde de valorile componentelor R i C ale
integratorului.
Msurarea intervalului de timp tx se realizeaz prin numrarea, pe durata tx, a impulsurilor de
perioad cunoscut T0, furnizate de oscilator. Fie n numrul de impulsuri astfel numrate. Rezult
(3.9)
t x n T0 .
Analiznd relaiile (3.8) i (3.9), rezult c precizia de msurare depinde de precizia cu care se cunoate T0.
Pentru ca precizia de msurare s nu depind nici de valoarea lui T0, se face n aa fel nct i durata T1 s fie
determinat tot n funcie de T0. Pentru aceasta, durata T1 se obine prin numrarea unui numr de N
impulsuri de durat T0. Rezult:
(3.10)
T1 N T0
i, n final:
n
(3.11)
U x U REF .
N
ui
Ux3Ux2Ux1
UREF
Ux3
UREF
Ux2
Ux1
T1
UREF
tx1
tx2
t
tx3
121
0,1mA
1mA
900
10mA
90
100mA
la VN
+
9k
0.9
1A
1k
0.1
a)
U0
Ux
R1
R2
U0
b)
Fig. 3.10. Amplificatoare de transimpedan.
R
R1
R2
Eliminnd tensiunea Ux obinem:
U 0 Rech I ,
(3.16)
unde
R
R
(3.17)
Rech (1 2 2 ) R
R
R1
Se observ c R este nmulit cu un factor supraunitar a crui mrime este controlat de raportul
R2/R1.
122
9. Convertoare curent continuu curent alternativ de pentru valori medii (schema de principiu,
functionare, erori la masurarea valorii efective).
paragraf 3.3.3.
3.3.3. Convertoare curent alternativ-curent continuu de valoare medie
Valoarea medie redresat a unei tensiuni alternative este valoarea medie n timp a modulului
tensiunii
U med
1 t T
u (t ) dt
T t
(3.28)
Convertoarele c.a.-c.c. de valoare medie se realizeaz practic ntotdeauna prin redresarea tensiunii
alternative (figura 3.15.a), b) ). Circuitul din figura 3.15.a) funcioneaz ca un redresor monoalternan i
folosete un amplificator operaional pentru a corecta neliniaritatea diodelor. n semialternana negativ a
tensiuni de intrare, D1 este blocat, D2 conduce, iar raportul dintre valorile instantanee u2/u1 este egal cu
R2/R1 cu o precizie foarte bun. n semialternana pozitiv a tensiunii de intrare D1 conduce, amplificarea este
mic, D2 este blocat iar tensiunea de ieire este practic nul.
Schema din figura 3.15.b) realizeaz redresarea dubl alternan, iar amplificatorul operaional
corecteaz practic orice neliniaritate a diodelor (deoarece amplificarea cu reacie crete cnd rezistena
diodelor este mare i scade n situaia contrar). Schema poate fi folosit i ca redresor simpl alternan dac
ieirea se consider ntre A sau B i mas.
Ambele scheme din figura 3.15. au banda de frecven limitat n special datorit prezenei
amplificatoarelor operaionale.
R
R2
D1
D2
R1
u1
u2
A
B
D1
D2
u2
u1
a)
b)
Fig.3.15. Scheme de convertoare c.a.-c.c. de valoare medie.
Pentru a netezi tensiunea pulsatorie rezultat din redresarea simpl sau dubl alternan,
convertoarele c.a.-c.c. de valoare medie au la ieire un filtru trece jos i cum n tehnic intereseaz cel mai
adesea valoarea efectiv, amplificarea global a filtrului este 1,11. Ca urmare, un astfel de convertor c.a.-c.c.
msoar corect valoarea efectiv doar n cazul unei tensiuni sinusoidale la intrare (fr armonici i fr
zgomot alb).
n cele ce urmeaz vom studia erorile ce apar ntre valoarea indicat de un voltmetru de valori
efective echipat cu convertor c.a.-c.c. de valori medii i valoarea efectiv adevrat pentru cteva tipuri de
form de und la intrare: o und triunghiular i o und dreptunghiular (figura 3.16.).
u
Uv
Uv
a)
b)
Fig. 3.16. Forma de und triunghiular i dreptunghiular.
Calculm valoarea medie n modul a unei tensiuni triunghiulare (figura 3.16.a) ), a crei valoare de
vrf este UV:
123
U
4 / 2 2U v
tdt v
2 0
2
Valoarea efectiv a aceleiai unde este:
U med
(3.29)
2
U
4 / 2 4U v 2
U
t dt v
(3.30)
2
2 0
3
Putem calcula eroarea ce apare ntre valoarea indicat de un voltmetru de valori efective echipat cu
un convertor c.a.-c.c. de valori medii i valoare efectiv adevrat, eroare ce apare la msurarea tensiunilor
triunghiulare.
1,11 / 2 1 / 3
100 3,81%
1/ 3
Pentru cazul undei dreptunghiulare calculele sunt simple deoarece valoarea medie este egal cu
valoarea efectiv. Deci, voltmetrul va indica cu 11% mai mult dect valoarea efectiv adevrat.
Totodat se observ c unda dreptunghiular are, fa de oricare alt form de und, cel mai mic
raport dintre valoarea efectiv i valoarea medie. Se poate spune deci, c un voltmetru de valori efective,
echipat cu convertor c.a.-c.c. de valori medii nu va indica niciodat cu mai mult de 11% fa de valoarea
efectiv adevrat a undei alternative periodice de la intrare.
n concluzie, convertoarele c.a.-c.c. de valoare medie, fiind cele mai uor de realizat, practic sunt i
cele mai des ntlnite n construcia multimetrelor. Se utilizeaz uzual n gama de frecven 10Hz - 100kHz
dar cu circuite speciale (diode i amplificatoare de nalt frecven) gama poate fi extins la 10 MHz.
Precizia convertoarelor c.a.-c.c. de valoare medie este de obicei ntre 0,05% i 0,5%. Se poate obine
un interval de msurare relativ larg, limita superioar fiind dictat de saturarea amplificatorului operaional,
iar limita inferioar de fluctuaii i derive. Totui, n cazul msurrilor de precizie, tendina este de a nlocui
acest tip de convertor cu cele de valoare efectiv.
10. Convertor rezistenta - tensiune pentru multimetre electronice (cerinte, schema de principiu)
paragraf 3.3.5.
3.3.5. Convertoare rezisten - tensiune
Dac pn acum convertoarele studiate preluau energie de la msurand, n procesul de msurare a
rezistenei, aparatul de msur trebuie s fie capabil s furnizeze energie. n principal se folosesc cele dou
scheme prezentate n figura 3.19.
Rref
Rref
Uref
Uref
U2
A
U2
Rx
la voltmetru
Rx
a)
la voltmetru
b)
U ref
Rref
U2
,
Rx
(3.31)
U2
(3.32)
de unde
Rx
Rref
U ref
125
126
127
pp.54-57.
128
2.
129
130
131
132
133
134
3.
135
136
4.
137
138
139
140
141
5.
Problema conducerii nemijlocite a elementelor c.c.c. Schema de conducere a unei axe. pp. 27 28; pp.99-101;
pp.213 -218
142
143
144
145
146
147
148
149
150
151
152
6.
7.
Cum se realizeaz conducerea unui robot n cazul conducerii distribuite. pp.27 28; pp.99-101; pp.214-215 ----IDEM 5
Specificarea micrii n coordonate c.c.c. pp.102-107
153
154
155
156
157
158
8.
Generarea micrii n coordonate c.c.c. Concluzii, avantaje, dezavantaje pentru conducerea in coordonate c.c.c.
pp.107-109
159
160
9.
161
10. Problema timpului de calcul n conducerea unui robot. Interpolarea liniar. pp.122 -126.
162
163
164
165
166
167
168
i0
Ui
+
u0i
-
u0
-
u0i
Ui
t
U0
ton
toff
Ts
Convertorul produce o tensiune de ieire a crei valoare medie este mai mic dect a tensiunii de
la intrare. n fig.3.4 este prezentat convertorul buck, care
debiteaz pe o sarcin rezistiv. Considernd comutatorul ca un ntreruptor ideal, se
poate calcula valoarea medie a tensiunii de ieire, U 0 :
U0
1
TS
TS
u 0 (t )dt
0
1
TS
t on
U i dt
0
1
TS
TS
t on
t on
0dt T
U i U i .
(3.2)
U0
uC
U i u C .
u max
(3.3)
169
(Ui-U0)
A
t
B
iL
(-U0)
Ts
IL=I0
toff
ton
iL
+
Ui
iL
uL
Ui
-
U0
uL
U0
Reprezentarea
grafic
acestei
relaii
este
redat
figura
3.6b.
Pstrnd
( I L ) L 4( I L ) L max (1 ).
u
(3.10)
iLmax
uL
Ui U 0
iL
IL=I0
Ts
Ts
t
1 Ts
2 Ts
-U0
de putere de la ieire scade ( adic RS crete), valoarea medie a curentului prin bobin scade i
se ajunge la situaia reprezentat n figura 3.7.
Pentru a ilustra acest fenomen, se va calcula raportul U 0 / U i i se va pune in eviden n
ce condiii apare regimul de curent ntrerupt, dac tensiunea de ieire se modific.
Din diagrama din figura 3.7 avem:
(U i U 0 )TS (U 0 )1TS 0,
(3.11)
adic
U0
.
U i 1
(3.12)
i L max
U0
1TS ,
L
(3.13)
U 0 /Ui
=1,0
1,0
Ui=cons
t.
0,9
0,75
0,7
0,5
0,5
Discontinu
u
0,3
0,25
0,1
0,5
1,5
1,0
2,0
I0
)
( I L ) L max
I 0 i L max
(TS 1TS ) 1
( 1 )
i L max
.
TS
2
2
(3.14)
I0
U 0 1TS ( 1 ) U i TS
1 4( I L ) L max 1 ,
2L
2L
(3.15)
(Ui U0)
t
( U0)
iL
Q
IL/2
IL = I 0
Ts/2
t
u0
U0
U0
t
Fig.3.10. Pulsaiile tensiunii pe condensatorul de filtraj.
171
Q 1 1 I L TS
.
C
C2 2 2
Cum pe intervalul t off este valabil relaia:
U 0
U0 L
(3.23)
I L
U (1 )TS
, I L 0
,
t off
L
U 0
TS (1 )TSU 0
,
8 LC
(3.24)
iar
f
U 0 1 2 1 2
TS
(1 )( c ) 2 ,
U0
LC
fS
8
2
(3.25)
unde
fS
1
1
, fc
.
TS
2 LC
i0
+
Ui
U0
Cnd comutatorul este nchis, dioda este invers polarizat, iar tensiunea de la intrare
creeaz curent doar prin inductana L. Circuitul de sarcin este izolat de circuitul de intrare. Cnd
comutatorul se deschide, etajul de la ieire primete energie att de la bobin, ct i de la sursa de
alimentare Ui. n regim permanent
4. Convertorul boost n regim CCM .[1] ( schema, forme de und , pp.108-109).
Figura 3.12 red principalele forme de und ce caracterizeaz acest regim de funcionare.
Cum integrala de timp a tensiunii la bornele inductanei, pe o perioad, este nul, putem scrie:
172
uL
Ui
t
(Ui - U0)
iL
IL
Ts
ton
toff
iL
+
U i t on (U i U 0 )t off 0,
uL
Ui
U0
U i (t on t off ) U 0 t off .
b)
(3.26)
U 0 TS
1
.
U i t off 1
(3.27)
Ui Ii U 0I0 ,
(3.28)
I0
1 .
Ii
(3.29)
uL
(IL)Lmax
uL
iLmax
(I0)Lmax
(IL)L
(IL)L
toff
ton
(I0)L
Ts
(1/3) 0,5
173
S-a reprezentat situaia cnd curentul iL se anuleaz chiar n momentul n care se sfrete timpul
de blocare t off .
5 Pulsaiile tensiunii de ieire la convertorul boost.[1, pp.113] .
iD
Q
Q
ID =I0
t0ff
ton
u0
U0
U0
t
Ts
(1-)Ts)
Q I 0TS U 0 TS
,
C
C
R C
T
U 0 TS
S ,
U0
RC
U 0
iar
(3.43)
(3.44)
6. Convertorul buck boost n regim CCM. .[1] (schema, forme de und , pp.114-115).
ii
Ui
L
-
C
R
iL
U0
+
i0
Fig.3.17. Convertorul buck boost.
U i TS U 0 (1 )TS ,
uL
Ui
t
(-U0)
iL
ILmax
IL = I i
ILmin
t
toff
ton
+
+
Ui u L
-
iL
U0
+
Ui
U0
i0
a)
iL
uL
b)
i0
U0
.
Ui 1
(3.45)
Relaia (3.45) arat c raportul ntre tensiunea de ieire i cea de intrare este egal cu
produsul factorilor de conversie ai celor dou tipuri de convertoare
7. Convertorul CUK.[1, pp. 120-121, schema i principiul de funcionare].
+
Ui
boost
buck
Ui
L2
C1
IL1
UL1
UC
Q1
IL2
UL2
D
C2
175
Uo
uL1
uL2
Ui
UL
UC1U
UL2 t
-Uo
UiiL1
ton
toff
ton
iL2
toff
IL1
IL2
T
s
t
t
s
Fig.3.25. Formele de und caracteristice funcionriiTconvertorului.
Ts
Ts
Circuitul boost-buck rezultat n figura 3.23 poate fi simplificat, obinndu-se configuraia din
fig.3.24.
n figura 3.25 se prezint formele de und ce caracterizeaz funcionarea convertorului.
8 . Convertorul forward .[1, pp. 148-149, schema i principiul de funcionare].
D2
+
i1 1
Ui
D3
U1
iL
RS
iS
t1
i3
U1
UQ
D1
t2
2Ui
Ui
Ts
i1
t
i3
t
iL
ILmax
ILmin
D1
Ui
Q2
L1
L
+
L2
RS
-
L1
L2
D2
176
Formele de und sunt redate n figura 3.51. Diodele D1 i D2 redreseaz tensiunea din secundar,
furniznd mpreun curentul care strbate inductivitatea
de filtraj. n intervalul de timp n care tranzistoarele sunt blocate, secundarul
transformatorului este scurtcircuitat de ctre cele dou diode, care ndeplinesc n acest moment (
n paralel) rolul de element de nul, ele fiind parcurse de curentul generat de energia nmagazinat
n inductivitate L. Cnd unul din tranzistoare este n stare de conducie, tensiunea pe cellalt este
suma tensiunilor din primar, adic 2Ui . Din formele de und din figura 3.51 se constat c pentru o
anumit valoare medie a curentului de sarcin, curentul mediu printr-un tranzistor este jumtate
din curentul de sarcin, fapt ce determin o solicitare termic a acestora mult mai mic.
Tensiunea de la ieire este dat de relaia:
u2
Q2 on
Q1 on
t
iL
IL min
IL max
iD
iD1
iD2
t
Fig.3.51. Formele de und aferente convertorului n contratimp.
U S 2
unde:
Ui
,
n
(3.120)
10. Convertor d.c.- d.c. n contratimp n montaj semipunte [ 1, pp.160-161, schema i principiul de
funconare].
Soluia constructiv de tip semipunte (fig.3.53) este foarte larg rspndit pentru c:
- permite conectarea direct la reeaua de 220V fr transformator de separare;
- ofer posibilitatea egalizrii intervalelor de conducie a tranzistoarelor, chiar dac
caracteristicile lor difer ntre ele.
Q1
D
D2
D5
UC1
C1
D7
R1
C3
220
V
D3
D4
C2
R2
Q2
D6
UC
2
177
D8
RS
Un capt al transformatorului este conectat ntre cele dou tranzistoare, iar cel de al doilea este
conectat la un punct cu potenial creat de capacitile C1 i C2 a crui valoare este Ui /2 (R1 = R2,
C1 = C2). Cnd Q1 conduce, captul de sus al transformatorului ajunge la potenialul pozitiv creat
de sursa de alimentare, format din puntea redresoare ( D1 D4). Cnd tranzistorul Q1 se
blocheaz i intr n conducie Q2, se schimb sensul de circulaie al curentului n primar. Prin
comanda alternativ a celor dou tranzistoare, n primar se va obine o tensiune alternativ n
amplitudine de 155V. Se observ c tensiunea pe tranzistoare n stare blocat nu poate depi
valoarea tensiunii de alimentare. La un randament 0,8 , curentul prin tranzistoare ajunge la
valoarea [14]:
UC
UC
U2
U1
b)
S1
S2
S1 > S 2
U2
Tens. de
dezechilibru
S1
S2
S1 = S 2
a)
Fig.3.54. Explicativ pentru comportarea tranzistoarelor cnd nu exist condesatorul C (cazul a ) i cnd este introdus
condensatorul (cazul b).
178
Radiocomunicaii
Anul III
179
1. Definii parametrii discretizrii semnalelor de voce, respectiv audio hi-fi, i determinai debitele corespunztoare.
https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/1_Multimedia.PDF, 15-16
Informaia audio (II+III)
Avantaj:
compatibil cu orice sistem de nregistrare pe orice sistem de redare
Analiza funcionrii
pauz ntre melodii
muzic nregistrat cu nivel mare
muzic nregistrat cu nivel mic
Dezavantaj:
nu poate face diferena ntre zgomot i semnalul real
Sisteme de nregistrare redare cu reducerea zgomotului la redare (I+II)
Sistemele realizeaz:
prelucrarea semnalului nainte de nregistrare
prelucrarea invers dup redare
180
Zgomot:
frecven mare (1 15 kHz)
nivel sczut (-20 -40 dB)
Circuite Dolby:
n timpul nregistrrii amplific neliniar i selectiv
realizeaz prelucrarea invers la redarea semnalului
mrete RSZ cu 9 dB
181
(intrare analogic)
(ieire digital)
RSZ sczut
RSZ ridicat
Concluzie:
variabil
Rezultat:
RSZ mare
RSZ mare
Concluzie:
calitate (RSZ de ansamblu) ridicat
O camer digital portabil, pentru achiziia imaginilor statice, are urmtoarele componente
electronice:
CCD
pentru achiziia imaginii (conversie opto-electronic i explorare)
CAN
pentru cuantizarea imaginii
procesor numeric de imagine
pentru compresia imaginii i conversia formatului
sub-sistem de stocare (digital)
memorie electronic, magnetic sau interfa PC
microprocesor de comand
pentru coordonarea procesului de achiziie (vizor LCD i reglarea automat a focalizrii, a
diafragmei, a timpului de expunere etc.)
5. Prezentai principiul compresiei JPEG.
https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/3_3_JPEG.PDF, 4-8
Metodologia JPEG (I+II+III)
DCT
transform blocul cu reprezentare n timp, A (multe puncte de date)
n blocul cu reprezentare n frecven, B (puine puncte de date puine componente de
frecven)
CUANTIZAREA
reduce neuniform precizia coeficienilor (D), conform cu tabelul de cuantizare C (n algoritmul
JPEG sunt implementate 4 tabele):
frecven joas cu precizie mare (pai mici, valori nenule)
frecven ridicat cu precizie mic (pai mari, majoritar valori nule)
CODAREA DE ENTROPIE
este folosit pentru obinerea compresiei de date
este utilizat o explorare n zig-zag pentru obinerea unor secvene lungi de zerouri
codarea RLE (Run-Length Encoding) ofer o compresie excelent
codarea Huffman este utilizat pentru a obine un factor de compresie mai mare
Transformata cosinus discret (I+II)
un bloc de 88 (pixeli):
linii 0 7
coloane 0 7
n domeniul frecven
O matrice de 88 coeficieni
locaia 00
coeficient DC
componenta continu a blocului 88
locaiile 01 77
coeficieni AC
frecven joas n colul din stnga sus
frecven ridicat n rest
Explorarea n zig-zag
ncepe cu coeficienii de frecven joas (nenuli)
apoi cu coeficienii de frecven ridicat (nuli)
rezult un ir lung de zerouri, dup cteva valori semnificative, uor de codat entropia (RLE,
Huffman)
6. Definii parametrii i componentele semnalului video complex. Reprezentai oscilograma unei linii TV.
https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/4_1_Televiziune.PDF, 8, 11, 12
Frecvena semnalului de televiziune (II)
Raport de imagine
4:3
Rezoluia vertical
575 linii vizibile (din 625)
Rezoluie orizontal
4/3575 = 766 pixeli
Frecvena maxim a semnalului de imagine
f = 766/2f = 38315.625 Hz 6 MHz
MAX
184
7. Indicai semnalele folosite n transmisia televiziunii n culori, expresiile acestora i justificarea alegerii lor.
https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/4_1_Televiziune.PDF, 19, 20, 21
Semnale TV color (I+II)
luminana
Y = 0,3R + 0,59G + 0,11B
crominana (2 semnale diferen de culoare)
R-Y = 0,7R - 0,59G - 0,11B
B-Y = -0,3R - 0,59G + 0,89B
185
Luminana
Diferen de culoare
R-Y
G-Y
(nu se utilizeaz)
E
B-Y
8.
Definii parametrii discretizrii semnalului video, indicai formatele reprezentative de eantionare i determinai
debitele corespunztoare.
https://intranet.etc.upt.ro/~RADIOCOMUNICATII/Curs/4_3_TV_numerica.PDF, 1,2,7,8
Standardul de studio TV digital (I+II)
Debitul semnalului TV
186
D=D +D +D
Y
R-Y
B-Y
=f n +f
EY
ER-Y
n +f
R-Y
EB-Y
B-Y
Standar
d
Parametrii
4:4:4
FORMATE
DE ORDIN
SUPERIOR
fH = 31.250 Hz
progresi
v
f = 27 MHz
E
f = 15.625 Hz
H
4:4:4
FORMAT
DE BAZ
4:2:2
fE = 13,5 MHz
fEY = 13,5 MHz
fEC = 6,75 MHz
f
4:1:1
FORMATE
DE ORDIN
INFERIOR
4:2:0
2:1:1
EY
DY
DR-Y + DB-Y
D [Mbps]
216
216 + 216
648
108
108 + 108
324
108
54 + 54
216
108
27 + 27
162
= 13,5 MHz
4:2:2
4:0:0
= 3,375 MHz
EC
108
54
54 + 54
0+0
27 + 27
162
108
Modulaie de amplitudine
MA
eficen sczut
MA cu band lateral unic
MA-BLU
imposibil de filtrat
soluie standard
Prelucrarea la frecven intermediar
188
189
190
n cazul PLA, acelai termen se poate utiliza pentru mai multe funcii, fcnd implementarea mai
eficient.
n cazul PAL, unde reeaua de pori PAL este fix, implemementarea necesit o arie de siliciu mai
mare
191
Structurile PAL i GAL au o arhitectur comun, format dintr-o reea de pori I programabile i o
reea de pori SAU fixe. Avantajul principal este simplitatea arhitecturii, dezavantajul este
ineficiena ei (un termen comun n dou funcii se genereaz de dou ori). Circuitele PAL se
bazeaz pe tehnologia bipolar (nu se mai folosesc), iar cele GAL pe tehnologia CMOS (se mai
folosesc uneori).
3. Explicarea arhitecturii pipeline
Un sistem numeric complet se compune dintr-o parte combinaional i una secvenial. n figura de
mai jos este prezentat simplificat o secven de operaii (prelucrri) combinaionale.
Prin tehnica pipeline, pe traseul informaional sunt inserate bistabile acionate de un semnal de tact
comun. Prin aceasta se asigur predictibilitatea prelucrrii informaionale, dar mai ales este posibil
paralelizarea operaiilor prin multiplicarea lanului de mai jos n mai multe asemenea module n
paralel, toate comandate de un semnal de tact comun. De exemplu la execuia unei instruciuni n 4
faze, procesorul este la un moment dat angrenat n rularea a 4 instruciuni simultan, dar n faze
diferite (fetch, decode, execute, output)
192
Locul de
declarare
SIGNAL / Semnal
<=
Folosite pentru conectarea circuitelor /
modulelor (wires)
Global
VARIABLE/ Variabil
:=
Reprezint informaie local
Modelare ntrziere
193
Un al doilea exemplu prezint un MUX 4:1 cu un timp de propagare identic pentru cele 4 intrri, de
10 ns.
library ieee;
use ieee.std_logic_1164.all;
entity mux4 is
port ( I0, I1, I2, I3, a, b: in std_logic;
I0
I1
I2
I3
Y : out std_logic);
end mux4;
architecture mux4 of mux4 is
signal sel: integer;
begin
sel <= 0 when A = '0' and B = '0' else
1 when A = '1' and B = '0' else
2 when A = '0' and B = '1' else
3 when A = '1' and B = '1' else
4 ;
with sel select
Y <= I0
after 10 ns when 0,
Y <= I1
after 10 ns when 1,
Y <= I2
after 10 ns when 2,
Y <= I3
after 10 ns when 3,
library ieee;
use ieee.std_logic_1164.all;
entity mux2to1 is
port ( sel: in
std_logic;
a, b: in
std_logic;
y: out std_logic);
end mux2to1;
architecture bhv of mux2to1 is
begin
y <= a when sel = '0' else b;
end bhv;
library ieee;
use ieee.std_logic_1164.all;
194
Y
B
entity mux2to1_8bit is
port (sel: in
A,B: in
std_logic;
std_logic_vector (7 downto 0);
Trebuie remarcat similitudinea celor 2 exemple de mai sus (difer doar prin modul de declarare al
semnalelor A i B).
library ieee;
use ieee.std_logic_1164.all;
entity mux4to1 is
port ( a, b, c, d: in
SEL: in
std_logic;
std_logic_vector (1 downto 0);
y: out std_logic);
end mux4to1;
architecture mux1 of mux4to1 is
begin
y <= (a and not SEL(1) and not SEL(0)) or
(b and not SEL(1) and
SEL(0)) or
(c and
(d and
SEL(1) and
SEL(0));
end mux1;
Not: n curs sunt prezentate zece variante distincte de definire pentru acest MUX 4:1. Oricare
dintre ele este potrivit pentru o rezolvare corect.
9. ALU n VHLD, exemplu de cod
ALU (Arithmetic Logic Unit) prezentat mai jos permite efectuarea de opt operaii aritmetice i opt
operaii logice definite n tabelul de adevr. Este alctuit din dou module distincte care proceseaz
simultan informaia selecia final fiind realizat n funcie de bitul cel mai semnificativ din sel
prin intermediul unui multiplexor. Ceilali trei bii din sel sunt utilizai pentru selecia operaiei
specifice aritemetice sau logice.
195
Soluia prezentat utilizeaz doar cod concurent (combinaional) i date de un singur tip att pentru
operaiile aritmetice ct i logice. Acest lucru e posibil deoarece a fost declarat un package specific
- std_logic_unsigned din biblioteca ieee.
Dou semnale, arith and logic, sunt folosite pentru transmiterea rezulatelor blocurilor aritmetic i
logic ctre multiplexor.
sel
0 000
0 001
0 010
0 011
0 100
0 101
0 110
0 111
1 000
1 001
1 010
1 011
1 100
1 101
1 110
1 111
Y <=
Y <=
Y <=
Y <=
Y <=
Y <=
Y <=
Y <=
Y <=
Y <=
Y <=
Y <=
Y <=
Y <=
Y <=
Y <=
Operaie
A
A + 1
A - 1
B
B + 1
B - 1
A + B
A + B + Cin
not A
not B
A and B
A
or B
A nand B
A nor B
A xor B
A xnor B
Descriere
Transfer
A
Increment A
Decrement A
Transfer
B
Increment B
Decrement B
Add A and B
Add A and B with carry
Complement A
Complement B
AND
OR
NAND
NOR
XOR
XNOR
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity ALU is
port (A, B: in
sel: in
cin: in
std_logic;
Modulul activ
Aritmetic
Logic
when "000",
when "011",
----------0) select
A when "000",
B when "001",
B when "010",
B when "011",
B when "100",
B when "101",
B when "110",
B when others;
-- number of bits
-- mod-M
std_logic;
end mod_m_counter;
-- register
process(clk,reset)
begin
if (reset='1') then
r_reg <= (others =>'0');
elsif (clk'event and clk = '1') then
r_reg <= r_next;
end if;
end process;
r_next <= (others =>'0') when r_reg = (M-1) else
-- next-state logic
r_reg + 1;
Q <= std_logic_vector (r_reg);
-- output logic
198
199
1.
Amplificatorul cu modulare-demodulare (AMD) este utilizat pentru amplificarea cu 60100 dB a unor tensiuni de
nivel foarte mic (microvoli sau milivoli) i de joas frecven.
R1
C1
K1 u m
ui
Amplificator
de curent
alternativ
Rf
C2
uma
K 2 ud C f
ue
up
ui
[V] [mV]
t
um
[V] [mV]
t
uma
[V]
K1 i K2 nchise
ud, ue
[V]
ue
2.
200
C
R
ui
Ir
Rr
AO
uI
Comp
uc
Circuit
basculant
monostabil
ue
(fe)
K
-Ur
uI
uc
ue
tr
Din
3.
Te
ui
U
Rr
Te r tr rezult funcia de transfer f e
ui .
R
Rr
RU r tr
Convertor numeric analogic relaie de calcul a cuantei, funcia de transfer (ieire curent, ieire tensiune),
definiii pentru eroarea de decalaj (de zero) i eroarea de ctig.
Calculul cuantei
q 1LSB
FSR
FSR
FR
N numrul de bii.
Funcie de transfer ieire curent
Ie
Ur
R U
DCBN , ieire tensiune U e 1N r DCBN unde Ur tensiune
N
2 R
2 R
de referin, R rezisten de referin, R1 rezisten din reacia negativ a AO, DCBN valoarea n zecimal a
numrului de la intrare scris n CBN.
Eroare de zero (0) (eroare absolut) reprezint valoarea mrimii de ieire dac la intrare s-a aplicat codul numeric
pentru ieire zero.
Eroare de ctig (FR) reprezint eroarea absolut a domeniului maxim al ieirii. Dac eroarea de zero nu este zero
trebuie inut cont de ea la calculul erorii de ctig
4.
Convertor numeric analogic cu reea de rezistene R-2R structura de principiu, funcie de transfer.
201
b1 - MSB
b2
bN-2
bN-1
R1
bN - LSB
Ie
-Ur
5.
K2
KN-2
KN-1
2R
2R
2R
2R
I2
IN-2
U e I e R1
IN-1
IN-2
I2
I1
R
Funcia de transfer
K1
I1
Ir
_
+
AO
Ue
KN
2R
2R
IN
IN
IN-1
R
U r R1
DCBN .
2N R
Conectarea unui convertor numeric analogic unipolar pentru conversie bipolar n codul complementul lui doi
structura de principiu, deducere matematic a funciei de transfer.
R1
Ie
DCCD
CNA
unipolar
b1
b1Ur
R
Ir
R
K
Ur
Ie
AO
Ur
DCBN i DCBN DCCD b1 2 N rezult succesiv
N
2 R
U R
Ur
Ur
U
I e b1
N DCCD , I e" I e b1 r , U e I e" R1 Nr 1 DCCD .
R 2 R
R
2 R
Din
6.
Ue
Ie
Ie
Ur
DCCD b1 2 N
N
2 R
Convertor analog numeric cu comparare de tip paralel cuanta, structura de principiu, calculul tensiunilor de
comparare.
q 1LSB
1LSB
FSR
, unde FSR este intervalul de variaie a semnalului
2N
FSR U r
N .
2N
2
Tensiunile de comparare utilizate n procesul de conversie pentru un CAN de tip paralel vor avea valorile:
U Ci (i 0,5)
Ur
, i = 1, 2, ..., 2N 1, unde N este numrul de bii.
2N
202
ui
Ur
Ir
C2N-1
1,5R
UC2N-1
C2N-2
R
UC2
...
-2
Decodificator
...
bi
b2
b1
C1
...
bN
bN-1
UC1
0,5R
7.
Convertor analog numeric cu aproximaii succesive structura de principiu, prezentare principiu de conversie.
STARE
START
CONVERSIE
CONVERSIE
ui
Registru de aproximaii
succesive
b1 ... bN
(T0)
Gen. de
tact
Registru
tampon
Ieire
Uc
CNA
Ur
Valoarea fiecrui bit se obine n cte o perioad T0 a semnalului de tact. Stabilirea valorilor ncepe cu bitul b1
(MSB) i se termin cu bitul bN (LSB). Registrul de aproximaii succesive comand intrrile CNA pentru obinerea
k
mari dect k au valoarea 0. Dac n urma comparrii rezult ui Uck atunci bitul bk rmne pe 1, n caz contrar bk
este pus (napoi) pe zero. Astfel, tensiunea de comparare Uck se formeaz pe baza bitului bk a crei valoare urmeaz
a fi stabilit i a biilor bi, i = 1, 2, ..., k-1, cu valori stabilite pe baza unor comparri anterioare.
8.
Etalonarea convertorului analog numeric - etalonarea decalajului i ctigului pentru un convertor bipolar pe N
bii, rezultat sub form de cod binar deplasat; tensiuni aduse la intrarea CAN, rezultate obinute la ieirea
CAN.
Pentru reglajul decalajului se aduce la intrarea convertorului tensiunea de 0,5LSB iar la ieire trebuie s obinem
secvene numerice ce prezint o instabilitate ntre valorile 10 ... 00 (codul pentru 0 bitul de semnificaie maxim pe 1,
restul pe 0) i 10 ... 01. Pentru reglajul ctigului se aduce la intrarea convertorului tensiunea de 0,5FSR 1,5LSB iar la
ieire trebuie s obinem secvene numerice ce prezint o instabilitate ntre valorile 11 ... 10 i 11 ... 11 (toi bitii pe 1).
Se are n vedere caracteristica de transfer a unui CAN bipolar, n CBD.
203
se
[bk]
11...11
11...10
....
10...010
10...01
-2N-1 1-2N-1
10...00
...
-1
-2
0 1 2
-(FSR/2)
01...11
01...10
...
...
...
....
ui
N-1
N-1
[LSB]
2 -1 2
2N-1-2
(FSR/2)
00...01
00..00
9.
Circuit de eantionare i memorare structura de principiu a unui CEM cu bucl de reacie global (un
comutator), rolul reaciei globale, caracteristici ale AO de intrare i de ieire.
R
AO1
ui
(M)
_
K
AO2
+
(E)
ue
Cd
Reacia global asigur eliminarea erorilor de decalaj corespunztoare amplificatorului operaional de la ieire
AO2. n cazul structurii de principiu din figur, erorile de decalaj ale CEM sunt date de AO1, care trebuie ales cu
deriv redus a tensiunii de decalaj.
Amplificatorul operaional AO1 din circuitul de intrare al CEM trebuie s asigure o impedan mare de intrare
pentru a nu se perturba circuitul din care se preleveaz tensiunea ui. De asemenea, trebuie s aibe o impedan mic
de ieire pentru a putea ncrca sau descrca rapid condensatorul C astfel nct timpul de achiziie s fie ct mai
mic.
Amplificatorul operaional AO2 de la ieirea CEM trebuie s fie ales astfel nct curenii de polarizare a intrrilor
s fie foarte mici pentru ca, n starea de memorare, descrcarea condensatorului C s fie foarte lent. Din acest
motiv se utilizeaz amplificatoare operaionale ce au n circuitul de intrare tranzistoare cu efect de cmp.
10. Sistem de achiziie de date cu multiplexarea semnalelor analogice de intrare structura de principiu, explicarea
funcionrii pe baz de diagrame de timp ale semnalelor de comand i control.
204
ui1
ui2 .
.
.
uiK
MUX
CAN
CEM
E/M
Start Stare
conv conv.
b1,...,bN
Sistem de prelucrare
numeric
M
(ui1)
(ui2)
(ui3
t
E/
Start
conv
.
Stare
conv.
t
t
b1,...,bN
t1 t2
(tap+ts)
Tc
t3
tac
t4 t5
t6
Bibliografie
[1] Liviu Toma Sisteme de achiziie i prelucrare numeric a semnalelor, Editura de Vest, Timioara, 1997
[2] https://intranet.etc.upt.ro/ An 3, Sisteme de achizitii de date, Curs
[3] https://intranet.etc.upt.ro/ An 3, Sisteme de achiziii de date, Laborator
205
206
- Intrarea de DATE se conecteaz la terminalul circuitului integrat iar ieirea de DATE la intrarea/ieirea
blocului funcional, sau invers, dup cum celula de scanare este conectat la un terminal de intrare sau de ieire.
- Intrarea de SCANARE se conecteaz la ieirea celulei anterioare (sau la terminalul TDI) iar ieirea de
SCANARE la intrarea urmtoarei celule (sau la multiplexorul pinului TDO), formnd un registru de deplasare cu
ncrcare paralel.
- Semnalele ShiftDR, ClockDR, UpdateDR i Mode sunt generate de ctre controlerul TAP care gestioneaz
transferul datelor la nivelul fiecrei celule.
Celula de scanare moduri de operare
207
utilizeaz una sau mai multe camere montate perpendicular pe planul de inspecie care este iluminat
din multiple unghiuri.
Imaginea obinut permite efectuarea de msurtori pe direciile X i Y, n urma unui proces de
calibrare.
Metoda se folosete pentru inspecia plcilor neechipate n scopul deteciei ntreruperilor i
scurtcircuitelor, pentru a verifica poziionarea componentelor i alinierea pastei de lipire pe padurile
SMD.
208
Utilizeaz o surs de lumin structurat, de exemplu o diod laser, pentru a proiecta un ablon, cel
mai frecvent o linie orizontal, pe suprafaa suprapus inspeciei. Lumina structurat proiectat pe
sistemul testat urmrete seciunea acestuia ntr-un plan perpendicular pe planul inspeciei.
Camera este poziionat oblic fa de planul inspeciei i capteaz conturul luminii structurate, a crui
analiz permite efectuarea de msurtori tridimensionale.
Tehnica se folosete n principal pentru a determina volumul pastei de lipire depus pe padurile
componentelor SMD.
3. Testarea continuitii
Cursul 5 paginile 24-26
https://intranet.etc.upt.ro/~TEE_EA/CURS/ sau
http://este2.etc.upt.ro/moodle/course/view.php?id=5
-
Testele de continuitate au ca scop detecia defectelor de tip ntrerupere i se aplic ntre extremitile reelelor
conductoare ale circuitului imprimat.
O reea conductoare (net) reprezint o structur metalic continu format din trasee i guri de trecere (via),
care asigur o cale de circulaie a curentului ntre mai multe paduri de lipire a componentelor sau paduri de
test.
Testarea continuitii unei reele se realizeaz prin msurarea rezistenei dintre un punct de extremitate al
acesteia i toate celelalte i compararea acesteia cu un prag.
Standardul IPC-ET-652 solicit utilizarea unui prag de continuitate de 50 pentru echipamentele electronice
de uz general i 20 pentru echipamentele de mare fiabilitate, cele de uz medical sau aeronautic.
Tehnici pentru msurarea rezistenelor dintre punctele de test situate la extremitile unei reele conductoare:
A. Msurarea n dou puncte
Este tehnica uzual de msurare a rezistenei utiliznd o surs de
curent i un voltmetru.
Sursa de curent injecteaz curentul I ntre punctele de test PT1 i PT2
iar un voltmetru msoar cderea de tensiune pe rezistena Rx dintre
acestea. Prin compararea acestei tensiuni cu un prag se ia decizia de
conformitate sau neconformitate.
Datorit rezistenelor comutatoarelor situate ntre punctele de msur
i punctele de test, notate n figura de mai sus cu Rsw1 i Rsw2,
tensiunea msurat va fi:
Vm = I(Rx + Rsw1 + Rsw2) = Vx + Verr
adic tensiunea msurat Vm conine att tensiunea de interes Vx ct i o tensiune de eroare Verr. Rezistena msurat
Rm se obine prin raportarea Vm la I:
Rm = Rx + Rsw1 + Rsw2
, ceea ce conduce la o eroare relativ de msurare a rezistenei:
Rx
Rm Rx Rsw1 Rsw2
Rx
Rx
Avnd n vedere c la testarea continuitii rezistena Rx are valori de ordinul ohmilor chiar dac se utilizeaz
comutatoare cu rezistena n conducie mic eroarea de msurare tot poate depi cu uurin pragul de 100%.
209
B. Msurarea n patru puncte: utilizeaz o punte Kelvin format din rezistenele n conducie ale comutatoarelor
pentru a msura rezistena de valoare mic dintre cele dou puncte de test.
- Sursa de curent este conectat la punctele de test prin dou puncte de msur iar voltmetru prin alte dou puncte de
msur.
- Se poate neglija curentul prin voltmetru pentru c impedan de intrare a acestuia mult mai mare dect valorile de
ordinul ohmilor pe care dorim s le msurm.
- Tensiunea msurat este: Vm=I*Rx
- Eroarea de msur devine nul indiferent de rezistenele comutatoarelor.
- Configuraia de msur elimin necesitatea calibrrii i a compensrii software ns utilizeaz de dou ori mai multe
puncte de msur dect varianta prezentat anterior.
Driverul (b) dispune, pe lng intrarea de date, de dou intrri analogice V1 i V0 la care se stabilesc nivelurile de
tensiune corespunztoare nivelurilor logice 1, respectiv 0, precum i de o intrare de validare a comenzii
tranzistoarelor finale, notat HighZ, prin intermediul creia se poate aduce ieirea n starea de nalt impedan.
Suplimentar, driverul poate avea circuite de monitorizare a curentului de ieire i de protecie la scurtcircuit.
Driverul trebuie s aib impedan de ieire redus i s fie capabil s genereze un curent ridicat, uneori pn la 500
mA, datorit fenomenului de comand invers (backdriving) inerent testrii n circuit.
Pentru protecia circuitului supus testrii mpotriva efectelor destructive ale comenzii inverse, driverele performante
sunt prevzute cu circuite de control al timpului de cretere.
210
Structura general a unui stand de testare funcional, realizat prin interconectarea mai multor echipamente att de uz
general ct i specializate. Conceptul central al structurii este de instrument modular controlat de calculator
Din punct de vedere mecanic standul de test reprezint un asiu dotat cu un fund de sertar (backplane): o plac ce
conine conectori de asiu (slot) n care pot fi montate diverse module.
Fundul de sertar asigur conexiunea fizic ntre diferitele module ce pot implementa diferite funcii, inclusiv aceea de
comunicaie cu un sistem de calcul care poate controla n mod automat execuia testelor.
Prin standardizarea interfeei prin care comunic modulele, precum i a specificaiilor mecanice ale asiului, devine
posibil ca modulele se poat fi dezvoltate de diveri productori, oferind astfel o mare varietate de funcii de msur.
6. Caracterizarea erorilor: Detectabilitatea i Redundana definiii
Cursul 8 paginile 16, 18
https://intranet.etc.upt.ro/~TEE_EA/CURS/ sau
http://este2.etc.upt.ro/moodle/course/view.php?id=5
1. Detectabilitatea : Spunem despre un vector de test X=[x1, ... xn] c detecteaz o eroare f dac i numai dac vectorul
de rspuns conine cel puin o ieire zk a crei valoare este diferit n prezena, respectiv n absena erorii f:
Cu zk(X) s-a notat funcia logic implementat de circuit la ieirea zk n funcionarea corect, iar cu zfk(X) funcia logic
implementat la aceeai ieire n prezena erorii f.
Operaia SAU-EXCLUSIV transpune n algebra binar cerina valoare diferit, ( vezi tabelul de adevr al funciei
XOR.)
Spunem despre o eroare f c este detectabil dac i numai dac exist cel puin un vector de test care o detecteaz:
211
O eroare ce nu poate fi detectat de nici un vector de test este o eroare redundant. ntruct orice combinaie binar
aplicat intrrilor poate reprezenta un vector de test, rezult c doar ntr-un circuit redundant pot exista erori astfel de
erori.
Circuit redundant poate fi eliminat cel puin o poart logic fr a-i modifica funcia logic implementat.
Redundana poate aprea nu doar din cauza unei proiectri suboptimale a circuitului, ci i n urma aplicrii unor tehnici
de eliminare a hazardului combinaional sau pentru obinerea toleranei la defeciuni.
Erorile redundante nu reprezint o problem n funcionarea circuitului (acesta implementeaz aceeai funcie n
prezena i n absena unei astfel de erori), ns afecteaz testabilitatea datorit fenomenului de mascare.
O eroare redundant g mascheaz o eroare f dac f este detectabil ns n prezena simultan a erorilor f i g, aceasta
devine redundant:
Ansamblul DSP-RAM-CNA formeaz un sintetizator, capabil s genereze stimuli analogici: cureni, tensiuni, forme de
und, etc.
Ansamblul CAN-RAM-DSP formeaz un digitizor, capabil s achiziioneze si apoi s analizeze semnale analogice.
Sintetizatorul i digitizorul reprezint emulatoare numerice ale unor instrumente analogice, care permit echipamentului
de test s opereze cu vectori numerici n locul mrimilor analogice.
O caracteristic important a testoarelor cu procesare numeric este sincronizarea emulatoarelor pe baza unui tact unic.
Figura prezint o structur tipic de distribuie a tactului ntr-un echipament pentru testarea circuitelor de semnal mixt.
Oscilatorul cu cuar (OSC) produce tactul de frecven medie (zeci de MHz), aplicat mai multor bucle cu calare pe faz
(PLL = Phase Locked Loop) care genereaz multiple semnale de tact sincronizate, avnd diferite frecvene, de ordinul
213
sutelor de megahertzi. Att sintetizatoarele ct i digitizoarele pot opera cu oricare dintre aceste semnale de tact, a cror
frecven se poate reduce prin utilizarea unor divizoare de tact (DT). Factorii de multiplicare ai oscilatoarelor PLL i
factorii de divizare ai blocurilor DT sunt alei astfel nct ntre frecvenele cu care pot opera sintetizatoarele i
digitizoarelor s se stabileasc rapoarte de numere ntregi, de obicei prime ntre ele, necesare pentru eantionarea
coerent. Sincronizarea n faz a tuturor semnalelor de tact i impunerea ntre acestea a unor rapoarte de numere ntregi
poart denumirea de sincronizare M/N.
Programnd sursa de tensiune pentru generarea unei valori Vref apropiate de valoarea ce se dorete a fi msurat
(determinat de codul aplicat la intrarea CNA), se msoar o diferen de tensiuni amplificat de un amplificator de
instrumentaie (AI). Pentru msurarea unor valori absolute ale Vx este necesar ca Vref s aib precizie ridicat, ns
pentru msurarea unor variaii relative, cum e cazul neliniaritii difereniale, nu trebuie dect ca s fie stabil n
timp.
Ex: msurarea direct cu un digitizor ce opereaz pe 16 bii a tensiunii de la ieirea unui convertor pe 12 bii, ambele
avnd aceeai gam de variaie FSR. Cuanta digitizorului va fi de 16 ori mai mic dect cuanta CNA, astfel nct,
ignornd orice alt surs de eroare, precizia de msurare va fi 0.5LSBdigitizor= 3,125% LSBCNA .
S presupunem acum c se realizeaz msurarea indirect a erorilor de neliniaritate diferenial a CNA, iar gama de
variaie a digitizorului se stabilete la
FSR digitizor = 4LSB CNA
Cuanta digitizorului va fi n acest caz de 216/4 = 16 384 ori mai mic dect a CNA, astfel nct precizia de msurare,
considernd din nou doar eroarea de cuantizare a digitizorului, va fi de 0,003% LSBCNA.
Pentru msurarea DNL se vor face dou msurtori consecutive:
214
215