Sunteți pe pagina 1din 718

INTRODUCERE

Prelucrarea numeric\ a semnalelor (PNS) este un domeniu al


[tiin]ei care s-a dezvoltat foarte rapid `n ultimii 30 de ani, ca urmare a
progresului `nregistrat de tehnologia calculatoarelor [i fabricarea
circuitelor integrate. Prelucrarea numeric\ a semnalelor are aplica]ii `n
orice domeniu `n care informa]ia poate fi prezentat\ sub form\ numeric\.
Dintre acestea se amintesc:
1. Procesarea de imagini: facsimil, harta vremii prin satelit, anima]ie
etc.
2. Instrumenta]ie/control: analiz\ spectral\, controlul pozi]iei [i al
vitezei, compresie de date etc.
3. Vorbire/audio: recunoa[terea vocii, sinteza vorbirii, egalizare etc.
4. Militar: securitatea comunica]iilor, procesare radar, procesare
sonar, ghidarea proiectilelor etc.
5. Telecomunica]ii: anulare ecou, egalizare adaptiv\, conferin]e
video, comunica]ii de date etc.
6. Biomedical: scanare computer-tomografie, electroencefalografie,
electrocardiografie etc.
Aceast\ enumerare ilustreaz\ importan]a prelucr\rii numerice a
semnalelor `n diverse domenii de activitate. Cteva dintre avantajele
acestui mod de prelucrare a semnalelor sunt:
1. Acurate]e garantat\ determinat\ de num\rul de bi]i folosi]i `n
reprezentarea semnalului;
2. Reproductibilitate perfect\ se ob]in performan]e identice de la
unitate la unitate, dac\ nu variaz\ toleran]ele componentelor, de exemplu
o `nregistrare numeric\ poate fi copiat\ sau reprodus\ f\r\ vreo degradare
a calit\]ii semnalului;
3. Nu are abateri cu temperatura sau vechimea;
4. Sistemele de PNS pot fi realizate sub form\ de circuite integrate
care prezint\ siguran]\ crescut\, gabarit redus, putere mic\, cost mic;
5. Flexibiliate crescut\ sistemele de PNS pot fi programate [i
reprogramate pentru a realiza o varietate de func]ii, f\r\ modificarea
hardului;

6. Performan]e superioare sistemele de PNS pot realiza func]ii


inaccesibile prelucr\rii analogice, de exemplu ob]inerea unui r\spuns de
faz\ liniar\, implementarea de algoritmi pentru filtrarea adaptiv\.
Evident, exist\ [i dezavantaje ale PNS:
1. Vitez\ [i cost sistemele de PNS pot fi scumpe cnd sunt
implicate semnale de band\ larg\. ~n prezent, convertoarele
analog/numerice [i numeric/analogice sunt costisitoare sau nu au
suficient\ rezolu]ie pentru aplica]ii PNS de band\ larg\. Timpul necesar
conversiei limiteaz\ viteza de lucru. Obi[nuit, numai circuitele integrate
specializate pot procesa semnale `n domeniul MHz [i sunt scumpe.
Semnale de band\ mai mare de 100 MHz se prelucreaz\ numai analogic;
2. Timpul de proiectare uneori proiectarea unui circuit poate
consuma nejustificat de mult timp;
3. Problema lungimii finite a cuvintelor `n situa]iile de prelucrare
`n timp real, considera]ii economice impun ca algoritmii PNS s\ fie
implementa]i pe un num\r limitat de bi]i. Dac\ acesta nu este suficient
pentru a reprezenta variabilele, apar degrad\ri serioase ale performan]elor
circuitului. Sistemele numerice sunt afectate de zgomotul de cuantizare al
convertoarelor analog/numerice, care este cu att mai mare cu ct
num\rul de bi]i folosit `n reprezentarea e[antioanelor semnalului de
intrare este mai mic. Mai mult, `n timpul prelucr\rii, datorit\ opera]iei de
rotunjire, apare un zgomot care, prin acumulare, poate conduce la
instabilitate pentru sistemele de ordin superior.
Prelucrarea numeric\ a semnalelor implic\ reprezentarea,
transmisia [i prelucrarea semnalelor folosind tehnici numerice [i
procesoare numerice, deci, se poate spune c\ PNS se ocup\ cu
reprezentarea numeric\ a semnalelor [i utilizarea procesoarelor numerice
pentru a analiza, modifica sau extrage informa]ii din semnale.
De[i domeniul prelucr\rii numerice a semnalelor este foarte
dinamic, ajungndu-se, `n func]ie de aplica]ie la dezvoltarea unor
algoritmi [i metode de analiz\ foarte sofisticate, `n lucrarea de fa]\ se
urm\re[te prezentarea principiilor fundamentale care stau la baza
prelucr\rii numerice de semnal. Obiectivele acestei c\r]i constau `n
prezentarea unitar\ [i documentat\ a teoriei sistemelor discrete liniare [i
introducerea unor metode [i tehnici de analiz\ de baz\ folosite `n
prelucrarea numeric\ a semnalelor. Conceptele descrise `n aceast\ carte
pot fi `mp\r]ite `n patru categorii: analiz\, sintez\, transform\ri [i filtrare
liniar\. Semnalele [i sistemele se analizeaz\ `n domeniul timp [i frecven]\
pentru a le determina caracteristicile. ~n domeniul timp un filtru numeric
este caracterizat de r\spunsul la impuls {h[n]} . Suma de convolu]ie
2

permite determinarea ie[irii { y[n]} , cunoscute fiind secven]a de intrare


{x[n]} [i r\spunsul la impuls. Cunoa[terea r\spunsului la impuls permite
determinarea stabilit\]ii filtrului. Ecua]iile cu diferen]e constituie o
descriere alternativ\ a filtrelor `n domeniul timp, util\ `n implementarea
lor.
De obicei, specifica]iile filtrelor se dau `n domeniul frecven]\,
motiv pentru care va fi folosit\ transformata Fourier pentru examinarea
propriet\]ilor semnalelor [i sistemelor `n acest domeniu. Transformata
Fourier a r\spunsului la impuls {h[n]} determin\ func]ia de transfer
H ( ) a filtrului [i reprezint\ c[tigul filtrului la diferite frecven]e.
Transformata Fourier a unei secven]e {x[n]} define[te spectrul X ( ) al
acesteia. Transformata Fourier discret\ este folosit\ pentru analiza
spectral\ cu ajutorul calculatorului numeric, folosind algoritmi rapizi de
calcul. Tot pentru analiza semnalelor [i sistemelor discrete se folose[te o
tehnic\ mai general\ oferit\ de transformata Z, cu ajutorul c\reia se
ob]ine o interpretare facil\ a r\spunsului `n frecven]\ al filtrului. Func]ia
de sistem H(z) este transformata Z a r\spunsului la impuls. Metodele de
sintez\ implic\ aflarea coeficien]ilor pentru satisfacerea specifica]iilor
dorite ale filtrelor. De asemenea, sunt prezentate cteva metode simple de
ob]inere a unor filtre numerice selective de frecven]\.
~n capitolul 1 sunt descrise opera]iile de baz\ ce intervin `n
conversia analog - numeric\ a semnalelor analogice, este descris `n
detaliu procesul de e[antionare a unui semnal armonic [i este explicat
fenomenul alias.
Capitolul 2 este dedicat caracteriz\rii [i analizei sistemelor
discrete liniare invariante `n timp `n domeniul timp. Este intodus\ suma
de convolu]ie [i se efectueaz\ clasificarea sistemelor `n func]ie de
caracteristicile lor. Sistemele discrete liniare invariante `n timp sunt
descrise cu ajutorul ecua]iilor cu diferen]e [i se determin\ r\spunsul
acestora la semnale de intrare arbitrare `n condi]ii ini]iale nenule.
~n capitolul 3 se introduc transformata Z bilateral\ [i unilateral\ [i
propriet\]ile acestora. Se ilustreaz\ folosirea transformatei Z `n
caracterizarea sistemelor liniare invariante `n timp [i se reformuleaz\
propriet\]ile de cauzalitate [i stabilitate ale sistemelor `n func]ie de
transformata Z. Transformata Z unilateral\ este folosit\ pentru
determinarea r\spunsului unui sistem discret, liniar, invariant `n timp la
un semnal de intrare dat, `n condi]ii ini]iale.
Capitolul 4 trateaz\ analiza semnalelor `n domeniul frecven]\.
Sunt introduse seria [i transformata Fourier ca instrumente de analiz\ a
3

semnalelor periodice, respectiv aperiodice, att `n domeniul analogic, ct


[i discret.
~n capitolul 5 sistemele discrete liniare invariante `n timp sunt
caracterizate `n domeniul frecven]\. Sunt prezentate cteva metode simple
de proiectare a unor filtre de tip FIR [i IIR.
Capitolul 6 este dedicat e[antion\rii semnalelor [i spectrelor lor [i
problematicii refacerii acestora din e[antioanele prelevate. Se are `n
vedere e[antionarea `n domeniul timp att a semnalelor analogice
aperiodice [i periodice, ct [i a semnalelor discrete. De asemenea, se
trateaz\ e[antionarea spectrelor semnalelor aperiodice analogice [i
discrete [i refacerea lor.
~n capitolul 7 este tratat\ transformata Fourier discret\: propriet\]i,
leg\tura cu alte transformate [i aplica]ii ale DFT `n filtrarea liniar\.
Capitolul 8 introduce algoritmi rapizi pentru calculul convoluiei
i ai transformatei Fourier rapide.
n capitolul 9 sunt introduse diverse structuri de implementare ale
filtrelor numerice care, n practic, au comportri diferite la cuantizarea
coeficienilor filtrelor.
Capitolele 10 i 11 prezint separat metode de proiectare folosite
pentru obinerea filtrelor cu rspuns finit i, respectiv, infinit la impuls.
Capitolul 12 analizeaz efectul lungimii finite a reprezentrii
valorilor numerice asupra performanelor sistemelor, n diverse structuri
de implementare.
n capitolul 13 sunt introduse metodele neparametrice i
parametrice de estimare a spectrului de putere al semnalelor.
Capitolul 14 trateaz problematica prediciei liniare i a filtrrii
liniare optimale.
n capitolul 15 sunt introduse noiuni fundamantale referitoare la
dispozitivele de modificare a frecvenei de eantionare a semnalelor si
analiza multirezoluie a semnalelor prin descompunerea subband.
Cartea de fa]\ cuprinde 53 de exemple [i 86 de probleme, selectate
`n scopul ilustr\rii aspectelor teoretice prezentate, [i se adreseaz\ att
studen]ilor de la studii de zi sau aprofundate, ct si speciali[tilor doritori
de o tratare unitar\ a unui domeniu att de dinamic.

CAPITOLUL 1

NO}IUNI {I OPERA}II DE BAZ| ~N


CONVERSIA ANALOG/NUMERIC| {I
NUMERIC/ANALOGIC|
1.1. Semnale
Prin semnal se `n]elege orice cantitate sau calitate fizic\ care
variaz\ cu timpul, spa]iul sau oricare alt\ sau alte variabile independente
[i transport\ sau con]ine informa]ie.
A[a, de exemplu, dac\ un vapor circul\ pe timp de cea]\, pentru a
evita o eventual\ coliziune cu altul, el emite semnale sonore care,
recep]ionate de alte nave, "aduc" informa]ii cu privire la prezen]a [i
pozi]ia sa.
Semnalele au natur\ fizic\ foarte divers\: biologice, acustice,
mecanice, electrice, chimice, video etc.
Metodele folosite `n prelucrarea semnalelor sau `n analiza
r\spunsului unui sistem la un anumit tip de semnal depind de natura [i
caracteristicile semnalelor, motiv pentru care se va prezenta o clasificare a
acestora.

1.1.1. Semnale multidimensionale [i multicanal


De[i semnalele pot fi reprezentate `n multe moduri, `n toate
cazurile informa]ia este con]inut\ `n modelul adoptat. Matematic,
semnalele sunt modelate ca func]ii de una sau mai multe variabile
independente.
De exemplu, un semnal sonor este reprezentat ca o func]ie de o
singur\ variabil\, [i anume, timpul. Dac\, `ns\, se consider\ o `nregistrare
fotografic\ alb-negru, caracterizat\ `n fiecare punct de o nuan]\ de gri,
aceasta constituie "valoarea" semnalului. Ea nu depinde de timp, ci de
pozi]ia punctului investigat `n cadrul imaginii. ~n acest caz, semnalul nu
are o evolu]ie temporal\, ci se modific\ `n func]ie de coordonatele
6

carteziene ale punctului din imagine, fiind o func]ie de dou\ variabile


spa]iale I(x,y).
Evident, se poate imagina o succesiune de fotograme, cum este
cazul peliculei cinematografice, caz `n care nuan]ele de gri `ntr-un punct
se modific\ de la o fotogram\ la alta. ~n acest caz, semnalul este att
func]ie de coordonatele carteziene, ct [i de timp [i poate fi descris de un
semnal tridimensional I(x,y,t).
Un semnal se nume[te monodimensional dac\ este reprezentat `n
func]ie de o singur\ variabil\ independent\.
Un semnal se nume[te M-dimensional dac\ valoarea sa este o
func]ie de M variabile independente. Semnalul generat de o singura surs\
sau senzor [i care este o func]ie de una sau mai multe variabile
independente se nume[te semnal monocanal sau scalar.
~n unele aplica]ii, semnalele pot fi generate de mai multe surse sau
senzori. Astfel de semnale pot fi reprezentate `n form\ vectorial\. Un
exemplu `n acest sens `l constituie accelera]ia determinat\ de un cutremur
de p\mnt, care este rezultatul suprapunerii a trei tipuri de unde elastice:
primar\, secundar\ [i de suprafa]\.
Multe surse genereaz\ semnale scalare care, uneori, din
considerente matematice sau de nota]ie, sunt tratate drept componente ale
unui vector. Un exemplu `n acest sens `l constituie ie[irea unui
electrocardiograf care are trei electrozi (senzori) plasa]i `n trei locuri
diferite pe piele. Dac\ not\m cu sk(t), k = 1, 2, 3, semnalul electric de la
electrodul k drept func]ie de timp, setul de p = 3 semnale poate fi
reprezentat ca
s1 (t )
S 3 (t ) = s2 (t )
(1.1)
s3 (t )
O astfel de matrice sau vector de semnale reprezint\ un semnal
multicanal.
~n continuare, se consider\ cazul unei imagini TV color, care
poate fi descris\ de trei func]ii de forma Ir(x,y,t), Ig(x,y,t) [i Ib(x,y,t)
corespunz\toare str\lucirii celor trei culori fundamentale (ro[u, verde [i
albastru) ca func]ii de timp [i coordonatele pixelului. Imaginea TV color
reprezint\ astfel un semnal tricanal, tridimensional, ce poate fi reprezentat
de vectorul

I r ( x, y , t )
I ( x, y, t ) = I g ( x, y, t )
(1.2)
I b ( x, y, t )
~n cele ce urmeaz\, se va opera cu semnale unicanal,
unidimensionale, reale sau complexe, care vor fi numite simplu, semnale.
~n electronica uzual\, variabila dup\ care se produce modificarea
valorii semnalului este de obicei timpul, motiv pentru care se va considera
acest caz, marcnd explicit excep]iile. ~n vederea prelucr\rii semnalului se
utilizeaz\ circuite electronice, analogice sau numerice. ~n consecin]\, un
semnal de o natur\ fizic\ oarecare, s\ zicem biologic\, trebuie mai `nti
"tradus" `ntr-un semnal electric sau, `n general, `ntr-un semnal u[or
prelucrabil ulterior. Acesta trebuie s\ reflecte ct mai fidel caracteristicile
semnalului original. Conversia unui semnal de natur\ oarecare `n semnal
electric se realizeaz\ cu ajutorul unui traductor.
Evident, va ap\rea [i problema invers\. De exemplu, n orientarea
unei antene pe o anumit\ direc]ie, semnalul electric de comand\ trebuie
s\ fie tradus `n pozi]ia unghiular\ cerut\ antenei prin intermediul unui
"sistem" care admite o comand\ electric\ (tensiune sau curent) [i
furnizeaz\ ca r\spuns o mi[care mecanic\ de unghi determinat.

1.1.2.
discret

Semnale definite `n timp continuu [i `n timp

Semnalele pot fi clasificate dup\ caracteristicile variabilei


independente [i valorile pe care le iau. Variabila independent\ poate fi
continu\ sau discret\. Semnalele definite `n timp continuu sunt definite
pentru orice valoare a variabilei independente dintr-un interval finit sau
infinit. Acestea mai sunt cunoscute sub numele de semnale analogice.
Considera]ii asupra amplitudinii semnalului vor fi f\cute `n paragraful
urm\tor.
Un exemplu de semnal definit `n timp continuu este reprezentat de
semnalul de forma
N

s (t ) = Ai (t ) sin[2Fi (t ) + i (t )]

(1.3)

i =1

unde {Ai(t)}, {Fi(t)} [i {i(t)} reprezint\ mul]imile amplitudinilor,


frecven]elor [i fazelor (posibil variante `n timp) ale sinusoidelor
componente [i N num\rul de componente. ~n figura 1.2a este
reprezentat un semnal definit `n timp continuu.
8

Este posibil ca un semnal definit `n timp continuu s\ nu fie o func]ie


continu\ de variabila independent\, cum este cazul semnalului reprezentat
`n figura 1.1.

Figura 1.1. Semnal discontinuu definit `n timp continuu

Spre deosebire de semnalele definite `n timp continuu, exist\ o a


doua mare categorie de semnale definite `n timp discret, care sunt definite
numai pentru valori discrete de timp. Acestea nu trebuie neap\rat s\ fie
echidistante, dar, `n practic\, din considerente de comoditate a trat\rii
matematice, de cele mai multe ori, se iau uniform distan]ate. Un semnal
definit `n timp discret poate fi reprezentat matematic de o secven]\ de
numere reale sau complexe.

Figura 1.2. Semnal definit `n timp discret (b) ob]inut prin e[antionarea
unui semnal analogic (a)

Pentru a putea prelucra un semnal cu ajutorul calculatorului


numeric este necesar\ discretizarea `n timp a semnalului definit `n timp
continuu, opera]ie denumit\ e[antionarea semnalului. E[antioanele
reprezint\ valorile pe care le ia semnalul la anumite momente de timp tn =
nTs , n Z, Ts fiind pasul sau perioada de e[antionare. Se poate norma
timpul tn prin `mp\r]irea la Ts, astfel `nct timpul (normat) este n, o
variabil\ discret\. Prin abuz de limbaj, variabila discret\ n este denumit\
timp discret, de[i este o m\rime adimensional\. ~n plus, aceast\ m\rime
poate proveni [i dintr-un semnal care nu are evolu]ie temporal\. ~n figura
1.2a se prezint\ un semnal xa(t) ce evolueaz\ `n timp continuu t. Din el se
preleveaz\ e[antioane la momentele nTs, rezultnd semnalul x[n] `n timp
9

discret, n Z. Semnalul x[n] nu este definit dect la valori `ntregi ale


timpului discret n, ob]inut prin normare cu Ts. Valoarea semnalului discret
la un moment n este egal\ cu valoarea semnalului analogic la momentul
de e[antionare nTs, adic\
xa (nTs ) x[n]
(1.4)
unde prin []
. s-a indicat faptul c\ variabila este discret\.
~n mod asem\n\tor, se poate imagina c\ cele dou\ coordonate x [i
y ale unei `nregistr\ri fotografice se discretizeaz\ cu pa[ii x [i y,
ob]inndu-se coordonatele punctelor de e[antionare sub forma unei grile
(mx , ny), unde m Z [i n Z. Dup\ normare, `n plan rezult\
coordonatele (m , n).
~n practic\ exist\ [i semnale intrinsec definite `n timp discret, cum
ar fi indicele de burs\; un alt exemplu ar fi cel care indic\, la o mul]ime
finit\ de persoane procentul din acestea care au publicat 0 c\r]i, o carte, 2
c\r]i [.a.m.d., ca `n figura 1.3. "Semnalul" care arat\ procentul de
persoane ce au n c\r]i publicate este un semnal dependent intrinsec de o
variabil\ discret\ (num\r de c\r]i). El nu provine din e[antionarea unui
semnal analogic.
Nota]iile folosite `n literatura de specialitate pentru semnalele
definite `n timp discret sunt x[n] , x(n ) , x n sau chiar x(nTs ) . ~n
continuare, se va prefera [i utiliza nota]ia cu paranteze p\trate pentru
argument, pentru a sublinia caracterul discret al timpului.

Figura 1.3

1.1.3. Semnale cu valori continue [i discrete


Valorile pe care le poate lua un semnal pot fi continue sau
discrete. Dac\ un semnal poate lua toate valorile posibile dintr-un interval
finit sau infinit, el se nume[te cu valori continue. Acesta este cazul
10

semnalelor reprezentate `n figura 1.2 a [i b. Se observ\ c\ att semnalele


analogice ct [i cele discrete pot avea valori continue.
Dac\ un semnal ia valori dintr-o mul]ime finit\ de valori posibile,
el se nume[te cu valori discrete. ~n mod obi[nuit, valorile discrete sunt
exprimate ca multiplu `ntreg al diferen]ei dintre dou\ valori succesive
posibile. Procesul de transformare a unui semnal cu valori continue `ntrunul cu valori discrete se nume[te cuantizare. Att semnalele definite `n
timp continuu ct [i cele definite `n timp discret pot avea valori discrete.

Figura 1.4. Semnal cuantizat a) definit `n timp continuu, b) definit `n timp discret

~n figura 1.4a este reprezentat un semnal analogic cuantizat cu


cuanta q. ~n prelucrarea numeric\ a semnalelor, pe lng\ discretizarea
acestora `n timp, este necesar\ [i cuantizarea valorilor e[antioanelor,
deoarece calculatorul accept\ la intrare numere ce pot fi reprezentate cu
un num\r finit de cifre binare. Sunt cunoscute sub numele de semnale
numerice sau digitale cele pentru care att timpul sau, mai general,
variabila independent\, ct [i amplitudinea semnalului au valori discrete.
~n figura 1.4b este reprezentat un semnal numeric. Semnalele definite `n
timp discret se mai numesc [i semnale discrete, indiferent dac\ sunt sau
nu cuantizate.
Procesarea numeric\ a semnalelor se ocup\ cu transform\ri ale
semnalelor care sunt discrete att `n timp, ct [i `n amplitudine.
Procesoarele numerice analizeaz\, modific\ sau extrag informa]ii din
astfel de semnale.

1.1.4. Semnale deterministe [i aleatoare


Pentru analiza [i procesarea semnalelor este necesar\ descrierea
matematic\ a acestora, care se refer\, de fapt, la modelul ales pentru
semnal. Aceasta conduce la o alt\ clasificare important\ a semnalelor.
11

Un semnal se nume[te determinist dac\ poate fi descris `n mod


unic de o expresie matematic\ explicit\, o lege sau un tabel de atribuire.
Acest termen se folose[te pentru a eviden]ia faptul c\ orice valoare
trecut\, prezent\ sau viitoare a semnalului este cunoscut\ precis, f\r\ nici
o incertitudine.
~n practic\, exist\ semnale care fie nu pot fi descrise de formule
matematice convenabile din punctul de vedere al fidelit\]ii, fie aceast\
descriere este prea complicat\ pentru a fi utilizat\. Un semnal se nume[te
aleator dac\ evolu]ia acestuia `n timp este imprevizibil\. Analiza [i
descrierea semnalelor aleatoare se realizeaz\ cu ajutorul metodelor
statistice.

1.2.
Conceptul de frecven]\ pentru semnale
analogice [i discrete
~n scopul stabilirii unei analogii `ntre no]iunile de frecven]\
definite pentru semnale analogice [i discrete, se vor considera semnale
descrise de o func]ie armonic\.
a)
Fie xa (t ) o oscila]ie armonic\, descris\ matematic `n timp
continuu de rela]ia
xa (t ) = A cos(t + ) , < t < +
(1.5)
unde indicele a indic\ un semnal analogic.
Semnalul xa (t ) este complet caracterizat de trei parametri:
A amplitudinea oscila]iei;
pulsa]ia, exprimat\ `n radiani/secund\;
faza, exprimat\ `n radiani.
M\rimea este legat\ de frecven]a F, exprimat\ `n cicluri/perioad\, prin
rela]ia
2
= 2F =
(1.6)
Tp
unde T p este perioada oscila]iei.
Cu (1.6), rela]ia (1.5) se mai scrie
< t < +
(1.7)
xa (t ) = A cos(2Ft + ) ,
Prin abuz de limbaj, pulsa]ia este uneori denumit\ tot
frecven]\, dar cu specificarea unit\]ii de m\sur\ de radiani/secund\, `n
timp ce F are unitatea de m\sur\ cicluri/perioad\ sau Hz.
Semnalul dat de rela]ia (1.7) este caracterizat de urm\toarele
propriet\]i:
12

Pentru o frecven]\ fix\ F, xa (t ) este periodic, de perioad\


1
(1.8)
fundamental\ T p = , adic\
xa (t + T p ) = xa (t )
F
2.
Semnalele armonice cu frecven]e distincte sunt distincte.
3.
Cre[terea frecven]ei semnalului are ca rezultat ob]inerea mai
multor perioade ale semnalului `n acela[i interval de timp.
Semnalele armonice pot fi exprimate cu ajutorul func]iilor
exponen]iale [i invers, utiliznd rela]ia lui Euler
e j ( t + ) = cos(t + ) j sin(t + )
(1.9)
Rezult\ atunci
A
A
xa (t ) = A cos(t + ) = e j ( t + ) + e j ( t + )
(1.10)
2
2
Se observ\ folosirea unui termen ce con]ine pulsa]ie negativ\. Aceasta se
utilizeaz\ datorit\ comodit\]ii de calcul pe care o ofer\ exponen]ialele
(reproducere prin integrare sau derivare). Termenul corespunz\tor
pulsa]iei pozitive determin\ un fazor ce se rote[te `n sens opus acelor de
ceasornic cu viteza unghiular\ , iar cel cu pulsa]ie negativ\, un fazor ce
se rote[te `n sens orar cu aceea[i vitez\ unghiular\.
b)
Fie semnalul armonic discret
x[n] = A cos(n + ) ,
nZ
(1.11)
unde A este amplitudinea sinusoidei, pulsa]ia, faza.
Pentru a p\stra analogia cu cazul semnalelor analogice, pulsa]ia se
m\soar\ `n radiani/e[antion, iar faza `n radiani. Tot prin abuz de limbaj,
pulsa]iei i se mai spune frecven]\, dar cu specificarea unit\]ii de
m\sur\. ~n locul pulsa]iei se poate folosi frecven]a f
= 2f
(1.12)
adic\
x[n] = A cos(2fn + ) , n Z
(1.13)
~n paragraful (1.3.1) se va stabili leg\tura dintre frecven]ele f [i F, dar
pentru moment se eviden]iaz\ cteva propriet\]i ale semnalului discret
x[n] dat de rela]ia (1.13), `n compara]ie cu cele stabilite pentru semnalul
analogic.
1.
Periodicitatea `n timp discret este definit\ prin rela]ia
x[n N ] = x[n] , n Z [i N `ntreg
(1.14)
Cea mai mic\ valoare pozitiv\ a lui N pentru care (1.14) este adev\rat\ se
nume[te perioad\ fundamental\.
Pentru ca semnalul dat de (1.13) de frecven]\ f0 s\ fie periodic
trebuie ca
1.

13

cos[2f 0 (n + N ) + ] = cos[2f 0 n + ]
(1.15) Rela]ia (1.15) este adev\rat\ dac\ [i numai dac\
2f 0 N = 2k
(1.16)
k
f0 =
(1.17)
sau, echivalent
N
adic\ f0 este un num\r ra]ional.
2.
Semnalele armonice discrete sunt identice dac\ pulsa]iile lor
difer\ printr-un multiplu `ntreg de 2 sau, echivalent, frecven]ele difer\
printr-un num\r `ntreg, adic\ semnalele
x k [n] = A cos( k n + ), k = 0,1,2,... ,
(1.18)
sunt identice, dac\
1
1
k = 0 + 2k ; < 0 , sau fk=f0+k, < f 0 , k=0,1,2,..(1.19)
2
2
Pe de alt\ parte, secven]ele corespunz\toare oric\rui semnal armonic
discret cu pulsa]ia cuprins\ `n intervalul ( , ] sau frecven]a `n
1 1
1 1
intervalul , sunt distincte. Intervalele ( , ] [i , se
2 2
2 2
numesc fundamentale. Datorit\ periodicit\]ii descrise de (1.19), orice
secven]\ armonic\ de alt\ pulsa]ie sau frecven]\ dect cele din intervalul
fundamental este identic\ cu o secven]\ armonic\ avnd pulsa]ia
1
1
< 0 , respectiv frecven]a < f 0 . Din acest motiv
2
2
1
1

semnalele armonice ale c\ror frecven]e f k


se numesc alias-uri
2 2
ale semnalului armonic corespunz\tor frecven]ei f0. Adoptarea acestei
denumiri va fi justificata `n paragraful 1.3.1.
3.
Frecven]\ maxim\ de oscila]ie se atinge atunci cnd = (sau
1
1
= ) sau, echivalent, f = (sau f = ).
2
2

1.3. Conversia analog-numeric\ [i numeric-analogic\


Cele mai multe din semnalele de interes practic (vorbire,
biologice, seismice, radar, sonar, de comunica]ii, audio, video) sunt
analogice. Pentru a prelucra astfel de semnale cu metode numerice este
nevoie a le transforma mai `nti `ntr-o form\ numeric\, adic\ `ntr-o
14

secven]\ de numere xq [n] , cu o anumit\ precizie. Aceast\ opera]ie se


nume[te conversie analog-numeric\, iar dispozitivul care realizeaz\ acest
lucru se nume[te convertor analog-numeric (A/N). Dup\ prelucrarea
acestora, urmeaz\ adesea o nou\ conversie, numeric-analogic\ (N/A),
prin care datele numerice y[n] sunt transformate `ntr-o m\rime analogic\
yr (t ) .
Opera]iile descrise anterior sunt realizate de un sistem a c\rui
schem\ bloc este reprezentat\ `n figura 1.5.

Figura 1.5. Sistem discret pentru procesarea semnalelor analogice

Conversia analog-numeric\ poate fi v\zut\ ca un proces `n trei


etape, ilustrat `n figura 1.6.

Figura 1.6. P\r]ile componente ale unui convertor A / N

Cele trei etape ale conversiei A/N sunt:


1. E[antionarea, care const\ `n re]inerea valorilor semnalului definit `n
timp continuu la momente discrete de timp. Dac\ intrarea este xa (t ) ,
ie[irea din blocul de e[antionare este xa (nT ) = x[n] , unde T este perioada
de e[antionare.
2. Cuantizarea, prin care se aloc\ fiec\rui e[antion o valoare dintr-o
mul]ime finit\. Diferen]a dintre e[antionul necuantizat ( x[n] ) [i cel
cuantizat ( xq [n] ) reprezint\ eroarea de cuantizare.
3. Codarea, care reprezint\ atribuirea unei secven]e binare fiec\rui
e[antion cuantizat xq [n] . ~n practic\, exist\ circuite care realizeaz\ toate
aceste func]ii. Dup\ ce m\rimea xq [n] este prelucrat\ numeric, se ob]ine

m\rimea y[n] care, de obicei, este supus\ unei


conversie N/A, pentru a putea fi v\zut\, auzit\ etc.
15

opera]ii inverse, de

E[antionarea nu conduce la pierdere de informa]ii [i nici nu


introduce distorsiuni dac\ banda semnalului este limitat\ [i frecven]a de
e[antionare este adecvat aleas\ pentru a nu ap\rea suprapuneri sau
interferen]e spectrale, cunoscute [i sub numele de eroare de aliere sau
eroare alias [13].
Cuantizarea conduce la pierdere de informa]ie, fiind un proces
ireversibil care are ca rezultat distorsionarea semnalului. M\rimea
distorsiunilor depinde de num\rul de bi]i folosi]i `n procesul de conversie
A/N [29].

1.3.1. E[antionarea semnalelor analogice


Exist\ multe metode de a e[antiona un semnal analogic. ~n cele ce
urmeaz\, se va considera numai e[antionarea periodic\ sau uniform\,
care este cea mai `ntlnit\ `n practic\. Aceasta este descris\ de rela]ia
x[n] = xa (nT ) , n Z
(1.20)
unde x[n] este semnalul discret ob]inut prin re]inerea valorilor
semnalului analogic xa (t ) la fiecare T secunde. Aceast\ procedur\ este
ilustrat\ `n figura 1.7.

Figura 1.7. E[antionarea periodic\ a unui semnal analogic

Intervalul de timp T dintre dou\ e[antioane succesive se nume[te


perioad\ de e[antionare sau interval de e[antionare. Inversa acestei
m\rimi (1/T = Fs) se nume[te vitez\ sau rat\ de e[antionare
(e[antioane/secund\) sau frecven]\ de e[antionare (Hertz). E[antionarea
periodic\ implic\ existen]a unei rela]ii `ntre variabilele independente ale
semnalului analogic [i discret, adic\ `ntre t [i n.
n
t = nT =
(1.21)
Fs
16

~n consecin]\, va exista o rela]ie [i `ntre frecven]a F (sau ) a


semnalului analogic [i f (sau ) a semnalului discret. Pentru a stabili
aceast\ rela]ie, se consider\ un semnal analogic, de forma
(1.22)
xa (t ) = A cos(2Ft + )
care, e[antionat periodic cu Fs = 1/T e[antioane pe secund\, produce
semnalul
2nF

xa (nT ) = x[n] = A cos(2FnT + ) = A cos


(1.23)
+
Fs

Dac\ se compar\ (1.23) cu (1.13) se observ\ c\ frecven]ele F [i f sunt


legate prin rela]ia
F
f =
(1.24)
Fs
sau, echivalent,
= T
(1.25)
Rela]ia (1.24) justific\ numele de frecven]\ relativ\ sau
normalizat\, care se folose[te uneori pentru m\rimea f.
Se reaminte[te (paragraful 1.2) c\ domeniile `n care pot lua valori
m\rimile F [i pentru semnale analogice sunt
< F < + , < < + ,
(1.26)
`n timp ce, pentru semnale discrete, f [i iau valori `n domeniile
1
1
< f , <
(1.27)
2
2
~nlocuind (1.24) [i (1.25) `n (1.27), rezult\
F
F
1
1

= s <F s =
(1.28)
2T
2
2 2T
respectiv

= Fs < Fs =
(1.29)
T
T
Din cele prezentate anterior se observ\ c\ diferen]a esen]ial\ `ntre
semnalele definite `n timp continuu [i discret const\ `n domeniile de
valori ale frecven]elor F [i f sau [i .
E[antionarea periodic\ a semnalelor analogice implic\
transformarea domeniului infinit pentru frecven]\ F (sau ) `ntr-unul
finit pentru m\rimea f (sau ).

17

Deoarece cea mai mare frecven]\ a unui semnal discret este


1
= sau f = , rezult\ c\ folosind o frecven]\ de e[antionare Fs ,
2
valorile maxime corespunz\toare pentru F [i sunt
F
1

, max = Fs =
(1.30)
Fmax = s =
2 2T
T

Figura 1.8. Rela]ia dintre f [i F

E[antionarea poate introduce ambiguitate atunci cnd Fs < 2 | F | ,


deoarece cea mai mare frecven]\ a unui semnal analogic ce poate fi unic
F
1
determinat\ cnd semnalul este e[antionat cu Fs = este Fmax = s sau
T
2
max = Fs . Rela]ia dintre frecven]ele din domeniul continuu [i discret
este ilustrat\ `n figura 1.8.
Exemplul 1.1.
Pentru a eviden]ia ambiguitatea ce poate fi introdus\ prin
e[antionare, se consider\ cazul a dou\ semnale analogice armonice:
x1 (t ) = cos 2 (10 )t
(1.31)
x2 (t ) = cos 2 (50)t
care sunt e[antionate la Fs = 40 Hz.
Semnalele discrete corespunz\toare sunt

10
x1 [n ] = cos 2 n = cos n
2
40
(1.32)
5
50
x2 [n ] = cos 2 n = cos n
2
40
18

5
n
n

n = cos 2n + = cos , deci x2 [n] = x1 [n] .


2
2
2

Se observ\ c\ semnalele discrete ob]inute prin e[antionarea lui

x1 (t ) [i x2 (t ) cu Fs = 40 Hz sunt identice [i, dat fiind semnalul cos n ,


2
exist\ ambiguitate `n a spune c\ el provine din x1 ( t ) sau x2 (t ) .
Deoarece x2 (t ) produce exact acelea[i e[antioane ca [i x1 (t ) prin
e[antionarea la Fs = 40 Hz, se spune c\ frecven]a F2 = 50 Hz este un
alias al frecven]ei F1 = 10 Hz la viteza de e[antionare de 40 Hz. Termenul
provine din limba englez\, avnd sensul de "a se da drept altcineva",
`ncet\]enit [i n limba romn\. ntr-adev\r, n domeniul fundamental
discret (1 / 2,1 / 2] intr\ frecven]e ce provin din e[antionarea unor
semnale analogice ale c\ror frecven]e nu apar]in intervalului
( Fs / 2, Fs / 2] . Apari]ia acestor aliasuri determin\ fenomenul de
interferen]\ sau suprapunere spectral\, fenomen `ntlnit [i sub
denumirea de aliere.
Frecven]a F2 nu este singurul alias al frecven]ei F1 la frecven]a de
e[antionare de 40 Hz. Toate semnalele de forma cos 2 (F1 + 40k )t , k =
1,2,3... , e[antionate la frecven]a Fs = 40 Hz produc acelea[i e[antioane [i,
`n consecin]\, fecven]ele Fk=F1+40k sunt aliasuri pentru F1 = 10 Hz, la
frecven]a de e[antionare Fs=40Hz.
~n general, e[antionarea semnalului analogic
xa (t ) = A cos(2F0 t + )
(1.33)
1
cu frecven]a de e[antionare Fs = , conduce la semnalul discret
T
x[n] = A cos(2f 0 n + )
(1.34)
F
unde f 0 = 0 este frecven]a relativ\ a sinusoidei.
Fs
1
Dac\ se impune Fs 2 F0 , rezult\ f 0 , caz `n care rela]ia
2
dintre F0 [i f0 este bijectiv\ [i, deci, este posibil\ refacerea semnalului
analogic xa(t) din e[antioanele x[n] .
Dac\, `ns\, semnalele
xa (t ) = A cos(2Fk t + ) ,
(1.35)
unde
Dar cos

19

Fk = F0 + kFs , k = 1, 2, ...
sunt e[antionate cu frecven]a Fs, semnalul e[antionat ob]inut este

(1.36)

F + kFs

F
x[n] xa (nT ) = A cos 2 0
n + = A cos 2n 0 + + 2kn =
Fs
Fs

, (1.37)
= A cos(2f 0 n + )
adic\ un num\r infinit de semnale armonice analogice sunt reprezentate
dup\ e[antionare de acela[i semnal discret. Cu alte cuvinte, dat fiind x[n]
de rela]ia (1.37), nu se poate, `n general, preciza semnalul analogic din
care a fost ob]inut. Deoarece frecven]a Fs/2 a unui semnal armonic
analogic este cea mai `nalt\ frecven]\ ce poate fi unic reprezentat\ la
frecven]a de e[antionare Fs, transformarea oric\rei frecven]e (alias) mai
mari ca Fs/2 ( = ) `ntr-o frecven]\ echivalent\ mai mic\ dect Fs/2 se
poate face `n felul urm\tor: se ia punctul de la Fs/2 ca pivot [i se "reflect\"
sau se "pliaz\" frecven]a alias `n domeniul -Fs/2<FFs/2. Frecven]a Fs/2
se nume[te frecven]\ de reflexie (folding). La acela[i rezultat se ajunge [i
prin sc\derea unui multiplu `ntreg de Fs din frecven]a Fk.

1.3.2. Teorema e[antion\rii


Pentru a se putea stabili perioada de e[antionare T sau, echivalent,
frecven]a de e[antionare Fs optim\ pentru refacerea semnalului analogic
din cel e[antionat, trebuie cunoscut\ frecven]a cea mai `nalt\ din spectrul
semnalului analogic. ~n multe cazuri acest lucru este posibil. De exemplu,
frecven]a componentelor unui semnal vocal este mai mic\ de 3000 Hz, un
semnal TV con]ine componente importante de frecven]\ pn\ la 5 MHz.
Informa]ia acestor semnale este con]inut\ `n amplitudinile, frecven]ele [i
fazele componentelor sale. Uneori `ns\ nu se cunosc astfel de detalii
despre semnal (valoarea maxima a fecven]ei), scopul prelucr\rii fiind
chiar ob]inerea acestora.
Dac\ se cunoa[te valoarea maxim\ a frecven]ei componentelor
unei clase de semnal (vocal, TV etc.), se poate specifica frecven]a de
e[antionare pentru transformarea semnalului analogic `n semnal discret,
astfel `nct s\ poat\ fi realizat\ f\r\ ambiguitate [i transformarea invers\.
Fie un semnal analogic reprezentat ca o sum\ de sinusoide de
diferite amplitudini, frecven]e [i faze
N

xa (t ) = Ai cos(2Fi t + i )
i =1

20

(1.38)

unde N este num\rul componentelor, Ai amplitudinea componentelor,


Fi frecven]ele componentelor, i fazele componentelor.
~n cadrul unei clase de semnale (de exemplu cel vocal), frecven]a
maxim\ variaz\ lent de la realizare la realizare (de exemplu de la vorbitor
la vorbitor) [i trebuie determinat\ valoarea maxim\ posibil\ Fmax.
Din paragraful precedent se [tie c\ cea mai mare frecven]\ a
semnalului analogic care poate fi reconstruit\ f\r\ ambiguitate atunci
cnd acesta este e[antionat cu frecven]a Fs este Fs/2. E[antionarea
componentelor a c\ror frecven]\ este mai mare de Fs/2 sau mai mic\
dect -Fs/2 are ca rezultat ob]inerea de e[antioane identice cu cele
F
F
corespunz\toare frecven]elor din intervalul s F s . Rezult\ atunci
2
2
c\ pentru evitarea ambiguit\]ilor ce rezult\ din aliere, frecven]a de
e[antionare trebuie aleas\, astfel `nct
Fs 2Fmax
(1.39)
unde Fmax este cea mai mare frecven]\ din spectrul semnalului analogic.
Cu aceast\ frecven]\ de e[antionare, orice component\ de frecven]\
Fi Fmax a semnalului analogic se transform\ `ntr-un semnal discret cu
frecven]a
F 1
1
< fi = i
(1.40)
2
Fs 2
sau, echivalent
(1.41)
< i = 2f i
~n concluzie, alegerea frecven]ei de e[antionare astfel `nct s\ fie
`ndeplinit\ rela]ia (1.39) asigur\ transformarea componentelor sinusoidale
ale semnalului analogic `n componente de frecven]\ ale semnalului
discret, care apar]in intervalului fundamental de frecven]\. ~n aceste
condi]ii, semnalul analogic poate fi reconstruit din e[antioanele sale. ~n
continuare se va enun]a teorema e[antion\rii, demonstra]ia sa fiind dat\ `n
paragraful 6.1.2.

Teorema e[antion\rii
Dac\ frecven]a cea mai `nalt\ con]inut\ `ntr-un semnal analogic
xa(t) este Fmax = B [i semnalul este e[antionat cu o frecven]\
Fs 2 Fmax = 2 B , atunci semnalul xa(t) poate fi ref\cut din e[antioanele
sale, folosind func]ia de interpolare ideal\
21

sin Fs t
Fs t
caz `n care semnalul analogic xa(t) este dat de rela]ia
+
n
n
xa (t ) = xa g t ,
n =
Fs Fs
g (t ) =

(1.42)

(1.43)

n
unde xa = xa (nT ) x[n] sunt e[antioanele lui xa(t).
Fs
Dac\ e[antionarea se realizeaz\ la frecven]a minim\ Fs = 2B, rela]ia
(1.43) devine
n

sin
2

B
t

+
n
2B
xa (t ) = xa
(1.44)

n =
2 B 2B t n

2B
Frecven]a de e[antionare FN = 2B = 2Fmax poart\ numele de
frecven]\ Nyquist. Rela]ia (1.43) se nume[te formula de interpolare
ideal\ pentru reconstruc]ia semnalului analogic xa(t) din e[antioanele sale.
Se observ\ c\ `n fiecare punct de e[antionare la formarea semnalului
contribuie o singur\ func]ie de interpolare. ~n intervalul dintre dou\
e[antion\ri, la formarea semnalului contribuie toate func]iile de
interpolare, a[a cum este ilustrat `n figura 1.9. Conform rela]iilor (1.43) [i
(1.44), refacerea semnalului analogic este complicat\, deoarece presupune
o sum\ ponderat\ infinit\ a func]iei de interpolare g(t) [i a versiunilor sale
`ntrziate. Datorit\ acestei complexit\]i, rela]iile (1.43) [i (1.44) prezint\
`n principal interes teoretic, `n practic\ folosindu-se metode de interpolare
mai simple. Subiectul va fi reluat [i tratat pe larg `n capitolul 6.

Figura 1.9. Reconstruc]ia semnalului analogic prin interpolare ideal\

Exemplul 1.2.
Se consider\ semnalul analogic
22

xa (t ) = 3 cos 50t + 10 sin 300t cos100t . S\ se determine frecven]a


Nyquist pentru semnal.
Frecven]ele prezente `n semnalul analogic xa(t) sunt:
F1 = 25 Hz, F2 = 150 Hz, F3 = 50 Hz.
Fmax = 150 Hz [i, conform rela]iei (1.39), Fs 2Fmax = 300 Hz. Frecven]a
Nyquist este FN = 2Fmax = 300 Hz.
Discu]ie. Se observ\ c\ prin e[antionarea componentei de semnal
10 sin 300t cu FN = 300 Hz rezult\ semnalul discret 10 sin n , care este
egal cu zero. Aceasta `nseamn\ c\ semnalul sinusoidal a fost e[antionat `n
punctele `n care valoarea sa era egal\ cu zero [i aceast\ component\ va
disp\rea din semnalul discret. Aceast\ situa]ie se poate evita `n dou\
moduri:
a) se introduce un offset de `n sinusoida respectiv\, caz `n care rezult\
semnalul 10 sin (300t + ) care, e[antionat la FN = 300 Hz, produce
e[antioanele
x[n] = 10 sin (n + ) = 10 sin n cos + cos n sin = ( 1) 10 sin
Pentru 0 [i e[antioanele semnalului vor fi diferite de zero.
b) se e[antioneaz\ semnalul la o frecven]\ superioar\ frecven]ei Nyquist,
metod\ care este agreat\ [i folosit\ frecvent `n astfel de cazuri.
n

Exemplul 1.3.
Fie semnalul analogic
xa (t ) = 3 cos 2000t + 5 sin 6000t + 10 cos12000t
a) S\ se determine frecven]a Nyquist pentru semnal.
b) Se presupune semnalul e[antionat la Fs = 5000 Hz. Ce semnal
discret se ob]ine dup\ e[antionare ?
c) Care este semnalul analogic ya(t) ce poate fi ref\cut din
e[antioanele de la punctul b), prin interpolare ideal\ ?
a) Frecven]ele prezente `n semnalul analogic sunt: F1 = 1 KHz, F2 = 3
KHz, F3 = 6 KHz.
Fmax = 6 KHz FN = 12 KHz
b) Dac\ semnalul analogic se e[antioneaz\ cu Fs = 5 KHz, dup\
e[antionare se ob]ine semnalul discret
23

n
1
3
6
x[n] = x a (nT ) = xa = 3 cos 2 n + 5 sin 2 n + 10 cos 2 n =
5
5
5
Fs
1
2
1
1
= 3 cos 2 n + 5 sin 2 1 n + 10 cos 2 1 + n = 3 cos 2 n +
5
5
5
5
2
1
1
2
+ 5 sin 2 n + 10 cos 2 n = 13 cos 2 n 5 sin 2 n .
5
5
5
5
c) Deoarece numai componentele de 1 KHz [i 2 KHz sunt prezente `n
semnalul e[antionat [i ]innd seama c\ t=nT sau n=t/T=tFs, semnalul
analogic ce poate fi ref\cut este: ya (t ) = 13cos2000t 5 sin 4000t , care,
evident, difer\ de cel original. Distorsionarea semnalului analogic original
a fost determinat\ de apari]ia erorii alias datorat\ frecven]ei de
e[antionare sc\zute folosite.

1.3.3. Cuantizarea semnalelor de amplitudine continu\


~n paragraful 1.3, s-a definit cuantizarea ca fiind procesul de
conversie a unui semnal discret, care ia valori `ntr-un domeniu continuu,
`ntr-un semnal discret ce ia valori `ntr-o mul]ime finit\ de valori posibile.
Eroarea introdus\ prin reprezentarea valorilor continue ale unui semnal
prin valori ale unei mul]imi finite se nume[te eroare de cuantizare.
Opera]ia de cuantizare a e[antionului x[n] se noteaz\ cu Q[x[n]] ,
iar valoarea e[antionului cuantizat ob]inut la ie[irea cuantizorului se
noteaz\ cu xq [n] , adic\
xq [n] = Q[x[n]]

(1.45)

Eroarea de cuantizare eq [n] se define[te ca diferen]a dintre valoarea


cuantizat\ [i cea necuantizat\ a e[antionului
eq [n] = xq [n] x[n ]
(1.46)
Pentru ilustrarea opera]iei de cuantizare se consider\ urm\torul exemplu:
0,9 n n 0
Fie semnalul definit `n timp discret x[n] =
, care a fost
n<0
0
ob]inut prin e[antionarea semnalului analogic xa (t) = 0,9 t , t > 0 cu o
frecven]\ Fs = 1 Hz. ~n tabelul 1 sunt prezentate valorile primelor 10
e[antioane ale lui x[n] cu n zecimale. Este evident c\ aceste valori nu vor
putea fi prelucrate de un calculator, deoarece numai un num\r finit de
zecimale pot fi stocate [i prelucrate. Dac\ se lucreaz\ numai cu o singur\
24

zecimal\, eliminarea celorlalte se poate face fie prin trunchiere, fie prin
rotunjire.
Tabelul 1
eq [n] = xq [n] x[n]
xq [n]
xq [n]
x[n]
n
trunchiere rotunjire
rotunjire
0
1,0
1,0
1,0
0,00
1
0,9
0,9
0,9
0,00
2
0,81
0,8
0,8
-0,01
3
0,729
0,7
0,7
-0,029
4
0,6561
0,6
0,7
0,0439
5
0,59049
0,5
0,6
0,00951
6
0,531441
0,5
0,5
-0,031441
7
0,4782969
0,4
0,5
0,0217031
8
0,43046721
0,4
0,4
-0,03046721
9
0,387420489
0,3
0,4
0,012579511

Figura 1.10. Ilustrarea opera]iei de cuantizare

Valorile permise pe care le poate lua semnalul cuantizat se numesc


nivele de cuantizare, iar distan]a dintre dou\ nivele de cuantizare
succesive se nume[te pas de cuantizare sau rezolu]ie.
25

Cuantizorul cu rotunjire atribuie fiec\rui e[antion al lui x[n]


valoarea celui mai apropiat nivel de cuantizare. Cuantizorul cu trunchiere
atribuie fiec\rui e[antion al lui x[n] nivelul de cuantizare inferior sau egal
e[antionului. Eroarea de cuantizare `n cazul rotunjirii este

eq [n] ,
(1.47)
2
2
iar `n cazul trunchierii
0 eq [n] < ,
(1.48)
unde este pasul de cuantizare.
Dac\ se noteaz\ cu xmin [i xmax valoarea minim\ [i respectiv,
maxim\ a lui x[n] [i cu L num\rul nivelelor de cuantizare, atunci
x xmin
= max
(1.49)
L 1
Valoarea
xmax xmin
reprezint\ domeniul dinamic al
cuantizorului.
Pentru exemplul considerat anterior, xmax = 1, xmin = 0, L = 11, ceea
ce conduce la = 0,1. Evident, cu ct num\rul nivelelor de cuantizare
cre[te, cu att pasul de cuantizare scade [i, implicit, [i eroarea de
cuantizare.
Cuantizarea semnalelor analogice are ca rezultat o pierdere de
informa]ie, datorit\ atribuirii aceluia[i nivel de cuantizare tuturor
e[antioanelor ce se g\sesc la distan]\ mai mic\ sau egal\ cu /2 de
nivelul de cuantizare (`n cazul rotunjirii).

1.3.4. Codarea e[antioanelor cuantizate


Prin procesul de codare, `n cadrul convertorului A/N se atribuie o
secven]\ binar\ unic\ fiec\rui nivel de cuantizare.
Dac\ exist\ L nivele de cuantizare, vor fi necesare L secven]e
binare distincte. Cu o lungime de b bi]i pe secven]\, numit\ [i cuvnt, se
pot forma 2b secven]e binare distincte. Este necesar ca 2b L sau,
echivalent, b log2 L, adic\ num\rul de bi]i necesar codorului este cel
mai mic `ntreg mai mare sau egal cu log2 L. Obi[nuit, convertoarele A/D
sunt pe 16 bi]i sau mai pu]in. Evident, cu cre[terea num\rului de bi]i
convertorul este mai scump, dar mai precis.
Calculatoarele lucreaz\ cu numere reprezentate prin secven]e de 0
[i 1. Lungimea acestor secven]e (lungimea cuvintelor) este fix\ [i de
obicei este 8, 12, 16 sau 32. ~n procesare, lungimea finit\ a cuvintelor
determin\ complica]ii `n analiza sistemelor de prelucrare numeric\ a
26

semnalelor. Pentru evitarea acestora, `n general, se neglijeaz\ faptul c\


semnalele numerice provin `n urma cuantiz\rii [i, unde este posibil sunt
tratate ca semnale e[antionate [24].

1.3.5. Conversia numeric-analogic\


Pentru a converti m\rimea numeric\ ob]inut\ `n urma prelucr\rii
numerice `n una analogic\, se folose[te un convertor numeric-analogic, a
c\rui sarcin\ este de a realiza o interpolare `ntre e[antioane.
Teorema e[antion\rii specific\ forma optim\ a func]iei de
interpolare pentru un semnal de band\ limitat\, dar, a[a cum s-a ar\tat
anterior, aceasta este prea complicat\ pentru a fi implementat\ practic.

Figura 1.12. Conversia N/A prin


interpolare liniar\

Figura 1.11. Conversia N/A (cu memorie)


de ordinul zero

Cel mai simplu convertor D/A este cel de ordinul zero [24], ca
re p\streaz\ valoarea constant\ a e[antionului pn\ la apari]ia urm\torului
e[antion. Aceast\ situa]ie este ilustrat\ `n figura 1.11.
O `mbun\t\]ire a semnalului analogic ref\cut `n urma conversiei
N/A se poate ob]ine cu un convertor cu interpolare liniar\ [24], care
furnizeaz\ un semnal ob]inut prin conectarea e[antioanelor succesive prin
linii, a[a cum este ar\tat `n figura 1.12. Problema refacerii semnalului din
e[antioanele sale va fi reluat\ `n capitolul 6.

1.4. Probleme propuse


1.1. S\ se stabileasc\ dac\ urm\toarele semnale a) pre]ul de `nchidere
la diferite produse la burs\; b)un film color; c) pozi]ia volanului unei
ma[ini `n mi[care, dac\ sistemul de referin]\ este legat de ma[in\; d)
27

pozi]ia volanului unei ma[ini `n mi[care, dac\ sistemul de referin]\ este


p\mntul; e) greutatea [i `n\l]imea unui copil m\surate `n fiecare lun\;
sunt 1) uni sau multidimensionale; 2) mono sau multicanal; 3) continue
sau discrete `n timp; 4) continue sau discrete `n amplitudine. S\ se
argumenteze pe scurt r\spunsul.
1.2. S\ se determine care din urm\toarele semnale sunt periodice [i
pentru cele care sunt s\ se determine perioada fundamental\.
a) x[n] = cos 0.01 n ;
30 n
b) x[n] = cos
;
105
c) x[n] = cos 3 n ;
d) x[n] = sin 3 n ;
62 n
e) x[n] = sin
;
10

f) xa (t ) = 3 cos 5 t + ;
6

g) x[n] = 3 cos 5 n + ;
6

h) x[n] = 2 exp j ;

6
n
n
i) x[n] = cos cos
;
18
8
n
n
n
+ .
j) x[n] = cos
sin
+ 3 cos
3
2
8
4
1.3. a) S\ se arate c\ perioada fundamental\ N p a semnalului

s k [n] = e j 2 k n N ; k = 0, 1, 2, . . .
este N p = N c.m.m.d.c(k , N ) , unde c.m.m.d.c. este cel mai mare divizor
comun al lui k [i N.
b) Care este N p pentru N = 7 ?
c) Care este N p pentru N = 16 ?
1.4. Se consider\ urm\torul semnal analogic sinusoidal
28

a)
b)

c)

d)

xa (t ) = 3 sin (100 t )
S\ se reprezinte x a (t ) pentru 0 t 30 ms ;
Semnalul xa (t ) este e[antionat cu Fs = 300 e[antioane/sec. S\ se
1
[i s\
determine frecven]a semnalului discret x[n] = x a (n T ) , T =
Fs
se arate c\ acesta este periodic.
S\ se calculeze valorile lui x[n] dintr-o perioad\ [i s\ se reprezinte
x[n] pe acela[i grafic cu xa (t ) . Care este perioada semnalului discret
?
Se poate g\si o frecven]\ de e[antionare astfel `nct semnalul x[n] s\
ating\ valoarea maxim\ de 3 ? Care este frecven]a minim\ pentru
acest lucru ?
1.5. Un semnal analogic xa (t ) = sin (480 t ) + 3 sin (720 t ) este

e[antionat cu o frecven]\ Fs = 600 e[antioane/sec.


a) S\ se determine frecven]a Nyquist pentru xa (t ) ;
b) S\ se determine frecven]a de folding;
c) Care sunt frecven]ele con]inute de semnalul discret x[n] ;
d) Dac\ x[n] este trecut printr-un convertor D/A ideal, ce semnal y a (t )
se reface ?
1.6. Pe un canal de comunica]ii se transmit cuvinte binare care
reprezint\ e[antioane ale semnalului de intrare
xa (t ) = 3 cos 600 t + 2 cos 1800 t .
Pe canal se pot transmite 10000 bi]i/secund\ [i fiec\rui e[antion de intrare
`i poate fi atribuit unul din 1024 nivele diferite de tensiune.
a) Care este frecven]a de e[antionare [i frecven]a de folding ?
b) Care este frecven]a Nyquist pentru semnalul xa (t ) ?
c) Care sunt frecven]ele semnalului discret ?
d) Care este rezolu]ia a convertorului ?
1.7. Semnalul discret x[n] = 6.35 cos

n este cuantizat cu o rezolu]ie


10

a) = 0.1 sau
b) = 0.02 . C]i bi]i sunt necesari convertorului A/D `n fiecare caz ?
29

CAPITOLUL 2

SEMNALE {I SISTEME DISCRETE


2.1. Semnale discrete
Dup\ cum a fost precizat `n capitolul 1, un semnal discret, x[n] ,
este o func]ie a c\rei variabil\ independent\ este un `ntreg [i poate lua
orice valoare real\ sau complex\.
Este de remarcat c\ un semnal discret nu este definit la momente
dintre dou\ e[antioane succesive [i este gre[it a considera c\ semnalul
x[n] este egal cu zero pentru valori ne`ntregi ale variabilei independente.
Obi[nuit, x[k ] define[te al k-lea e[antion al semnalului x[n] ,
indiferent dac\ acesta provine din e[antionarea unui semnal analogic sau
nu.
Un exemplu de semnal discret este reprezentat `n figura 2.1.

Figura 2.1. Reprezentarea grafic\ a unui semnal discret

Pe lng\ reprezentarea grafic\ a unui semnal discret, mai exist\


cteva moduri de descriere a acestora, care uneori sunt mai convenabile:
1. Reprezentarea func]ional\, de exemplu

30

n, n = 1,2,3,4,5

x[n] = 4, n = 6
0, n rest

(2.1)

2. Reprezentarea tabelar\, de exemplu


n
- - - - - - - - - - -2 -1 0 1 2 3 4 5 6 - - - - - - - x[n]

---------

0 0 1 4

2 0 0 0---------

3. Reprezentarea prin secven]e de numere


O secven]\ infinit\, cu originea timpului marcat\ prin () este
reprezentat\ sub forma
x[n] = {...0, 0, 1, 4, 1, 0, 0...}
(2.2)

O secven]\ x[n] ale c\rei valori sunt nule pentru n < 0, se reprezint\ sub
forma
x[n] = {0, 1, 4, 1, 0, 0...}
(2.3)

~n acest caz, originea timpului este primul element din stnga al secven]ei
[i marcarea sa este op]ional\.
O secven]\ discret\ de durat\ finit\ se reprezint\ ca
(2.4)
x [n ] = {3, -1, -2, 5, 0, 4, 1}

unde () reprezint\ originea timpului, adic\ x[0] .

2.1.1. Cteva semnale discrete elementare


~n prelucrarea numeric\ a semnalelor intervin adesea cteva
semnale de baz\, care vor fi definite dup\ cum urmeaz\:
1. Semnalul impuls unitate, care este descris de
1, n = 0
[n] =
(2.5)
0, n rest
[i este reprezentat `n figura 2.2.

31

Figura 2.2. Reprezentarea grafic\ a impulsului unitate

Impulsul unitate joac\ acela[i rol ca distribu]ia Dirac din cazul semnalelor
definite `n timp continuu, dar, spre deosebire de aceasta, [n] este o
func]ie obi[nuit\, nu o distribu]ie. O secven]\ arbitrar\, cum este cea din
figura 2.1, poate fi reprezentat\ ca o sum\ de impulsuri ponderate [i
`ntrziate
x[n] =

x[k ] [n k ] , k Z

(2.6)

k =

2. Semnalul treapt\ unitate, notat u[n], este definit de


1, n N
u[n] =
0, in rest
[i este reprezentat `n figura 2.3.

(2.7)

Figura 2.3. Reprezentarea grafic\ a treptei unitate

Leg\tura `ntre treapta unitate [i impulsul unitate este dat\ de rela]ia


u[n] =

[k ] , k Z

(2.8)

k =

care arat\ c\ valoarea treptei unitate la momentul n rezult\ prin


acumularea valorilor precedente ale impulsului unitate.
O alt\ reprezentare a treptei unitate este dat\ de suma de impulsuri unitate
`ntrziate

u[n] = [n k ] , n, k Z
k =0

32

(2.8')

Impulsul unitate poate fi reprezentat ca


[n] = u[n] u[n 1]
3. Semnalul ramp\ unitate, notat uzual cu u r [n] [i definit de
n, n N
u r [n] =
0, in rest
este reprezentat `n figura 2.4.

(2.9)
(2.10)

Figura 2.4. Reprezentarea grafic\ a semnalului ramp\ unitate

4. Semnalul exponen]ial, definit de


x[n] = a n , pentru n Z
(2.11)
Pentru a , x[n] este real [i este reprezentat `n figura 2.5 pentru
diferite valori ale lui a.
Dac\ parametrul a este complex, atunci se poate scrie
a = r e j0
(2.12)
unde r [i 0 reprezint\ modulul, respectiv faza m\rimii complexe a. ~n
acest caz
x[n] = r n e j0n = r n (cos 0 n + j sin 0 n )
(2.13)
Deoarece x[n] este complex, se poate reprezenta grafic partea sa real\
x R [n] = r n cos 0 n
(2.14)
ca func]ie de n [i, de asemenea, partea sa imaginar\
x I [n] = r n sin 0 n
(2.15)
tot ca func]ie de n.
Pentru un semnal complex discret x[n] se mai poate reprezenta
uneori numai modulul
x[n] = r n ,
(2.16)
de asemenea, ca func]ie de n.
Semnalul exponen]ial poate fi scris ca o sum\ de func]ii sinus [i
cosinus ponderate exponen]ial, iar o secven]\ sinusoidal\ ca o sum\ de
exponen]iale.
33

Se observ\ c\ partea real\ [i imaginar\ a lui e j0n variaz\ sinusoidal cu n.


Faptul c\ n este `ntotdeauna `ntreg conduce la diferen]e importante `ntre
propriet\]ile secven]elor exponen]iale complexe [i sinusoidale discrete [i
continue. Pentru a p\stra analogia cu cazul analogic, 0 reprezint\
pulsa]ia sinusoidei complexe [i se m\soar\ `n radiani/e[antion, iar n
num\rul de e[antioane.

Figura 2.5. Reprezentarea grafic\ a semnalului exponen]ial


pentru diverse valori ale lui a

Exponen]ialele complexe sau sinusoidele discrete sunt periodice


de perioad\ 2, deci va fi necesar\ numai considerarea pulsa]iilor din
domeniul fundamental < 0 sau 0 0 < 2 .

2.2. Clasificarea semnalelor discrete


2.2.1.
finit\

Semnale de energie finit\ [i semnale de putere

Energia unui semnal se define[te cu rela]ia


E=

x[n]

n =

34

(2.17)

Aceast\ m\rime poate fi calculat\ att pentru semnale reale, ct [i pentru


semnale complexe. Dac\ m\rimea E, definit\ de (2.17) este finit\,
semnalul se nume[te de energie finit\.
Puterea medie a unui semnal discret x[n] se define[te cu rela]ia
N
1
2
P = lim
x[n]
(2.18)

N 2 N + 1
n= N
Dac\ se define[te energia unui semnal pe un interval finit
N n N , ca fiind
EN =

x[n]

(2.19)

n= N

atunci, energia sa se poate exprima ca


E lim E N
N

(2.20)

[i puterea sa medie
1
EN
(2.21)
N 2 N + 1
Evident, dac\ E este finit, P = 0. Pe de alt\ parte, dac\ energia
unui semnal este infinit\, puterea poate fi finit\ sau infinit\. Dac\ puterea
este finit\ ([i diferit\ de zero) semnalul se nume[te de putere finit\.
P lim

Exemplul 2.1.
S\ se determine puterea semnalului treapt\ unitate. Pentru treapta
unitate, puterea este
N
1
N +1 1
P = lim
u 2 (n ) = lim
= ,

N 2 N + 1
N 2 N + 1
2
n =0
deci treapta unitate este un semnal de putere finit\. Din expresia energiei
se observ\ c\ pentru acest semnal energia este infinit\.
Cu defini]iile anterioare, rezult\ c\ semnalul ramp\ unitate, definit
de (2.10), nu este nici de putere, nici de energie finit\.

2.2.2. Semnale periodice [i neperiodice


Un semnal x[n] este periodic, de perioad\ N dac\ [i numai dac\
x[n N ] = x[n] , pentru n Z
N `ntreg
(2.22)
Cea mai mic\ valoare pozitiv\ a lui N pentru care rela]ia (2.22)
este `ndeplinit\ se nume[te perioad\ fundamental\.
Dac\ nu exist\ nici o valoare pentru N care s\ satisfac\ rela]ia
(2.22), semnalul se nume[te neperiodic sau aperiodic.
35

Energia unui semnal periodic este finit\ pe o perioad\,


0 n N 1 , dac\ x[n] ia valori finite `n acest interval. Energia
semnalelor periodice, pentru < n < , este infinit\. Puterea medie a
semnalelor periodice este finit\ [i este egal\ cu puterea medie pe o
perioad\, dac\ valorile semnalului `n acest interval sunt finite.
1 N 1
2
P = x[n]
(2.23)
N n =0
Evident, semnalele periodice ce pot lua numai valori finite sunt de
putere finit\.

2.2.3. Semnale pare (simetrice) [i impare (antisimetrice)


Un semnal real x[n] este par, dac\
x[ n] = x[n]
[i impar, dac\
x[ n] = x[n]

(2.24)
(2.25)

Se observ\ c\ pentru semnale impare x[0] = 0 .


~n figura 2.6 sunt prezentate dou\ semnale, unul par (a) [i unul
impar (b).

Figura 2.6. Exemple de semnal par (a) [i impar (b)

Orice semnal discret x[n] poate fi exprimat ca suma a dou\


componente, una par\ [i una impar\. ~ntr-adev\r, dac\ se define[te
1
xe [n] = [x[n] + x[ n]]
(2.26)
2
unde xe [n] satisface condi]ia de simetrie (2.24) [i
36

1
[x[n] x[ n]]
2
unde xo [n] satisface rela]ia (2.25), rezult\
x[n] = xe [n] + xo [n]
xo [n] =

(2.27)
(2.28)

2.3. Opera]ii simple cu semnale discrete


~n acest paragraf vor fi considerate cteva opera]ii simple
efectuate asupra variabilei independente [i a amplitudinii semnalului
discret.
Transform\ri ale variabilei independente
Deplasarea `n timp a semnalului. Un semnal x[n] poate fi
deplasat `n timp prin `nlocuirea variabilei independente n cu n k , unde
k Z. Pentru k > 0 , deplasarea `n timp are ca rezultat o `ntrziere a
semnalului cu k unit\]i de timp. Dac\ k < 0 , deplasarea `n timp determin\
un avans al semnalului cu k unit\]i de timp.
Exemplul 2.2.
Fie semnalul x[n] reprezentat `n figura 2.7.a. S\ se reprezinte
semnalele x[n 3] [i x[n + 2] . Semnalele x[n 3] [i respectiv x[n + 2]
sunt reprezentate `n figurile 2.7.b [i 2.7.c.
Dac\ semnalul x[n] este stocat pe un mediu oarecare este relativ
simplu de a modifica originea timpului prin introducerea unei `ntrzieri
sau a unui avans. Dac\, `ns\, semnalul este generat de un fenomen fizic ce
se desf\[oar\ `n timp real, nu este posibil\ realizarea unui avans, deoarece
acest lucru implic\ e[antioane ce nu au fost `nc\ generate.

Figura 2.7. Reprezentarea grafic\ a semnalului x[n] (a), a versiunii sale


`ntrziate cu 3 unit\]i (b) [i `n avans cu 2 unit\]i (c)
37

Reflectarea semnalului. O alt\ modificare a variabilei


independente, necesar\ `n aplica]ii, este aceea de a `nlocui variabila n cu
n, opera]ie numit\ reflectare (folding) a semnalului `n raport cu axa
ordonatelor. Aceast\ opera]ie este ilustrat\ `n figura 2.8.

Figura 2.8. Ilustrarea grafic\ a opera]iei de reflectare

Multe din opera]iile realizate `n PNS implic\ reflectarea [i deplasarea `n


timp. Opera]iile de reflectare [i deplasare `n timp nu sunt comutative.
Dac\ se noteaz\ opera]ia de deplasare `n timp cu TD [i cea de
reflectare cu TF, se poate scrie
TDk [ x[n]] = x[n k ], k > 0
TF [ x[n]] = x[ n]
TDk {TF [ x[n]]} = TDk [ x[ n]] = x[n + k ]
`n timp ce
TF {TDk [ x[n]]} = TF [ x[n k ]] = x[n k ]
Exemplul 2.3.
Fie semnalul x[n] reprezentat `n figura 2.9. S\ se reprezinte
semnalul ob]inut prin reflectarea [i deplasarea spre dreapta cu 2 unit\]i a
semnalului x[n] , precum [i cel ob]inut prin deplasarea spre dreapta cu 2
unit\]i [i apoi reflectarea semnalului x[n] .
Solu]ie. ~n figura 2.9 s-au reprezentat semnalele x1[n] = x[n] ,
adic\ x[n] reflectat, x2 [n] = x[n + 2] , adic\ x[n] reflectat [i deplasat 2
unit\]i spre dreapta, x3 [n] = x[n 2] , adic\ x[n] deplasat cu 2 unit\]i spre
dreapta [i x4 [n] = x[n 2] , adic\ x[n] deplasat 2 unit\]i spre dreapta [i
apoi reflectat.

38

Figura 2.9. Ilusrarea necomutativit\]ii opera]iilor de deplasare `n timp [i reflectare

Decimarea semnalului. Opera]ia de decimare a semnalului const\


`n `nlocuirea variabilei independente n cu Mn, unde M N , adic\
xM [n] = x[ Mn]
(2.29)
Aceast\ opera]ie se mai nume[te de scalare a axei timpului sau
sube[antionare [i este ilustrat\ `n figura 2.10a, pentru M=2.
Semnalul x2 [n] = x[2n] are o "derulare" mai rapid\ dect x[n] .
Interpolarea semnalului. Opera]ia de interpolare conduce la
ob]inerea unui semnal cu "derulare mai lent\", dat de rela]ia
n
x
, daca L divide pe n, L N
(2.30)
x L [n] = L

0, n rest
prin introducerea a L-1 valori nule `ntre dou\ e[antioane
consecutive ale semnalului x[n] . Aceast\ opera]ie este ilustrat\ `n figura
2.10b, pentru L=2.

39

Figura 2.10. Ilustrarea opera]iilor de a) decimare, b) interpolare

Sumarea, multiplicarea [i scalarea secven]elor


Suma a dou\ semnale x1 [n] [i x2 [n] este un semnal y[n] ale c\rui
valori la un anumit moment sunt egale cu suma valorilor semnalelor
implicate `n sum\ la acel moment
y[n] = x1 [n] + x2 [n], n Z
(2.31)
Produsul a dou\ secven]e se ob]ine efectund produsul e[antion cu
e[antion al secven]elor
y[n] = x1 [n] x2 [n] , n Z
(2.32)
Scalarea amplitudinii unui semnal cu o constant\ A se realizeaz\
prin multiplicarea valorii fiec\rui e[antion al semnalului cu constanta A
y[n] = A x[n], n Z
(2.33)

2.4. Sisteme discrete


Un sistem discret este un dispozitiv sau un algoritm care opereaz\
asupra unui semnal discret, numit intrare sau excita]ie, conform unor
reguli bine definite, pentru a produce un alt semnal discret, numit ie[irea
sau r\spunsul sistemului.
Semnalul de intrare x[n] este transformat de sistemul discret `n
semnalul de ie[ire y[n] , conform rela]iei
y[n] H [x[n]]
40

(2.34)

unde H reprezint\ transformarea (numit\ uneori [i operator) sau


procesarea realizat\ de sistem asupra lui x[n] pentru a produce y[n] .
Grafic, rela]ia (2.34) este reprezentat\ `n figura 2.11.

Figura 2.11. Reprezentarea unui sistem `n timp discret

~n continuare se va face referire numai la sisteme cu o singura


intrare [i o singura ie[ire.
Exist\ mai multe moduri de a caracteriza un
sistem discret [i a descrie opera]ia pe care el o execut\ asupra intr\rii
pentru a ob]ine r\spunsul sistemului. Unul dintre acestea const\ `n
descrierea sistemului printr-o rela]ie intrare ie[ire, ignorndu-se detaliile
de structur\ intern\ sau de realizare a sistemului, acesta fiind v\zut ca o
"cutie neagr\". Aceast\ situa]ie este descris\ de nota]ia
H y[n]
x[n]
(2.34')
echivalent\ cu (2.34).
Exemplul 2.4.
Rela]ia intrare ie[ire este exemplificat\ prin urm\toarele
sisteme, `n care semnalul de intrare se consider\ a fi
n, 3 n 3
x[n] =
0, in rest

e)

y[n] = x[n ]
y[n] = x[n 1]
y[n] = x[n + 1]
1
y[n ] = [x[n + 1] + x[n] + x[n 1]]
3
y[n ] = max{x[n + 1], x[n ], x[n 1]}

f)

y[n] =

a)
b)
c)
d)

x[k ] = x[n] + x[n 1] + x[n 2] + ....

k =

Semnalul de intrare poate fi scris explicit sub forma secven]ei


x[n] = {...0, 3, 2, 1, 0, 1, 2, 3, 0...}

41

(2.35)

Ie[irea sistemelor este


a) y[n] = {...0, 3, 2, 1, 0, 1, 2, 3, 0...}

Se observ\ c\ ie[irea este identic\ cu intrarea [i sistemul se


nume[te identitate.
b)

y[n] = {...0, 3, 2, 1, 0, 1, 2, 3, 0...}

~n acest caz sistemul `ntrzie cu un e[antion semnalul de intrare.

c)

y[n] = {...0, 3, 2, 1, 0, 1, 2, 3, 0...}

Acest sistem "avanseaz\" sau anticipeaz\ semnalul de intrare cu


un e[antion.

d)

y[n] = {...0, 1,

5
2
5
, 2, 1, , 1, 2, , 1, 0...}
3
3
3

~n acest caz, sistemul realizeaz\ media aritmetic\ a e[antionulului


prezent, trecut [i urm\tor pentru fiecare moment de timp.
e)

y[n] = {..0, 3, 3, 3, 2, 1, 2, 3, 3, 3, 0...}

Acest sistem selecteaz\ la fiecare moment n valoarea maxim\ dintre


x[n 1], x[n] [i x[n + 1].
f)

y[n] = {..0, 3, 5, 6, 6, 7, 9, 12, 0...}

Acest sistem este un acumulator, calculnd suma tuturor


e[antioanelor trecute pn\ la momentul respectiv.
Pentru unele din sistemele considerate `n exemplele precedente se
observ\ c\ ie[irea la un moment n = n0 nu depinde numai de intrarea de
la n = n0 (adic\ x[n0 ]), ci [i de valorile intr\rii la momente dinainte [i
dup\ n0 .
~n exemplul acumulatorului rela]ia intrare ie[ire care `l define[te
poate fi rescris\ echivalent sub forma
42

y[n] =

n 1

k =

k =

x[k ] = x[k ] + x[n] = y[n 1] + x[n]

(2.35')

care justific\ numele de acumulator, sistemul calculnd valoarea curent\ a


ie[irii prin adunarea (acumularea) valorii curente a intr\rii la valoarea
precedent\ a ie[irii.
Pentru acest exemplu se presupune c\ semnalul de intrare este
cunoscut pentru n n0 [i se dore[te s\ se determine ie[irea y[n] pentru
n n0 .
Pentru n = n0 , n0 + 1 , ... , rela]ia (2.35) devine
y[n0 ] = y[n0 1] + x[n0 ] ,
y[n0 + 1] = y[n0 ] + x[n0 + 1]
[. a. m. d.
Se observ\ c\ `n calculul lui y[n0 ] intervine y[n0 1] , care se
poate calcula cu rela]ia
y[n0 1] =

n0 1

x[k ] ,

k =

adic\ y[n0 1] reprezint\ efectul tuturor intr\rilor anterioare momentului

n0 asupra sistemului. R\spunsul sistemului pentru n n0 la semnalul de

intrare x[n] aplicat la momentul n0 depinde de semnalul de intrare la


momentul n0 [i toate intr\rile aplicate anterior. ~n consecin]\, y[n] pentru
n n0 nu este unic determinat de intrarea x[n] pentru n n0 .
Informa]ia suplimentar\ necesar\ determin\rii lui y[n] pentru
n n0 este condi]ia ini]ial\ y[n0 1] , care sintetizeaz\ efectul intr\rilor
anterioare asupra sistemului. Condi]ia ini]ial\ y[n0 1] `mpreun\ cu
secven]a de intrare x[n] pentru n n0 vor determina `n mod unic
secven]a de ie[ire y[n] pentru n n0 .
Dac\ acumulatorul nu a avut nici o excita]ie `nainte de n0 ,
condi]ia ini]ial\ y[n0 1] = 0 , caz `n care sistemul se zice c\ este ini]ial
relaxat. ~n acest caz, ie[irea y[n] depinde numai de secven]a de intrare
x[n] pentru n n0 .

43

De obicei, sistemele se consider\ relaxate la n = . Dac\


intrarea se aplic\ unui sistem de la n = , ie[irea sistemului este unic
determinat\ de secven]a de intrare.
Se observ\, `n cazul acumulatorului, ca pentru a determina `n mod
unic secven]a de ie[ire y[n] pentru n n0 , este necesar\ o singur\
condi]ie ini]ial\. ~n general, pentru sisteme discrete, informa]ia
suplimentara constituit\ de setul de valori y[n0 1] , y[n0 2] ,
y[n0 N ] necesare determin\rii ie[irii y[n] pentru n n0 , la secven]a
de intrare x[n] pentru n n0 , poart\ numele de condi]ii ini]iale. Dac\
acestea sunt nule, sistemul este ini]ial relaxat. Aceste no]iuni vor fi
reluate `n paragraful 2.5, unde se va introduce descrierea sistemelor
discrete cu ajutorul ecua]iilor cu diferen]e.

Exemplul 2.5.
Se consider\ acumulatorul descris de (2.35) excitat de secven]a
x[n] = n u[n] . S\ se determine secven]a de ie[ire `n condi]iile:
a) sistemul este ini]ial relaxat ( y[ 1] = 0 );
b) y[ 1] = 1 .
Ie[irea sistemului este definit\ ca
y[n] =

x[k ] =

k =

Dar

x[k ] =

k =0

n(n + 1)
2

k =

k =0

k =0

x[k ] + x[k ] = y[ 1] + x[k ]

n(n + 1)
, n 0;
2
n(n + 1) n 2 + n + 2
b) Dac\ y[ 1] = 1 y[n] = 1 +
=
, n 0.
2
2
a) Dac\ y[ 1] = 0 y[n] =

2.4.1. Reprezentarea simbolic\ a sistemelor discrete


Opera]iile asupra semnalelor discrete reprezentate prin secven]e
sunt realizate de sisteme discrete a c\ror reprezentare simbolic\ este dat\
`n continuare.

44

Sumator.

Figura 2.12. Reprezentarea simbolic\ a sumatorului

Multiplicator cu o constant\

Figura 2.13. Reprezentarea simbolic\ a multiplicatorului cu o constant\

Multiplicator de semnal

Figura 2.14. Reprezentarea simbolic\ a multiplicatorului

Element de `ntrziere

Figura 2.15. Reprezentarea simbolic\ a unui element de `ntrziere

Element de anticipare

Figura 2.16. Reprezentarea grafic\ a unui element de anticipare

Observa]ie. Opera]ia de anticipare este nerealizabil\ fizic `ntr-un sistem


de timp real.
Exemplul 2.6.
Cu ajutorul blocurilor constructive prezentate anterior s\ se
reprezinte diagrama bloc a sistemului discret descris de
45

y[n] =

1
y[n 1] + 5 x[n] + 2 x[n 2] .
2

Solu]ie. Din rela]ia intrare ie[ire care caracterizeaz\ sistemul se


observ\ c\ acesta poate fi implementat cu ajutorul a 3 multiplicatoare, 2
sumatoare [i 3 elemente de `ntrziere.

Figura 2.17. Reprezentarea diagramei bloc pentru sistemul din exemplul 2.6.

2.4.2. Clasificarea sistemelor discrete


~n analiza [i proiectarea sistemelor discrete este de dorit
clasificarea lor `n func]ie de propriet\]ile generale pe care acestea le au.
Din acest motiv este necesar\ specificarea unor propriet\]i ale acestora
care pot fi folosite `n descrierea caracteristicilor lor generale.
2.4.2.1. Sisteme discrete statice [i dinamice
Un sistem discret se nume[te static sau f\r\ memorie dac\ ie[irea
sa la un moment oarecare n depinde numai de intrarea din acel moment.
~n caz contrar, sistemul se nume[te dinamic sau cu memorie. Dac\
ie[irea unui sistem la un moment n este complet determinat\ de intr\rile
x[n N ],..., x[n] ( N 0 ), se spune c\ acesta are memorie de ordinul N.
Dac\ N este finit, sistemul este cu memorie finit\, iar dac\ N = ,
sistemul are memorie infinit\.
Exemple de sisteme statice (f\r\ memorie)
a) y[n] = a x[n] ;

b) y[n ] = n x[n] + b x 3 [n ] .
Exemple de sisteme dinamice (cu memorie)
c) y[n] = x[n] + 3 x[n 1] ;
N

d) y[n] = x[n k ] ;
k =0

46

e) y[n] = x[n k ] .
k =0

Sistemele c) [i d) au memorie finit\, `n timp ce e) are memorie infinit\.


Se observ\ c\ sistemele statice sunt descrise `n general de o rela]ie
intrare ie[ire de forma
y[n] = F [x[n], n]
(2.36)
[i nu includ elemente de `ntrziere (memorie).
2.4.2.2. Sisteme discrete invariante [i variante `n timp
Sistemele pot fi `mp\r]ite `n dou\ mari categorii:
- sisteme invariante `n timp;
- sisteme variante `n timp.
Prin defini]ie, un sistem relaxat, descris de operatorul H este
invariant `n timp dac\ [i numai dac\
H y[n]
x[n]
implic\

H y[n k ]
x[n k ]
(2.37)
pentru orice semnal de intrare x[n] [i orice deplasare k.
Pentru a determina dac\ un sistem este sau nu invariant `n timp se
procedeaz\ `n felul urm\tor:
Se consider\ o intrare arbitrar\ x[n] , care va produce r\spunsul y[n] . Se
`ntrzie semnalul de intrare cu k unit\]i [i se recalculeaz\ ie[irea. ~n
general, aceasta se poate scrie
y[n, k ] = H [x[n k ]]
(2.38)
Dac\ ie[irea y[n, k ] este egal\ cu y[n k ] pentru toate valorile lui k,
sistemul este invariant `n timp. ~n caz contrar, dac\ y[n, k ] y[n k ] ,
chiar pentru o singur\ valoare a lui k, sistemul este variant `n timp.
Exemplul 2.7.
S\ se determine dac\ sistemele descrise de urm\toarele rela]ii
intrare ie[ire sunt sau nu variante `n timp.
a) y[n ] = H [x[n]] = x[n ] x[n 1] ;
b) y [n ] = H [x [n ]] = n x [n ];
c) y[n] = x[n] cos0 n .
a) y[n, k ] = H [x[n k ]] = x[n k ] x[n k 1]
47

y[n k ] = x[n k ] x[n k 1]


Deoarece y[n, k ] = y[n k ] , rezult\ c\ sistemul este invariant `n timp.
b) y[n, k ] = H [x[n k ]] = n x[n k ]
y[n k ] = (n k ) x[n k ]
Se observ\ c\ y[n, k ] y[n k ], rezult\ c\ sistemul este variant `n timp.
c) y[n, k ] = H [x[n k ]] = x[n k ] cos 0 n
y[n k ] = x[n k ] cos 0 (n k )
Deoarece y[n, k ] y[n k ] , rezult\ c\ sistemul este variant `n timp.

2.4.2.3. Sisteme discrete liniare [i neliniare


Prin defini]ie, un sistem discret este liniar, dac\ satisface
principiul superpozi]iei. Cu alte cuvinte, `n acest caz, r\spunsul sistemului
la o sum\ ponderat\ de semnale de intrare este egal cu suma r\spunsurilor
sistemului la fiecare din semnalele de intrare, ponderate corespunz\tor,
adic\ un sistem discret, relaxat, caracterizat de operatorul H este liniar,
dac\
H [ a1 x1 [n] + a2 x2 [n ] ] = a1 H [x1 [n]] + a 2 H [x2 [n]]
(2.39)
pentru orice secven]e de intrare arbitrare x1 [n] [i x2 [n] [i pentru orice
constante arbitrare a1 [i a 2 .
Rela]ia (2.39) implic\ propriet\]ile de scalare [i aditivitate ale
sistemelor liniare [i poate fi extins\ la orice combina]ie ponderat\ de
semnale de intrare, pe baza induc]iei. ~ntr-adev\r, dac\ se presupune c\
M 1
M 1
H
x[n] = a x [n]
y[n] = a y [n]

k k
k =1
y k [n] = H [xk [n]] , k = 1, 2, ...

unde
semnale, atunci pentru semnalul

k =1

M 1 , este adev\rat\ pentru M 1

ak xk [n] = x[n] + aM xM [n] ,

k =1

ie[irea sistemului este


M

H ak xk [n] = H [ x[n] + aM xM [n] ] = H [x[n]] + aM H [xM [n]]


k =1

M 1

k =1

k =1

ak yk [n] + aM yM [n] = ak yk [n]


48

~n general, din (2.39) se observ\ c\ un sistem relaxat, liniar, cu intrarea


zero produce ie[irea nul\. Dac\ un sistem produce o ie[ire diferit\ de zero
la intrare zero, el este fie nerelaxat, fie neliniar.
Dac\ un sistem discret nu satisface principiul superpozi]iei, el se
nume[te neliniar.
Exemplul 2.8.
S\ se determine dac\ sistemele descrise de urm\toarele rela]ii
intrare ie[ire sunt sau nu liniare.
a) y[n ] = H [x[n ]] = n x[n] ;

[ ]

b) y[n ] = H [x[n]] = x n 2 ;

c) y[n ] = H [x[n ]] = x [n] .


Solu]ie.
a) Pentru dou\ secven]e de intrare x1 [n] [i x2 [n] , ie[irile
corespunz\toare sunt
y1 [n] = n x1 [n]
y 2 [n] = n x2 [n ]
O combina]ie liniar\ a celor dou\ semnale de intrare are ca
rezultat ie[irea
y 3 [n] = H [ a1 x1 [n] + a 2 x 2 [n]] = n [a1 x1 [n] + a 2 x 2 [n] ]
2

= a1n x1 [n] + a 2 n x 2 [n] = a1 y1 [n ] + a 2 y 2 [n]


care este o combina]ie liniar\ a ie[irilor corespunz\toare, deci sistemul
este liniar.
y1 [n] = x1 n 2 ,
y 2 [n] = x2 n 2
b)

[ ]

[ ]

[ ]

[ ]

y3 [n] = H [ a1 x1 [n] + a2 x2 [n]] = a1 x1 n + a2 x2 n = a1 y1 [n] + a 2 y 2 [n]


deci sistemul este liniar.
y1 [n] = x12 [n],
y 2 [n] = x22 [n]
c)
2

y3 [n] = H [ a1 x1 [n] + a 2 x2 [n]] = a12 x12 [n] + 2a1a2 x1 [n]x2 [n] + a 22 x22 [n] =

= a12 y1[n] + a 22 y 2 [n] + 2a1a 2 x1[n]x2 [n]


Combina]ia liniar\ corespunz\toare a ie[irilor y1 [n] [i y 2 [n] este

a1 y1 [n ] + a2 y 2 [n] = a1 x12 [n] + a2 x22 [n] y3 [n ],


deci sistemul este neliniar.

49

2.4.2.4.
Sisteme discrete cauzale [i necauzale
Un sistem discret este cauzal dac\ ie[irea sa la un moment n,
y[n] , depinde numai de valoarea prezent\ [i cele trecute ale intr\rii
( x[n], x[n 1], ...) [i de nici o valoare viitoare ( x[n + 1], x[n + 2], ...).
Matematic, ie[irea unui sistem cauzal poate fi scris\ sub forma
y[n] = F [ x[n], x[n 1], x[n 2], ... ]
(2.40)
unde F [ ] este o func]ie arbitrar\. Un sistem discret care nu satisface
rela]ia (2.40) se nume[te necauzal. Un sistem necauzal nu este realizabil
fizic.
Exemplul 2.9.
S\ se stabileasc\ dac\ sistemele descrise de urm\toarele rela]ii
intrare ie[ire sunt sau nu cauzale.
a) y[n] = x[n] x[n 1] ;
b) y[n] = x[n + 1] x[n] ;

[ ]

c) y[n ] = x n 2 ;
d) y[n] = x[ n] .

Solu]ie
a) cauzal;
b) necauzal;
c) necauzal;
d) necauzal (de exemplu, pentru n = 1, y[ 1] = x[1]).
Prin analogie cu sistemele cauzale, se definesc secven]ele cauzale cele
care sunt egale cu zero pentru n<0. ~n caz contrar, ele se numesc
necauzale. Dac\ o secven]\ este diferit\ de zero numai pentru n<0,
aceasta se nume[te pur necauzal\.
2.4.2.5. Sisteme discrete stabile [i instabile
Stabilitatea este o proprietate important\ care trebuie avut\ `n
vedere `n orice aplica]ie practic\. Un sistem oarecare, relaxat, se spune c\
este stabil `n sens MIME (M\rginit la Intrare M\rginit la iE[ire), dac\ [i
numai dac\ orice semnal de intrare limitat produce un semnal de ie[ire
limitat (se mai folose[te acronimul englezesc BIBO de la Bounded Input
Bounded Output, `n specificarea sistemelor stabile). Matematic, aceasta
se poate scrie
dac\ x[n] M x < ;
atunci y[n] M y <
(2.41)
50

Implica]iile pe care le are stabilitatea pentru sistemele liniare invariante `n


timp vor fi discutate `n paragraful 2.4.7.
Se spune c\ un sistem cauzal [i stabil este realizabil. ~n caz contrar este
nerealizabil.
Alte clasific\ri ale sistemelor discrete `n func]ie de r\spunsul la
impuls [i de modul de implementare vor fi prezentate `n paragrafele 2.4.8
[i 2.4.9, dup\ introducerea descrierii sistemelor discrete cu ajutorul
ecua]iilor cu diferen]e [i a sumei de convolu]ie.

2.4.3. Analiza sistemelor discrete, liniare, invariante `n


timp (SDLIT) Suma de convolu]ie
~n continuare se vor trata sisteme discrete, liniare, invariante `n
timp, pentru care se va ar\ta c\ sunt complet caracterizate `n domeniul
timp de r\spunsul la impuls.
Exist\ dou\ metode de baz\, folosite `n analiza r\spunsului
sistemelor discrete liniare la un semnal de intrare dat. Una se bazeaz\ pe
ob]inerea solu]iei din ecua]ia intrare ie[ire care caracterizeaz\ sistemul,
care are, `n general, forma
N

k =1

k =0

y[n ] = a k y[n k ] + bk x[n k ]

(2.42)

unde ak [i bk sunt parametri constan]i care caracterizeaz\ sistemul [i


independen]i de x[n] [i y[n] . Rela]ia (2.42) se nume[te ecua]ie cu
diferen]e a sistemului discret, liniar, invariant `n timp.
A doua metod\ se bazeaz\ pe folosirea r\spunsului la impuls al
sistemului. Ca o consecin]\ a propriet\]ilor de liniaritate [i invarian]\ `n
timp, r\spunsul sistemului la un semnal de intrare arbitrar poate fi
exprimat `n func]ie de r\spunsul s\u la impuls cu ajutorul sumei de
convolu]ie. Pentru determinarea r\spunsului unui sistem liniar la un
semnal de intrare dat, acesta se descompune `ntr-o sum\ de semnale
elementare componente [i, folosind proprietatea de liniaritate a
sistemului, r\spunsurile sistemului la semnalele elementare se sumeaz\
pentru a forma r\spunsul total.
Orice semnal x[n] poate fi descompus `ntr-o sum\ de impulsuri
scalate [i `ntrziate, conform rela]iei (2.6). De exemplu, secven]a
x[n] = {2, 4, 0, 3} poate fi scris\ sub forma
x[n] = 2 [n + 1] + 4 [n] + 3 [n 2]

51

Se define[te r\spunsul sistemului y[n, k ] la un impuls aplicat la


momentul n k cu rela]ia
y[n, k ] h[n, k ] = H [[n k ]]
(2.43)
~n (2.43), n desemneaz\ timpul, iar k arat\ localizarea `n timp a
impulsului de intrare.
Considernd semnalul de intrare dat de (2.6), r\spunsul sistemului va fi

y[n ] = H x[k ][n k ]


(2.44)
k =

Sistemul discret fiind liniar, conform principiului superpozi]iei, rezult\


y[n ] =

k =

k =

x[k ]H {[n k ]} = x[k ]h[n, k ]

Dac\ sistemul discret liniar este [i invariant `n timp, adic\ dac\


H h[n] atunci
[n ]
H h[n k ],
[n k ]
rela]ia (2.45) devine
y[n ] =

x[k ]h[n k ]

(2.45)

(2.46)

(2.47)

k =

Rela]ia (2.47) este cunoscut\ sub denumirea de sum\ de


convolu]ie [i din aceasta rezult\ c\, dac\ pentru un sistem discret liniar
invariant `n timp se cunoa[te r\spunsul la impuls, se poate deduce
r\spunsul sistemului la orice secven]\ de intrare. Func]ia h[n] se mai
nume[te func]ie pondere.
Pentru calculul ie[irii la un anumit moment n = n0 se efectueaz\
urm\toarele opera]ii elementare:
1. Reflectarea. R\spunsul la impuls h[k ] este "reflectat" fa]\ de k = 0
pentru a ob]ine h[ k ] .
2. Deplasarea `n timp. Se deplaseaz\ h[ k ] cu n0 unit\]i de timp spre
dreapta (stnga) dac\ n0 este pozitiv (negativ) pentru a ob]ine
h[n0 k ] .
3. Multiplicarea. Se `nmul]e[te x[k ] cu h[n0 k ] pentru a produce
secven]e de tipul vn0 [k ] x[k ] h[n0 k ] .
4. Sumarea. Se sumeaz\ toate valorile secven]ei produs vn0 [k ] pentru a
se ob]ine ie[irea la momentul n = n0 .
52

Pa[ii 24 trebuie repeta]i pentru toate valorile posibile ale lui n0 pentru a
ob]ine y[n] pentru < n < . Opera]ia de convolu]ie este simetric\,
adic\ nu conteaz\ care din cele dou\ secven]e este reflectat\ [i deplasat\.
~ntr-adev\r, dac\ `n (2.47) se efectueaz\ schimarea de variabil\ m=n-k,
atunci k=n-m,
y[n ] =

x[n m]h[m]

(2.48)

m =

Revenind la indexul k, se ob]ine


y[n ] =

x[n k ]h[k ]

(2.48')

k =

rela]ie care arat\, de fapt, c\ suma de convolu]ie este comutativ\.


Exemplul 2.10.
S\ se determine r\spunsul sistemului care are r\spunsul la impuls
n = 1
1,
1, n = 0
2, n = 0
2, n = 1

=
=
la
intrarea
x
n
=
[
]
[
]
h n 1,
n 1

1, n = 2
3, n = 2

0, in rest .
0, `n rest .
Solu]ie. Conform pa[ilor descri[i anterior, se calculeaz\ `nti
h[ k ] .
h[ k ] = {... , 0, 1, 1, 2, 1, 0, ... }
y[0] =
y[1] =
y[2] =
y[3] =
y[4] =

x[k ]h[ k ] = x[0]h[0] + x[1]h[ 1] = 4

k =

x[k ]h[1 k ] = x[0]h[1] + x[1]h[0] + x[2]h[ 1] = 8

k =

x[k ]h[2 k ] = x[0]h[2] + x[1]h[1] + x[2]h[0] = 7

k =

x[k ]h[3 k ] = x[1]h[2] + x[2]h[1] = 1

k =

x[k ]h[4 k ] = x[2]h[2] = 3

k =

53

y[ 1] =

x[k ]h[ 1 k ] = x[0]h[ 1] = 1 ;

k =

y[n] = {...,0, 1, 4, 8, 7, 1, -3, 0,...}

Fig. 2.19. Convolu]ia unui semnal discret de


durat\ infinit\ cu un semnal discret de durat\
finit\.

Fig. 2.18. Convolu]ia a dou\ semnale de


durat\ finit\ N1 [i N2. Convolu]ia are durata N1+ N2-1

54

Grafic, rezultatele anterioare pot fi urm\rite pe figura 2.18. h[k ] se


deplaseaz\ cu n unit\]i. Pentru n>0, deplasarea este spre dreapta [i pentru
n<0, spre stnga. Conform pa[ilor prezenta]i anterior, pentru o valoare n
dat\, se efectuaz\ produsele dintre x[k ] [i h[n k ] , e[antion cu e[antion,
[i apoi se sumeaz\.
Dac\ semnalele au durat\ finit\, avnd N1, respectiv N2 e[antioane,
cuprinse `ntre -N3 [i -N3+N1-1, respectiv -N4 [i -N4+N2-1, convolu]ia lor
are durat\ finit\, avnd N1+N2-1 e[antioane, produsul x[k ]h[n k ] fiind
zero pentru to]i k atunci cnd n < -(N4+N3) [i n>-N4+N2-1-N3+N1-1. ~n
cazul exemplului considerat, N1=3, N2=4, N3=0, N4=1 [i produsele
x[k ]h[n k ] sunt zero pentru n<-1 [i n>4. Prin urmare, nu se pune
problema convergen]ei sumei de convolu]ie, dac\ ambele semnale au
durat\ finit\ [i, evident, fiecare din semnale este m\rginit
x[n] M 1 , n Z , h[n] M 2 , n Z .
Exemplul 2.11.
S\ se determine convolu]ia dintre semnalul x[n] de durat\
nem\rginit\ x[n] = a n u[n] , [i h[n] = u[n] u[n N 2 ] , care este nenul
doar pentru 0, 1, 2, N2-1, adic\ are lungimea N2.
Solu]ie. Convolu]ia acestor semnale este ilustrat\ `n figura 2.19.
Unul din semnale fiind de durat\ nem\rginit\, [i convolu]ia va avea
durat\ infinit\ [i, prin urmare, se va pune problema convergen]ei sumei ce
reprezint\ convolu]ia pentru toate valorile lui n.
Din figur\ se observ\ c\ pentru n<0,

x[k ]h[n k ] = 0 .

k =

Dac\ 0 n < N 2 , (x h )[n] =


Dac\ n N 2 , (x h )[n] =

ak =

k =0
n

1 a n+1
.
1 a

a k = a n N 2 +1

k = n N 2 +1

1 a N2
.
1 a

2.4.4. Propriet\]ile sistemelor discrete, liniare, invariante


`n timp [i interconectarea acestora
Deoarece r\spunsul unui SDLIT este dat de o sum\ de convolu]ie,
propriet\]ile acestei clase de sisteme sunt definite de propriet\]ile sumei
de convolu]ie discrete, care este comutativ i asociativ\.
55

1. Comutativitatea sistemelor [i conectarea lor `n cascad\.


Propriet\]ile de comutativitate [i asociativitate ale sumei de convolu]ie
conduc la comutativitatea SDLIT. Pentru a ilustra acest lucru, se
consider\ sistemele din figura 2.20a [i b.
y1[n] = x1[n] h2 [n] = (x[n] h1[n]) h2 [n] = x[n] (h1[n] h2 [n]) =
(2.50)
x[n] (h2 [n] h1[n]) = ( x[n] h2 [n]) h1[n] = x2 [n] h1[n] = y 2 [n].
Sistemele din figura 20 a [i b sunt echivalente cu sistemul din figura 20 c.
Se constat\ c\ `ntr-o cascad\ de sisteme discrete, liniare, invariante `n
timp nu conteaz\ locul acestora `n cascad\, deoarece, indiferent de pozi]ia
acestora, pentru acela[i semnal de intrare se ob]ine acela[i semnal de
ie[ire. Ca o consecin]\ a propriet\]ii de comutativitate [i asociativitate,
r\spunsul la impuls al unei cascade de SDLIT este independent de ordinea
sistemelor `n cascad\.

Figura 2.20. Ilustrarea propriet\]ii de comutativitate a SDLIT

2. Impulsul unitate [n] este element neutru pentru suma de


convolu]ie
x[n] [n] =

x[k ] [n k ] =

k =

(2.51)

= ... x[n 1] [1] + x[n] [0] + x[n + 1] [ 1] + ... = x[n]


deci, dac\ la intrarea unui sistem discret liniar se aplic\ x[n] = [n] la
ie[ire se ob]ine
y[n ] = [n] h[n] = h[n ]
(2.52)
3. Dac\ la intrarea unui SDLIT se aplic\ [n n0 ] , la ie[ire se ob]ine
h[n n0 ] , adic\
[n n0 ] h[n] = h[n n0 ]
(2.53)
4. Un sistem av nd r\spunsul la impuls h[n] = [n] nu modific\
semnalul de intrare
y[n] = x[n]
(2.54)
56

~n contextul conect\rii `n cascad\ a sistemelor se introduce no]iunea de


sistem invers, caracterizat prin r\spunsul la impuls hi [n] care satisface
rela]ia
h[n] hi [n] = [n]
(2.55)
5. Conectarea `n paralel a SDLIT. ~n figura 2.21 (a) este prezentat\
conectarea `n paralel a dou\ sisteme h1 [n] [i h2 [n] . Se poate ar\ta simplu
c\ acesta este echivalent cu sistemul din figura 2.21 (b).
~ntr-adev\r, conform figurii 2.21.a, se poate scrie
y[n] = y1 [n] + y 2 [n] = x[n ] h1 [n] + x[n] h2 [n] = x[n] (h1 [n] + h2 [n]) (2.56)

Figura 2.21. Conectarea `n paralel a dou\ SDLIT

2.4.5. R\spunsul SDLIT la treapta unitate


De[i r\spunsul la impuls joac\ un rol esen]ial `n analiza [i sinteza
sistemelor discrete, liniare, invariante `n timp, uneori prezint\ interes
utilizarea r\spunsului la treapta unitate pentru a ob]ine r\spunsul
sistemului la o intrare arbitrar\. R\spunsul la treapta unitate x[n] = u[n]
se ob]ine utiliznd suma de convolu]ie

y[n] = s[n] =

h[k ]u[n k ] =

k =

h[k ]

(2.57)

k =

Din aceast\ rela]ie se poate ob]ine explicit r\spunsul la impuls `n func]ie


de r\spunsul la treapta unitate, dup\ cum urmeaz\:
s[n] = h[n] +

n 1

h[k ] = h[n] + s[n 1]

(2.58)

k =

de unde rezult\

h[n] = s[n] s[n 1]

57

(2.59)

Pentru a ob]ine r\spunsul y[n] al sistemului la semnalul de intrare x[n] ,


se `nlocuie[te h[n] dat de (2.59) `n rela]ia (2.47).

k =

k =

k =

x[k ][s[n k ] s[n k 1]] = x[k ]s[n k ] x[k ]s[n k 1]

y[n] =

(2.60)
Cunoscnd r\spunsul s[n] al unui sistem la treapta unitate, se define[te
convolu]ia dintre acesta [i un semnal oarecare de intrare ca fiind
y s [n] =

x[k ]s[n k ]

(2.61)

k =

R\spunsul y[n] al sistemului la intrarea x[n] se poate exprima sub forma


(2.62)
y[n] = y s [n] y s [n 1]

2.4.6. Cauzalitatea sistemelor discrete, liniare, invariante


`n timp exprimat\ `n func]ie de r\spunsul la impuls
~n cazul SDLIT cauzalitatea poate fi exprimat\ `n func]ie de
r\spunsul la impuls al sistemului. Pentru a determina aceast\ rela]ie, fie
un SDLIT a c\rui ie[ire la un moment n=n0 este dat\ de suma de
convolu]ie
y[n0 ] =

h[k ]x[n0 k ]

(2.63)

k =

care se `mparte `n doi termeni, unul con]innd valoarea prezent\ [i cele


trecute ale intr\rii ( x[n] pentru n n0 ) [i unul care con]ine valorile
viitoare ale intr\rii ( x[n] pentru n > n0 ).
y[n0 ] =

k =0

k =

h[k ]x[n0 k ] + h[k ]x[n0 k ] =

[h[0]x[n0 ] + h[1]x[n0 1] + ...] + [h[1]x[n0 + 1] + h[2]x[n0 + 2] + ...]

(2.64)

Cum pentru un sistem cauzal ie[irea la momentul n0 depinde numai de


valoarea prezent\ [i cele trecute ale intr\rii, rezult\ c\ r\spunsul la impuls
al sistemului trebuie s\ satisfac\ condi]ia
(2.65)
h[n] = 0 pentru n < 0
Deoarece h[n] este r\spunsul la impuls al SDLIT relaxat, rezult\ c\
rela]ia (2.65) este condi]ia necesar\ [i suficient\ pentru cauzalitate.
Datorit\ acestei condi]ii limitele sumei pot fi modificate pentru a reflecta
aceast\ restric]ie, ob]inndu-se
58

y[n] =

k =0

k =

h[k ]x[n k ] = x[k ]h[n k ]

(2.66)

Anterior s-a intodus no]iunea de secven]\ cauzal\ pentru a denumi o


secven]\ care este zero pentru n<0 [i de secven]\ necauzal\ pentru a
denumi o secven]\ care este diferit\ de zero pentru n<0. Aceast\
terminologie semnific\ faptul c\ astfel de secven]e pot fi r\spunsurile la
impuls ale unui sistem cauzal, respectiv, necauzal.
Dac\ la intrarea unui SDLIT cauzal se aplic\ o secven]\ cauzal\,
suma de convolu]ie devine
y[n] =

k =0

k =0

h[k ]x[n k ] = x[k ]h[n k ]

(2.67)

R\spunsul unui SDLIT cauzal la un semnal de intrare cauzal este, de


asemenea, cauzal, deoarece y[n] = 0, pentru n < 0 .

2.4.7. Stabilitatea sistemelor discrete, liniare, invariante


`n timp exprimat\ `n func]ie de r\spunsul la impuls
Condi]ia de stabilitate `n sens MIME din paragraful 2.4.2.5 poate
fi exprimat\ pentru SDLIT `n func]ie de caracteristicile sistemului. Fie un
SDLIT caracterizat de r\spunsul la impuls h[n] c\ruia i se aplic\ un
semnal de intrare m\rginit x[n] M x < . Ie[irea sa este dat\ de suma
de convolu]ie (2.48').
y[n] =

k =

k =

k =

h[k ]x[n k ] k[k ] x[n k ] M x h[k ]

(2.68)

Din rela]ia (2.68) se observ\ c\ semnalul de ie[ire va fi m\rginit dac\


r\spunsul la impuls al sistemului satisface condi]ia

h[k ]

(2.69)

k =

~n concluzie, un SDLIT este stabil `n sens MIME dac\ r\spunsul s\u la


impuls este absolut sumabil.

2.4.8. Sisteme discrete cu r\spuns finit la impuls [i


r\spuns infinit la impuls
A[a cum s-a ar\tat anterior, SDLIT pot fi caracterizate `n func]ie
de durata r\spunsului lor la impuls. Aceste sisteme se `mpart `n dou\
clase, [i anume: cele al c\ror r\spuns la impuls are durat\ finit\, numite
59

sisteme FIR (acronimul provenind de la ini]ialele engleze[ti "finite


impulse response") [i cele al c\ror r\spuns la impuls are durat\ infinit\,
numite sisteme IIR ("infinite impulse response").
Un sistem FIR are un r\spuns la impuls egal cu zero `n afara unui
interval finit. F\r\ a pierde din generalitate, pentru sistemele FIR cauzale
se poate scrie
h[n] = 0 , pentru n < 0 i n M
(2.70)
caz `n care suma de convolu]ie devine
y[n ] =

M 1

h[k ] x[n k ]

(2.71)

k =0

O interpretare util\ a acestei expresii se ob]ine observnd c\ ie[irea la


momentul n este o sum\ ponderat\ a e[antioanelor semnalului de intrare
x[n], x[n 1], ... x[n M + 1] . Cu alte cuvinte, sistemul pondereaz\ cu
valorile r\spunsului la impuls h[k ] cele mai recente M valori ale
e[antioanelor de semnal [i sumeaz\ cele M produse. ~n consecin]\,
sistemul ac]ioneaz\ ca o fereastr\ care "vede" numai ultimele M
e[antioane ale intr\rii pentru a ob]ine ie[irea. Cu alte cuvinte, un sistem
FIR are o memorie finit\ de ordin M.
Spre deosebire de sistemele FIR, un sistem IIR are r\spunsul la
impuls de durat\ infinit\ [i, cu ajutorul sumei de convolu]ie, r\spunsul
s\u este
y[n ] =

h[k ] x[n k ]

(2.72)

k =0

unde s-a presupus sistemul cauzal (limita inferioar\ a sumei este k = 0 ),


de[i aceast\ presupunere nu era absolut necesar\.
Se observ\ c\ `n calculul r\spunsului sunt implicate valoarea
prezent\ [i toate valorile precedente ale intr\rii, deci sistemul are
memorie infinit\.

2.4.9. Sisteme discrete recursive [i nerecursive


Anterior s-a ar\tat cum se poate ob]ine ie[irea unui SDLIT cu
ajutorul sumei de convolu]ie `n func]ie de e[antioanele semnalului de
intrare. Exist\ multe sisteme pentru care este mai convenabil a se exprima
ie[irea nu numai `n func]ie de valoarea prezent\ [i cele anterioare ale
intr\rii, ci [i `n func]ie de valorile precedente ale ie[irii.

60

Exemplul 2.12.
Fie sistemul definit de rela]ia
1 n
y[n] =
(2.73)
x[k ]
n + 1 k =0
care calculeaz\ media e[antioanelor acumulate (media cumulativ\).
Din (2.73) se observ\ c\ pentru calculul ie[irii y[n] este necesar\
stocarea tuturor e[antioanelor x[k ] , pentru 0 k n . Aparent, este
necesar\ o memorie care cre[te liniar cu num\rul e[antioanelor de la
intrare. Pentru sistemul descris de rela]ia (2.73) este mai u[or s\ se
calculeze y[n] `n func]ie de y[n 1] [i x[n] . ~ntr-adev\r, printr-o
rearanjare simpl\ a rela]iei (2.73) se ob]ine
n 1

(n + 1) y[n] = x[k ] + x[n] = n y[n 1] + x[n]

(2.74)

k =0

[i atunci
1
n
y[n 1] +
x[n]
(2.75)
n +1
n +1
Acum media cumulativ\ se calculeaz\ mai u[or, multiplicnd valoarea
n
precedent\ a ie[irii y[n 1] cu
, valoarea e[antionului curent de
n +1
1
[i apoi adunnd cele dou\ produse.
intrare cu
n +1
Conform rela]iei (2.75), calculul lui y[n] necesit\ dou\
multiplic\ri, o adunare [i o loca]ie de memorie (element de `ntrziere),
dup\ cum este ar\tat `n figura 2.22.
Acesta este un exemplu de sistem recursiv. ~n general, ie[irea unui sistem
cauzal recursiv poate fi exprimat\ astfel
y[n ] = F [ y[n 1], y[n 2], ..., y[n N ], x[n], x[n 1], ..., x[n M ] ]
(2.76)
unde F [ ] este o func]ie de argumentele sale. Ecua]ia (2.76) este o
ecua]ie recursiv\, specificnd un mod de calcul al ie[irii sistemului `n
func]ie de valorile precedente ale ie[irii [i valoarea prezent\ [i precedente
ale intr\rii.
Spre deosebire de sistemul descris de (2.76), dac\ y[n] depinde
numai de valoarea prezent\ [i cele trecute ale intr\rii, atunci
y[n ] = F [ x[n ], x[n 1], ... , x[n M ] ]
(2.77)
[i sistemul se nume[te nerecursiv.
y[n] =

61

Figura 2.22. Implementarea sistemului descris de (2.75)

Un sistem FIR, cauzal, descris de suma de convolu]ie


y[n ] =

h[k ] x[n k ] = h[0] x[n] + h[1] x[n 1] + ... + h[M ] x[n M ] =


= F [ x[n], x[n 1], ..., x[n M ] ],
(2.78)
unde F [ ] este o sum\ ponderat\ a valorilor prezent\ [i trecute ale intr\rii
cu valoarea r\spunsului la impuls h[n], 0 n M , este nerecursiv.
k =0

Diferen]a de baz\ `ntre sistemele nerecursive [i cele recursive


const\ `n prezen]a la cele din urm\ a unei reac]ii ce con]ine cel putin un
element de `ntrziere.
Pentru calculul ie[irii y[n0 ] a unui sistem recursiv care este excitat
cu un semnal aplicat la n = 0 , trebuie calculate toate valorile precedente
ale ie[irii y[0], y[1], ... , y[n0 1] . Spre deosebire de aceast\ situa]ie,
realizarea nerecursiv\ permite calculul lui y[n0 ] f\r\ cunoa[terea ie[irilor
precedente. Din (2.76) se observ\ c\ ie[irea unui sistem recursiv se poate
determina dac\ se cunosc condi]iile ini]iale [i intrarea. Din punct de
vedere al contribu]iilor acestora `n r\spunsul sistemului se pot face
urm\toarele observa]ii:
1. Dac\ sistemul este ini]ial relaxat la momentul n = 0 , memoria
sa ar trebui s\ fie zero, deci y[ 1] = ... = y[ N ] = 0 . Deoarece memoria
sistemului determin\ starea sa, r\spunsul acestuia `n condi]ii ini]iale nule
se nume[te r\spuns de stare zero sau r\spuns for]at [i se noteaz\ cu
y zs [n] sau y fr [n] .
2. Dac\ sistemul este nerelaxat [i intrarea sa este nul\, r\spunsul
s\u se nume[te r\spuns de intrare zero, notat y zi [n] . Acesta se mai
nume[te r\spuns liber sau natural y nr [n] .
3. Un sistem recursiv cu condi]ii ini]iale nenule este nerelaxat [i
poate produce un semnal de ie[ire f\r\ a avea excita]ie.
62

4. Pentru clasa sistemelor liniare r\spunsul acestora este suma


celor dou\ r\spunsuri, de intrare zero [i de stare zero
y[n] = y zi [n] + y zs [n] .
~ntotdeauna este posibil a implementa un sistem FIR `n manier\
nerecursiv\, dar prin rearanjarea adecvat\ a rela]iei (2.77) care descrie
sistemul, acesta poate fi implementat [i recursiv.
Exemplul 2.13.
Fie un sistem FIR descris de ecua]ia
1 M
y[n] =
(2.79)
x[n k ]
M + 1 k =0
care calculeaz\ media mobil\ sau alunec\toare.
Conform rela]iei (2.77), acest sistem este de tip FIR, cu r\spunsul la
impuls
1
h[n] =
, 0nM
(2.80)
M +1
[i implementarea din figura 2.23.

Figura 2.23. Implementarea nerecursiv\ a unui sistem FIR


pentru calculul mediei mobile

Rela]ia (2.79) poate fi `ns\ rearanjat\ sub forma


1 M
1
( x[n] x[n 1 M ] ) = (2.81)
y[n ] =
x[n 1 k ] +

M + 1 k =0
M +1
1
( x[n] x[n 1 M ] )
= y[n 1] +
M +1
Rela]ia (2.79), scris\ `n forma echivalent\ (2.81), poate fi implementat\
ca `n figura 2.24 `n form\ recursiv\.
Un sistem IIR nu poate fi implementat dect recursiv, deoarece
implementarea nerecursiv\ ar implica un num\r infinit de celule de
`ntrziere.
~n concluzie, termenii de FIR [i IIR trebuie v\zu]i drept
caracteristici generale ale sistemelor referitoare la durata r\spunsului la
63

impuls, `n timp ce termenii de recursiv [i nerecursiv se refer\ la


posibilit\]ile de implementare ale acestora.

Figura 2.24. Implementarea recursiv\ a unui sistem FIR care calculeaz\ media mobil\

2.5. Corela]ia semnalelor discrete


~n sec]iunile precedente semnalele s-au presupus deterministe,
adic\ fiecare valoare a secven]ei este unic determinat\ de o expresie
matematic\, un tabel de atribuire sau o regul\ oarecare. ~n multe situa]ii
`ns\, procesele care genereaz\ semnale sunt mult prea complexe, astfel
`nct descrierea lor este fie foarte dificil\, fie imposibil\. ~n aceste cazuri
este util\ modelarea lor cu secven]e aleatoare, a c\ror caracterizare
matematic\ se realizeaz\ pe baza mediilor de diferite ordine [15].
Semnalele aleatoare nu sunt absolut sumabile sau de p\trat sumabil [i, `n
consecin]\, nu au transformat\ Fourier, dar multe din propriet\]ile acestor
semnale pot fi descrise de func]iile lor de autocorela]ie sau de corela]ie,
pentru care transformata Fourier exist\ adesea.
Ca [i `n cazul convolu]iei, `n cazul opera]iei de corela]ie sunt
implicate dou\ semnale. Spre deosebire de convolu]ie, scopul calcul\rii
corela]iei a dou\ semnale este de a ob]ine o m\sur\ a gradului `n care cele
dou\ semnale sunt dependente [i de a extrage informa]ii din aceast\
dependen]\.
Opera]ia de corela]ie este folosit\ `n cazul radarului, sonarului,
comunica]iilor digitale [i alte aplica]ii. Spre exemplu, se presupune c\
exist\ dou\ secven]e aleatoare x[n] [i y[n] care trebuie comparate. ~n
cazul radarului sau sonarului x[n] poate reprezenta semnalul discret
transmis, iar y[n] , semnalului recep]ionat. Dac\ ]inta este prezent\,
semnalul recep]ionat y[n] const\ din suma dintre semnalul reflectat de
]int\ [i zgomot, adic\
64

y[n ] = a x[n D ] + w[n] ,


(2.82)
unde D `ntrzierea introdus\ `n semnalul reflectat, a un factor de
atenuare [i w[n] zgomot aditiv. Pe de alt\ parte, dac\ nu exist\ ]int\ `n
spa]iul investigat, semnalul y[n] const\ numai din zgomot. Deoarece, `n
general, semnalul reflectat de ]int\ este "`necat" `n zgomot, se pune
problema detec]iei ]intei, adic\, de a decide, pe baza semnalului
recep]ionat, dac\ ]inta este sau nu prezent\ [i, `n caz afirmativ, s\ se
g\seasc\ `ntrzierea D din care se poate determina distan]a pn\ la ]int\.
Inspec]ia vizual\ a semnalului y[n] nu relev\ prezen]a sau absen]a
semnalului ax[n D ] . Opera]ia de corela]ie `ntre semnalul transmis [i cel
recep]ionat ofer\ un mijloc de a decide prezen]a sau lipsa ]intei.
O alt\ aplica]ie a corela]iei, `ntlnit\ adesea `n comunica]iile
digitale, const\ `n detec]ia `ntre dou\ alternative. ~n acest caz semnalul
recep]ionat este de forma
y[n] = xi [n] + w[n] , i = 0 , 1 ; 0 n L 1
(2.83)
unde x0 [n] [i x1 [n] reprezint\, de exemplu, "0" logic, respectiv, "1" logic.
Efectund corela]iile semnalului recep]ionat cu cele dou\ semnale x0 [n ]
[i x1 [n] generate local la recep]ie, se poate lua decizia care din cele dou\
semnale x0 [n] sau x1 [n] este prezent `n semnalul recep]ionat.
~n cele ce urmeaz\ se va defini opera]ia de corela]ie [i
autocorela]ie pentru semnale de energie finit\ [i pentru semnale de putere
finit\.

2.5.1. Corela]ia [i autocorela]ia secven]elor de energie


finit\
Fie x[n] [i y[n] dou\ semnale de energie finit\. Secven]a de
corela]ie dintre x[n] [i y[n] este o secven]\ rxy [l ] definit\ cu rela]ia
rxy [l ] =

x[n] y[n l ] ,

l = 0 ; 1 ; 2 ; ...

(2.84)

n =

sau, echivalent
rxy [l ] =

x[n + l ] y[n] ,

l = 0 ; 1 ; 2 ; ...

(2.85)

n =

Ordinea indicilor x [i y indic\ direc]ia `n care o secven]\ este deplasat\


fa]\ de cealalt\. ~n rela]ia (2.84) x[n] este nedeplasat\ [i y[n] este
65

deplasat\ fa]\ de x[n] cu l unit\]i, spre dreapta pentru l pozitiv [i spre


stnga pentru l negativ. ~n (2.85) y[n] este nedeplasat\ [i x[n] este
deplasat\ cu l unit\]i spre stnga pentru l pozitiv [i spre dreapta, dac\ l
este negativ.
Deplasarea lui x[n] spre stnga cu l unit\]i fa]\ de y[n]
echivaleaz\ cu deplasarea lui y[n] spre dreapta fa]\ de x[n] cu l unit\]i,
astfel `nct rxy [l ] ob]inut din (2.84) [i (2.85) este acela[i.

Dac\ se inverseaz\ rolurile lui x[n] [i y[n] se ob]ine


ryx [l ] =

y[n] x[n l ]

(2.86)

n =

sau
ryx [l ] =

y[n + l ] x[n]

(2.87)

n =

Comparnd (2.84) cu (2.87) sau (2.85) cu (2.86), rezult\


rxy [l ] = ryx [ l ]
(2.88)
Cu excep]ia opera]iei de reflectare, calculul corela]iei implic\
acelea[i opera]ii ca [i convolu]ia: deplasarea unei secven]e, multiplicarea
[i sumarea produselor, adic\ rxy [l ] se ob]ine din convolu]ia
rxy [l ] = x[l ] y[ l ]

(2.89)

~n cazul particular `n care y[n] = x[n ] se ob]ine autocorela]ia,


definit\ ca
rxx [l ] =

x[n] x[n l ]

(2.90)

n =

sau, echivalent
rxx [l ] =

x[n + l ] x[n]

(2.91)

n =

Din (2.90) [i (2.91) rezult\ rxx [l ] = rxx [l ] , deci func]ia de autocorela]ie


este par\. Cnd se lucreaz\ cu secven]e de durat\ finit\, evident, sumele
sunt finite, limitele de sumare fiind determinate de lungimea secven]elor
implicate `n corela]ie.
Pentru eviden]ierea unor propriet\]i ale func]iei de autocorela]ie [i
corela]ie se presupun dou\ secven]e x[n] [i y[n] de energie finit\, [i se
formeaz\ combina]ia liniar\
z[n] = a x[n ] + b y[n l ]
(2.92)
66

Energia semnalului z[n] este

n =

n =

2
[ a x[n] + b y[n l ] ] = a 2 x 2 [n] + b 2 y 2 [n l ] +

n =

+ 2ab

x[n] y[n l ] = a 2 rxx [0] + b 2 ryy [0] + 2 a b rxy [l ]

(2.93)

n =

Fie

rxx [0] = E x [i ryy [0] = E y

energiile semnalelor x[n] [i y[n] .


Evident,
a 2 rxx [0] + b 2 ryy [0] + 2 a b rxy [l ] 0

(2.94)

(2.95)

Presupunnd b 0 [i `mp\r]ind (2.93) prin b 2 , rezult\


2

a
a
rxx [0] + 2 rxy [l ] + ryy [0] 0
(2.96)
b
b
Aceast\ rela]ie este adev\rat\ dac\ discriminantul s\u este mai
mic sau egal cu zero, adic\
rxy2 [l ] rxx [0] ryy [0] 0
(2.97)
Din (2.97) rezult\
rxy [l ] rxx [0] ryy [0] = E x E y
(2.98)

~n cazul particular y[n] = x[n ] , rezult\


rxx [l ] rxx [0] = E x
(2.99)
Aceasta `nseamn\ c\ func]ia de autocorela]ie `[i atinge valoarea maxim\
`n origine. ~n practic\ este uneori preferabil a se normaliza func]ia de
corela]ie [i autocorela]ie la domeniul [ 1, 1 ] .
Func]ia de corela]ie normalizat\, numit\ uneori [i coeficient de
corela]ie, se calculeaz\ cu rela]ia
rxy [l ]
(2.100)
xy [l ] =
rxx [0] ryy [0]
Func]ia de autocorela]ie normalizat\, numit\ uneori [i coeficient de
autocorela]ie, se calculeaz\ cu rela]ia
r [l ]
xx [l ] = xx
(2.101)
rxx [0]
Evident, xy [l ] 1 [i xx [l ] 1 .

67

2.5.2. Corela]ia secven]elor de putere finit\


Fie x[n] [i y[n] dou\ secven]e de putere finit\. Func]ia lor de
corela]ie este definit\ prin rela]ia
M
1
rxy [l ] = lim
x[n] y[n l ]
(2.102)

M 2 M + 1 n = M
Dac\ x[n] = y[n] , se ob]ine func]ia de autocorela]ie
M
1
rxx [l ] = lim
x[n] x[n l ]
(2.103)

M 2 M + 1 n = M
Dac\, `n particular, x[n] [i y[n] sunt periodice, de perioad\ N,
mediile din (2.102) [i (2.103) sunt identice cu cele pe o perioad\ [i atunci
se poate scrie
1 N 1
rxy [l ] =
(2.104)
x[n] y[n l ]
N n =0
1 N 1
rxx [l ] =
(2.105)
x[n] x[n l ]
N n =0
1
Secven]ele rxy [l ] [i rxx [l ] sunt periodice, de perioad\ N. Factorul
N
poate fi considerat factor de normalizare.
Exemplul 2.14.
Fie secven]a

y[n ] = x[n] + w[n]


(2.106)
unde x[n] este o secven]\ periodic\ de perioad\ necunoscut\ N, iar w[n]
zgomotul aditiv, presupus alb.
Considernd M e[antioane prelevate din y[n] , adic\
0 n M 1 , cu M >> N [i presupunnd y[n] = 0 pentru n < 0 [i
n > M , func]ia de autocorela]ie pentru y[n] este
1 M 1
ryy [l ] =
(2.107)
y[n] y[n l ]
M n =0
~nlocuind (2.106) `n (2.107), rezult\
1 M 1
ryy [l ] =
(x[n] + w[n]) (x[n l ] + w[n l ]) = rxx [l ] + rxw [l ] + rwx [l ] + rww [l ]
M n =0
(2.108)
68

unde rxx [l ] este func]ia de autocorela]ie a semnalului x[n] , rxw [l ] [i


rwx [l ] func]iile de corela]ie dintre semnal [i zgomotul aditiv, iar rww [l ]
func]ia de autocorela]ie a zgomotului.
Deoarece x[n] s-a presupus periodic, de perioad\ N, [i func]ia sa de
autocorela]ie va fi periodic\, avnd maxime locale pentru l = 0, N, 2N, ...
. Func]iile de corela]ie rxw [l ] [i rwx [l ] dintre semnal [i zgomot sunt mici
datorit\ independen]ei dintre cele dou\ semnale.
Func]ia de autocorela]ie a zgomotului va avea un maxim `n origine
tinznd apoi asimptotic la valoarea sa medie [15].
~n concluzie, `n (2.108) este de a[teptat ca numai rxx [l ] s\ aib\
maxime semnificative pentru l 0 , ceea ce permite detec]ia semnalului
periodic x[n] "`necat" `n zgomot [i determinarea perioadei sale.

2.5.3. Corela]ia dintre intrarea [i ie[irea unui sistem


~n paragraful de fa]\ se urm\re[te ob]inerea unor rela]ii intrare
ie[ire pentru sisteme discrete, liniare, invariante `n timp `n "domeniul
corela]iei", deoarece semnalul ob]inut la ie[irea sistemului nu este
arbitrar, necorelat [i independent de semnalul de intrare.
Fie un semnal x[n] , a c\rui func]ie de autocorela]ie rxx [l ] este cunoscut\,
care se aplic\ la intrarea unui SDLIT caracterizat de r\spunsul la impuls
h[n] . Semnalul de ie[ire este
y[n] = h[n] x[n] =

x[k ]h[n k ]

(2.109)

k =

Secven]a de intercorela]ie dintre intrare [i ie[ire este


(2.110)
ryx [l ] = y[l ] x[l ] = h[l ] [ x[l ] x[l ]] = h[l ] rxx [l ]
adic\ convolu]ia dintre r\spunsul la impuls al sistemului [i func]ia de
autocorela]ie a secven]ei de intrare.
Din (2.88) [i (2.110) rezult\
(2.111)
rxy [l ] = h[l ] rxx [l ]
}innd cont de (2.89), (2.109) [i propriet\]ile convolu]iei, func]ia de
autocorela]ie a secven]ei de ie[ire este
ryy [l ] = y[l ] y[l ] = [h[l ] x[l ]] [h[l ] x[l ]] =
(2.112)
[h[l ] h[l ]] [ x[l ] x[l ]] = rhh [l ] rxx [l ]
69

Func]ia de autocorela]ie rhh [l ] a r\spunsului la impuls h[n] exist\, dac\


sistemul este stabil. Evalund (2.112) pentru l=0, se ob]ine energia
semnalului de ie[ire cu ajutorul func]iilor de autocorela]ie.
ryy [0] =

rhh [k ]rxx [k ]

(2.113)

k =

2.6. Sisteme discrete, liniare, invariante `n timp,


caracterizate de ecua]ii cu diferen]e cu coeficien]i
constan]i
2.6.1. Solu]ia ecua]iei liniare cu diferen]e cu coeficien]i
constan]i
~n paragraful 2.4.3 au fost considerate SDLIT [i s-a ar\tat c\
acestea pot fi complet caracterizate de r\spunsul lor la impuls. De
asemenea, a fost prezentat\ [i o alt\ manier\ de descriere a rela]iei intrare
ie[ire pentru aceast\ familie de sisteme discrete, [i anume, prin ecua]ia
cu diferen]e exprimat\ de rela]ia
N

k =1

k =0

y[n ] = a k y[n k ] + bk x[n k ]

(2.114)

Dat\ fiind ecua]ia cu diferen]e cu coeficien]i constan]i care


caracterizeaz\ un sistem discret, liniar, invariant `n timp, `n acest paragraf
se urm\re[te a se ob]ine o expresie explicit\ pentru ie[irea y[n] , printr-o
metod\ numit\ direct\. O metod\ alternativ\, numit\ indirect\, bazat\ pe
folosirea transformatei Z va fi prezentat\ `n paragraful 3.6.2.
Ca [i `n cazul ecua]iilor diferen]iale liniare cu coeficien]i
constan]i, o ecua]ie liniar\ cu diferen]e are solu]ia [3]
y[n] = y p [n] + y h [n]
(2.115)
unde y p [n] reprezint\ o solu]ie particular\ a ecua]iei complete, iar
y h [n] solu]ia general\ a ecua]iei cu diferen]e omogene ( x[n k ] = 0

pentru k = 0, M ).
Solu]ia general\ a ecua]iei cu diferen]e omogene
Prin impunerea intr\rii egal\ cu zero se ob]ine ecua]ia cu diferen]e
omogen\
70

ak y[n k ] = 0 ,

k =0

a0=1

(2.116)

Se alege o solu]ie a ecua]iei omogene de forma


y[n ] = n
~nlocuind (2.117) `n (2.116), se ob]ine
N

ak nk

k =0

(2.117)

=0

(2.118)

n N N + a1 N 1 + a2 N 2 + ... + a N 1 + a N = 0
(2.118')
sau
Ecua]ia (2.118) sau (2.118) se nume[te ecua]ie caracteristic\, iar
polinomul din parantez\ se nume[te polinom caracteristic al sistemului,
care are N r\d\cini notate 1 , 2 , ... , N , care pot fi reale [i/sau
complexe, distincte sau nu. Dac\ coeficien]ii a1 , ... , a N sunt reali, cum se
`ntmpl\ de obicei `n practic\, r\d\cinile sunt fie reale, fie reale [i/sau
perechi complex conjugate. Unele r\d\cini pot fi identice, caz `n care
r\d\cinile se numesc multiple. Pentru `nceput `ns\, se presupune c\
acestea sunt distincte.
Solu]ia general\ a ecua]iei cu diferen]e omogene este [3]
y h [n] = c1 n1 + c2 n2 + ... + c N nN
(2.119)
unde c1 , c2 , ... , c N sunt coeficien]i de ponderare, care se determin\ din
condi]iile ini]iale specificate pentru sistem. Deoarece x[n] = 0 , rela]ia
(2.119) poate fi folosit\ pentru determinarea r\spunsului de intrare zero
y zi [n] al sistemului, coeficien]ii de ponderare determinndu-se din
condi]iile ini]iale y[1], y[2],..., y[ N ] .
Exemplul 2.15.
S\ se determine r\spunsul sistemului cauzal descris de ecua]ia cu
diferen]e omogen\
y[n] 3 y[n 1] 4 y[n 2] = 0
(2.120)
cu condi]iile ini]iale y[ 1] [i y[ 2] .
Solu]ie. Ecua]ia caracteristic\ este n 3 n 1 4 n 2 = 0 , cu r\d\cinile
1 = 1 [i 2 = 4 , astfel `nct forma general\ a solu]iei ecua]iei cu
diferen]e, omogene este
y h [n] = c1 n1 + c2 n2 = c1 ( 1)n + c2 4 n
(2.121)
71

Constantele c1 [i c2 se ob]in din condi]iile ini]iale y[ 1] [i y[ 2] . Din


ecua]ia (2.120) se ob]ine
y[0] = 3 y[ 1] + 4 y[ 2]
(2.122)
y[1] = 3 y[0] + 4 y[ 1] = 13 y[ 1] + 12 y[ 2]
Pe de alt\ parte, din (2.121) se poate scrie
y[0] = c1 + c2
(2.123)
y[1] = c1 + 4 c2
~nlocuind (2.123) `n (2.122), rezult\
1
4
c1 = y[ 1] + y[ 2]
5
5
16
16
c2 =
y[ 1] + y[ 2]
5
5
[i r\spunsul de intrare zero al sistemului va fi
4
16
1

16

y zi [n] = y[ 1] + y[ 2] ( 1)n + y[ 1] + y[ 2] 4 n ; n 0
5
5
5

(2.124)
Dac\ ecua]ia caracteristic\ are r\d\cina 1 multipl\ de ordin m,
iar celelalte r\d\cini, m+1 ,..., N , simple, solu]ia general\ a ecua]iei cu
diferen]e omogene este [3]
y h [n] = c1 n1 + c2 n n1 + c3 n 2 n1 + ... + cm n m1 n1 + cm+1 nm+1 + ... + c N nN .
(2.125)
~n cazul r\d\cinilor complex conjugate [i coeficien]ii corespunz\tori sunt
complex conjuga]i [i contribu]ia acestora se combin\ `ntr-o component\
real\. Astfel, dac\ p [i q sunt cele dou\ r\d\cini complex conjugate
p = r (cos + j sin )
q = r (cos j sin )
contribu]ia lor `n r\spuns este
c p np = c p r n (cos n + j sin n)
cq nq = cq r n (cos n j sin n)

(2.126)

(2.127)

unde coeficien]ii c p [i cq sunt complex conjuga]i


c p = ce j ; c q = ce j
cu c = c p = cq .
72

(2.128)

}innd seama de (2.128), termenii din rela]ia (2.127) se combin\ `n


componenta
c p r n (cos n + j sin n) + c q r n (cos n j sin n) =
(2.129)
= r n ( Ak cos n + Bk sin n)
unde
Ak = 2c cos
(2.130)
Bk = 2c sin
Dac\ ecua]ia caracteristic\ are N1 r\d\cini reale distincte [i (N-N1)/2
perechi de r\d\cini complex conjugate, solu]ia este de forma
N1

N N1
2

k =1

k =1

y h [n] = ck nk +

r n ( Ak cos n + Bk sin n)

(2.131)

Dac\ unele r\d\cini reale sau complex conjugate sunt multiple, `n r\spuns
apar [i termeni de forma (2.125).
Solu]ia particular\ a ecua]iei cu diferen]e
Solu]ia particular\ se ob]ine presupunnd o anumit\ form\ pentru
aceasta, `n func]ie de semnalul de intrare [23]. ~n tabelul 2.1 sunt
prezentate solu]ii particulare pentru cele mai uzuale semnale folosite `n
practica prelucr\rii numerice a semnalelor.
Tabelul 2.1

Semnal de intrare x[n]

Solu]ie particular\ y p [n]

A (constant)

A Mn

k Mn

A nM

k 0 n M + k1 n M 1 + ... + k M

An n M

A n k 0 n M + k1 n M 1 + ... + k M

A cos 0 n

A sin 0 n

k1 cos 0 n + k 2 sin 0 n

M N

ki [n i]

[n]

i =0

Exemplul 2.16.
S\ se determine solu]ia particular\ a ecua]iei cu diferen]e
73

5
1
y[n 1] y[n 2] + x[n ]
6
6
n
la semnalul de intrare x[n] = 2 , n 0 .
y[n ] =

(2.126)

Solu]ia particular\ este


y p [n] = k 2 n u[n]
(2.127)
Substituind (2.127) `n (2.126), se ob]ine
5
1
k 2 n u[n] = k 2 n1 u[n 1] k 2 n2 u[n 2] + 2 n u[n]
6
6
Pentru a determina pe k, ecua]ia precedent\ se evalueaz\ pentru n 2 .
5
1
8
4 k = (2 k ) k + 4 k =
6
6
5
Solu]ia particular\ este
8
y p [n] = 2 n , n 0 .
5
Solu]ia total\ a ecua]iei liniare cu diferen]e [i r\spunsul de
stare zero al sistemului
Solu]ia total\ se ob]ine prin sumarea solu]iei general\ a ecua]iei
omogene cu o solu]ie particular\ a ecua]iei complete, adic\
y[n ] = y h [n] + y p [n]
(2.128)
Suma rezultat\ y[n] , pentru n 0 , con]ine constantele ck ale
solu]iei ecua]iei omogene, care se determin\ din primele e[antione ale
ie[irii calculate iterativ astfel `nct sistemul s\ satisfac\ condi]iile ini]iale
date y[1], y[2],..., y[ N ].
Datorit\ liniarit\]ii sistemului, r\spunsul y[n] poate fi determinat [i cu
rela]ia
y[n] = y zs [n] + y zi [n]
(2.129)

unde y zs [n] este numit r\spuns de stare zero, iar y zi [n] , r\spuns de
intrare zero. R\spunsul de stare zero se determin\ rezolvnd ecua]ia cu
diferen]e `n condi]ii ini]iale nule. A[a cum s-a mai precizat, r\spunsului
de intrare zero se deduce din ecua]ia omogen\, ]innd cont de condi]iile
ini]iale y[1], y[2],..., y[ N ] .
Exemplul 2.17.
Se consider\ un sistem recursiv descris de ecua]ia
y[n ] = a y[n 1] + x[n]
74

(2.130)

unde a constant\. Pentru acest sistem se dore[te aflarea semnalului de


ie[ire `n condi]iile `n care se presupune c\ la intrarea sistemului se aplic\
semnalul, pentru n 0 , f\r\ a face vreo presupunere asupra intr\rii
pentru x[n] n < 0 , dar presupunnd cunoscut\ valoarea y[ 1] , adic\
condi]ia ini]ial\. Caz particular x[n] = 2 n u[n] .
Semnalul de ie[ire poate fi ob]inut prin mai multe metode, [i
anume: folosind rela]ia (2.128), folosind rela]ia (2.129) [i, pentru acest
caz simplu al unei ecua]ii cu diferen]e de ordinul `nti, prin recuren]\.
1. Ecua]ia cu diferen]e omogen\ este
y[n] ay[n 1] = 0
(2.131)
Ecua]ia caracteristic\ este a = 0 , cu r\d\cina = a . Solu]ia ecua]iei
(2.132)
omogene este y h [n] = c1a n
Solu]ia particular\ a ecua]iei neomogene este de forma y p [n] = k 2 n u[n] .
~nlocuind solu]ia particular\ `n ecua]ia cu diferen]e [i apoi evalund-o pe
2
aceasta pentru n=1, rezult\ k =
.
2a
2 n
y p [ n] =
2 ,n0
(2.133)
2a
Conform rela]iei (2.128), solu]ia general\ este
2 n
y[n] = c1a n +
2 ,n0
(2.134)
2a
Evalund (2.130) [i (2.134) `n n=0 [i egalnd cele dou\ rela]ii, se ob]ine
a
.
c1 = ay[1]
2a
1
y[n] = a n+1 y[1] +
(2 n+1 a n+1 ), n 0
(2.135)
2a
2. R\spunsul de intrare zero este
y zi [n] = c1' a n
(2.136)
Evalund (2.131) [i (2.136) `n n=0, apoi egalnd rela]iile, rezult\
c1' = ay[1] .
y zi [n] = a n+1 y[1]
R\spunsului de stare zero este de forma
2 n
y zs [n] = c1" a n +
2 ,n0
2a
75

(2.137)
(2.138)

Constanta c1'' se determin\ prin evaluarea rela]iilor (2.138) [i (2.130) `n


a
n=0 cu condi]ia ini]ial\ nul\. Rezult\ astfel c1" =
.
2a
1
y zs [n] =
(2 n+1 a n+1 ), n 0
(2.139)
2a
~nlocuind (2.137) [i (2.139) `n (2.129), se ob]ine solu]ia total\ de aceea[i
forma cu cea dat\ de (2.135).
3. Plecnd de la (2.130), se poate scrie
y[0] = a y[ 1] + x[0]
y[1] = a y[0] + x[1] = a 2 y[ 1] + a x[0] + x[1]
y[2] = a y[1] + x[2] = a 3 y[ 1] + a 2 x[0] + a x[1] + x[2]
M

(2.141)

y[n ] = a y[n 1] + x[n] = a n +1 y[ 1] + a n x[0] + a n 1 x[1] + ...


+ a x[n 1] + x[n]
sau, mai compact
y[n ] = a n +1 y[ 1] +

a k x[n k ] ,

n0

2.142)

k =0

Particulariznd (2.142) pentru semnalul de intrare considerat, se ob]ine


r\spunsul total al sistemului, evident, de aceea[i form\ cu (2.135).
Se observ\ c\ r\spunsul y[n] dat de (2.142) con]ine doi termeni: primul,
care `l con]ine pe y[ 1] , este un rezultat al condi]iei ini]iale, iar al doilea
termen se datoreaz\ semnalului de intrare x[n] .
Dac\ sistemul este ini]ial relaxat la momentul n = 0 , memoria sa
ar trebui s\ fie zero, deci y[ 1] = 0 . Pentru sistemul descris de (2.130)
r\spunsul de stare zero sau r\spunsul for]at este
y zs [n] =

a k x[n k ] ,

k =0

n0

(2.143)

~n continuare, se presupune sistemul ini]ial nerelaxat ( y[ 1] 0 )


[i intrarea x[n] = 0 pentru to]i n. R\spunsul de intrare zero sau r\spunsul
natural al sistemului este
y zi [n] = a n+1 y[ 1] , n 0
(2.144)
adic\ un sistem recursiv cu condi]ii ini]iale nenule produce un semnal de
ie[ire f\r\ a avea excita]ie, acesta datorndu-se memoriei sistemului.
76

Sistemul descris de (2.130) este cel mai simplu sistem recursiv din
clasa sistemelor recursive descrise de ecua]ii liniare cu diferen]e cu
coeficien]i constan]i. Forma general\ pentru o astfel de ecua]ie este dat\
de rela]ia
N

ak y[n k ] =

k =0

bk x[n k ] ,

a0 1

k =0

(2.145)

Ordinul ecua]iei cu diferen]e sau ordinul sistemului este egal cu N.


Ecua]ia (2.145) exprim\ ie[irea sistemului la momentul n direct ca o sum\
ponderat\ a intr\rii prezente [i a valorilor anterioare ale intr\rii [i ie[irii.
Pentru a determina ie[irea y[n] pentru n 0 este necesar\ cunoa[terea
intr\rii x[n] [i condi]iile ini]iale y[1], y[2],..., y[ N ] . Acestea
sintetizeaz\ "istoria" sistemului necesar\ afl\rii ie[irii prezente [i viitoare.
Exemplul 2.18.
S\ se determine solu]ia total\ a ecua]iei cu diferen]e
5
1
y[n ] = y[n 1] y[n 2] + x[n]
6
6
y[ 1] = 1
.
cnd semnalul de intrare este x[n] = 2 n , n 0 [i
y[ 2] = 2

(2.146)

Se determin\ `nti solu]ia ecua]iei omogene


5
1
n n1 + n2 = 0
6
6
5
1
1
1

n2 2 + = 0 1 = ; 2 =
6
6
2
3

Forma general\ a solu]iei ecua]iei omogene cu diferen]e este


n

1
1
= c1 + c2
y h [n] =
2
3
Din exemplul 2.16, solu]ia particular\ a acestui sistem la intrarea x[n]
8
este dat\ de (2.127) cu k = .
5
Solu]ia total\ este
c1 n1

+ c2 n2

1
1 8
y[n] = c1 + c2 + 2 n , n 0
5
2
3
77

(2.147)

unde constantele c1 [i c2 se determin\ astfel `nct s\ satisfac\ condi]iile


ini]iale.
Din (2.146) rezult\
5
1
y[0] = y[ 1] y[ 2] + 1
6
6
(2.148)
5
1
19
5
17
y[1] = y[0] y[ 1] + 2 =
y[ 1]
y[ 2] +
6
6
36
36
6
Din (2.147) se ob]ine
8
y[0] = c1 + c2 +
5
(2.149)
1
1 16
y[1] = c1 + c2 +
2
3 5
Introducnd (2.149) `n (2.148) rezult\ c1 [i c2 `n func]ie de condi]iile
ini]iale y[ 1] [i y[ 2] .
1
c1 + c2 =
1
2
10
c1 = ; c2 =
1
1
7
2
5
c1 + c2 =
2
3
60
n

1 1
2 1 8
y[n] = + + 2 n , n 0
(2.150)
2 2
5 3
5
Pe de alt\ parte, r\spunsul total al sistemului se mai poate ob]ine
din sumarea r\spunsului de stare zero cu r\spunsul de intrare zero.
y[n] = y zs [n] + y zi [n]
(2.151)
R\spunsul de stare zero se ob]ine din (2.147), prin evaluarea
coeficien]ilor `n condi]ii ini]iale nule.
8

c'1 + c'2 + = 1

2
5
c'1 = 1 ; c' 2 =
1
1
16 17
5
c'1 + c'2 + =
2
3
5
6
n

2 1 8
1
y zs [n] = + + 2 n
(2.152)
5 3 5
2
R\spunsul de intrare zero se ob]ine din rezolvarea ecua]iei
omogene [i folosirea condi]iilor ini]iale
78

1
1
y h [n] = c"1 + c"2
2
3
(2.153)
5
1
y[n ] y[n 1] + y[n 2] = 0
6
6
y[0] = c"1 + c"2

(2.154)

1
1
y[1] = 2 c"1 + 3 c"2
5
1

y[0] = 6 y[ 1] 6 y[ 2]
(2.155)

19
5
y[1] =
y[ 1]
y[ 2]

36
36
1
Din egalarea ecua]iei (2.155) cu (2.154) rezult\ c"1 = , c"2 = 0 [i
2
n

1 1
(2.156)

2 2
R\spunsul total al sistemului se ob]ine din sumarea r\spunsurilor de stare
zero [i de intrare zero, adic\, `n cazul exemplului considerat
y zi [n] =

2 1 8
1 1
1 1
2 1 8
1
y[n] = + + 2 n + = + + 2 n
5 3
5
2 2
2 2
5 3
5
2
(2.157)
Evident, y[n] ob]inut cu rela]ia (2.157) este identic cu cel dat de
(2.150) ob]inut pe baza solu]iei ecua]iei omogene [i a solu]iei particulare,
cu constantele c1 [i c2 determinate corespunz\tor.

2.6.2. R\spunsul la impuls al sistemelor discrete, liniare,


invariante `n timp
R\spunsul la impuls al unui sistem discret, liniar, invariant `n
timp, relaxat a fost definit ca r\spunsul sistemului la excita]ia impuls
unitate. ~n cazul sistemelor recursive, r\spunsul la impuls este egal cu
r\spunsul de stare zero, cnd intrarea este x[n] = [n] .
De exemplu, `n cazul sistemului recursiv de ordinul `nti din exemplul
2.17, r\spunsul de stare zero este
79

y zs [n] =

a k x[n k ] ,

n 0.

(2.158)

k =0

care, particularizat pentru x[n] = [n] , este


n

y zs [n] = a k [n k ] = a n , n 0 .

(2.159)

k =0

~n concluzie, r\spunsul la impuls al sistemului recursiv, de ordinul `nti,


descris de (2.130), este
h[n] = a n u[n]
(2.160)
}innd cont de (2.160) [i (2.158), se observ\ c\ r\spunsul de stare zero
este convolu]ia dintre r\spunsul la impuls al sistemului [i semnalul cauzal
de intrare.
~n cazul general al unui sistem arbitrar, liniar, invariant `n timp,
recursiv, cauzal, r\spunsul de stare zero la un semnal de intrare cauzal
este
y zs [n] =

h[k ]x[n k ] ,

n 0.

(2.161)

k =0

Cnd semnalul de intrare este impulsul unitate, ( x[n] = [n] ), (2.161)


devine
y zs [n] = h[n] .
(2.162)
Pentru a determina r\spunsul la impuls al unui sistem discret
descris de o ecua]ie liniar\ cu diferen]e, cu coeficien]i constan]i se face
apel la paragraful 2.6.1, conform c\ruia, r\spunsul total al unui astfel de
sistem este suma dintre solu]ia ecua]iei omogene [i o solu]ie particular\ a
ecua]iei generale. Solu]ia particular\ este dat\ `n tabelul 2.1. Pentru cazul
`n care N>M, solu]ia particular\ este egal\ cu zero [i r\spunsul la impuls
const\ numai din solu]ia ecua]iei omogene, cu coeficien]ii ck din (2.119)
evalua]i astfel `nct s\ satisfac\ condi]iile ini]iale dictate de impuls.
Exemplul 2.19.
S\ se determine r\spunsul la impuls h[n] al sistemului descris de
ecua]ia cu diferen]e de ordinul doi
y[n] 3 y[n 1] 4 y[n 2] = x[n] + 2 x[n 1]
(2.163)
~n exemplul 2.15 s-a determinat solu]ia ecua]iei cu diferen]e omogene
pentru acest sistem de forma
y h [n] = (c1 ( 1)n + c2 4 n )u[n]
(2.164)

80

Cum pentru intrarea x[n] = [n] , solu]ia particular\ este zero, r\spunsul la
impuls al sistemului este dat de (2.164), unde c1 [i c2 sunt evaluate s\
satisfac\ rela]ia (2.163).
Pentru n=0 [i n=1, (2.163) devine
y[0] = 1
(2.165)
y[1] = 5
`n condi]iile y[1] = y[2] = 0 , deoarece sistemul trebuie s\ fie relaxat.
Evalund (2.164) `n n=0 [i n=1, se ob]ine
y[0] = c1 + c2
(2.166)
y[1] = c1 + 4c2
Din (2.165) [i (2.166) rezult\ c1=-1/5, c2=6/5. R\spunsul la impuls al
sistemului este
5
1
h[n] = ( 1)n + 4 n u[n]
(2.167)
6
5
Pentru o ecua]ie liniar\ cu diferen]e, cu coeficien]i constan]i r\spunsul la
impuls este de forma solu]iei ecua]iei omogene
N

h[n] = ck nk

(2.168)

k =1

unde r\d\cinile polinomului caracteristic s-au presupus distincte.


se
determin\
din
condi]ii
ini]iale
nule
Coeficien]ii
ck
y[1] = y[2] = ... = y[ N ] = 0 .
Exprimarea r\spunsului la impuls `n forma (2.168) permite stabilirea unei
leg\turi `ntre r\d\cinile polinomului caracteristic [i stabilitatea `n sens
MIME a sistemului, dup\ cum urmeaz\

h[n] = ck nk ck

n =0

Dac\ k < 1, k = 1, N

n =0 k =1

n
k
n =0

k =1

(2.169)

n =0

< , [i, deci,

h[n] < .

n =0

Pe de alt\ parte, dac\ una sau mai multe din m\rimile k 1, h[n] nu
mai este absolut sumabil [i, `n consecin]\, sistemul este instabil. Prin
urmare, o condi]ie necesar\ [i suficient\ pentru stabilitatea sistemelor
cauzale recursive descrise prin ecua]ii liniare cu diferen]e cu coeficien]i
constan]i este ca r\d\cinile polinomului caracteristic s\ fie subunitare `n
modul.

81

2.7. Probleme propuse

a)
b)

c)
d)
e)

2.1. Un semnal discret x[n] este definit sub forma


n
1 + 3 , 3 n 1

x[n] = 1
, 0n3
0
, n rest .

S\ se determine valorile semnalului [i s\ se reprezinte x[n] ;


S\ se reprezinte semnalul care rezult\ dac\
1) `nti se reflect\ x[n] , apoi se `ntrzie semnalul rezultat cu 4
e[antioane;
2) `nti se `ntrzie x[n] cu 4 e[antioane, apoi se reflect\ semnalul
rezultat.
S\ se reprezinte semnalul x[ n + 4] ;
S\ se compare rezultatele de la pct. b) [i c). Cum se poate ob]ine
x[ n + 4] din x[n] ?
S\ se exprime x[n] `n func]ie de semnalele [n] [i u[n] .

2.2. Un semnal discret x[n] este reprezentat `n figura p2.2. S\ se


calculeze [i s\ se reprezinte fiecare din urm\toarele semnale

Figura p2.2.

a)
b)
c)
d)
e)

x[n 2] ;
x[4 n] ;
x[n + 2] ;
x[n] u[2 n] ;
x[n 1] [n 3];
82

[ ]

f) x n 2 ;
g) partea par\ a lui x[n] ;
h) partea impar\ a lui x[n] .
2.3. S\ se arate c\ orice semnal poate fi descompus `ntr-o parte par\ [i
una impar\. Este aceast\ descompunere unic\ ? Ilustra]i argumenta]ia
utiliznd semnalul
x[n] = {2, 3, 4, 5, 6}

[ ]

a)
b)

c)
d)

2.4. Fie sistemul y[n ] = H [x[n]] = x n 2 .


S\ se determine dac\ este invariant `n timp;
Se aplic\ sistemului semnalul
1 , 0 n 3
x[n] =
0 , n rest .
1) s\ se reprezinte x[n] ;
2) s\ se determine [i s\ se reprezinte y[n] = H [x[n]] ;
3) s\ se reprezinte y 2 [n] = y[n 2];
4) s\ se determine [i s\ se reprezinte x2 [n ] = x[n 2] ;
5) s\ se determine [i s\ se reprezinte semnalul y 2 [n] = H [x2 [n]] ;
6) s\ se compare semnalele y 2 [n] cu y[n 2] . Ce concluzie
rezult\ ?
S\ se repete pct. b) pentru sistemul y[n] = x[n] x[n 1] ;
S\ se repete pct. b) pentru sistemul y[n ] = H [x[n]] = n x[n ] .

2.5. Un sistem discret poate fi


1) static sau dinamic;
2) liniar sau neliniar;
3) invariant sau variant `n timp;
4) cauzal sau necauzal;
5) stabil sau instabil.
S\ se examineze urm\toarele sisteme din punctul de vedere al
propriet\]ilor de mai sus.
a) y[n ] = cos[x[n]] ;

83

b) y[n ] =

n +1

d)
e)

x[k ] ;
y[n] = x[n] cos 0 n ;
y[n] = x[ n + 2] ;
y[n] = Trun[x[n]] , unde

h)
i)
j)
k)

y[n] = x[n] u[n] ;


y[n ] = x[n] + n x[n + 1] ;
y[n] = x[2n] ;
y[n] = x[ n] .

k =

c)

Trun [x[n]] reprezint\ partea `ntreag\ a lui


x[n] ob]inut\ prin trunchiere;
f) y[n] = Round[x[n]] , unde Round[x[n]] reprezint\ partea `ntreag\ a lui
x[n] ob]inut\ prin rotunjire;
g) y[n] = x[n] ;

2.6. S\ se determine [i s\ se reprezinte convolu]ia y[n] a semnalelor


1
n
x[n] = 3
0
1 ,
h[n] =
0 ,

, 0n6
, n rest .
2 n 2
n rest.

a) numeric;
b) grafic.
2.7. S\ se calculeze convolu]ia y[n] a urm\toarelor perechi de
semnale:
n , 3 n 5
1 , 0 n 4
a) x[n] =
, h[n] =
0 , n rest .
0 , n rest .
b) x[n] = a n u[n]
h[n] = b n u[n] , pentru a b [i a = b.

84

1 , n = 2,0,1

c) x[n] = 2 , n = 1 ,
0 , n rest.

h[n] = [n] [n 1] + [n 4] + [n 5] .

d) x[n] = u[n + 1] u[n 4] [n 5] ,

h[n] = [u[n + 2] u[n 3]] (3 n ) .

2.8. Fie x[n] , cu N1 n N 2 [i h[n] , M 1 n M 2 , dou\ semnale de


durat\ finit\.
a) S\ se determine domeniul L1 n L2 al convolu]iei lor `n func]ie de
N1 , N 2 , M 1i M 2 ;
b) S\ se determine limitele domeniului convolu]iei `n cazul `n care cele
dou\ semnale se suprapun par]ial `n stnga, se suprapun complet [i se
suprapun par]ial `n dreapta. Se presupune h[n] de durat\ mai mic\
dect x[n] .
c) S\ se ilustreze rezultatele ob]inute calculnd convolu]ia urm\toarelor
semnale
1 , 2 n 4
2 , 1 n 2
x[n] =
,
h[n] =
0 , n rest .
0 , n rest .
2.9. S\ se determine r\spunsul la impuls [i la treapta unitate al
sistemelor descrise de urm\toarele ecua]ii cu diferen]e:
a) y[n] = 0.6 y[n 1] 0.08 y[n 2] + x[n] ;
b) y[n] = 0.7 y[n 1] 0.1 y[n 2] + 2 x[n] x[n 2] .
2.10. Se consider\ SDLIT interconectate ca `n figura p2.10.

x[n]

h2 [n]

y[n]

h1 [n]

h3 [n]

h4 [n]

Figura p2.10.

a) S\ se exprime r\spunsul la impuls al `ntregului sistem `n func]ie de


h1 [n] , h2 [n], h3 [n] [i h4 [n] ;
85

b)

S\

se

determine

h[n] ,

dac\

1 1 1
h1 [n] = , , ,
2 4 2

h2 [n] = h3 [n] = (n + 1) u[n] , h4 [n] = [n 2] ;


c) S\ se determine r\spunsul sistemului de la pct. b) dac\
x[n] = [n + 2] + 3 [n 1] 4 [n 3] .

2.11. S\ se determine r\spunsul sistemului al c\rui r\spuns la impuls


este h[n] = a n u[n] la semnalul de intrare x[n] = u[n ] u[n 10] .
2.12. Dou\ semnale s[n] [i v[n] sunt legate prin urm\toarea ecua]ie
cu diferen]e
s[n] + a1 s[n 1] + K + a N s[n N ] = b0 v[n] .
a) S\ se deseneze implementarea
1) sistemului care genereaz\ s[n] cnd este excitat cu v[n] ;
2) sistemului care genereaz\ v[n] cnd este excitat cu s[n] ;
b) care este r\spunsul la impuls al cascadei formate prin interconectarea
sistemelor 1 [i 2?
2.13. Un sistem discret are realizarea din figura p2.13.

x[n]

2
+

y[n]

z 1
0.8

3
Figura p2.13.

a) S\ se calculeze r\spunsul la impuls al sistemului;


b) S\ se implementeze sistemul invers, adic\ cel care produce ie[irea
x[n] pentru intrarea y[n] .
2.14. S\ se determine r\spunsul y[n] , n 0 al sistemului descris de
ecua]ia cu diferen]e de ordinul doi
86

y[n] 4 y[n 1] + 4 y[n 2] = x[n] x[n 1]

la intrarea x[n] = ( 1)n u[n] [i condi]iile:


a) y[ 1] = y[ 2] = 0
b) y[ 1] = 1 ; y[ 2] = 1 .

2.15. S\ se determine r\spunsul la impuls h[n] al sistemului descris


de ecua]ia cu diferen]e de ordin doi
y[n] 4 y[n 1] + 4 y[n 2] = x[n] x[n 1] .
2.16. S\ se calculeze secven]ele de corela]ie rxx [l ] , rxy [l ] [i ryx [l ] ale
urm\toarelor secven]e:
1 , n0 N n n0 + N
1 , N n N
x[n] =
,
y[n] =
0 , n rest .
0 , n rest .
2.17. S\ se determine secven]a de autocorela]ie a urm\toarelor
secven]e:
a) x[n] = {1, 2, 1, 1};
b) y[n] = {1, 1, 2, 1} .
Ce concluzie se desprinde ?

87

CAPITOLUL 3

TRANSFORMATA Z {I APLICA}IILE EI LA
ANALIZA SISTEMELOR DISCRETE, LINIARE,
INVARIANTE ~N TIMP
3.1. Transformata Z
~n analiza semnalelor [i a sistemelor discrete, liniare, invariante `n
timp, transformata Z joac\ acela[i rol ca transformata Laplace `n analiza
semnalelor [i a sistemelor analogice, liniare, invariante `n timp.

3.1.1. Transformata Z direct\


Transformata Z a unui semnal discret x[n] este definit\ ca o serie
de puteri
X ( z)

x[n]z n

(3.1)

n =

unde z este o variabil\ complex\. ~n planul complex z, `n abscis\ se trece


partea real\ a variabilei complexe z, iar `n ordonat\, partea sa imaginar\.
Rela]ia (3.1) se nume[te transformat\ Z direct\, pentru c\ transform\
semnalul definit `n domeniul timp `n reprezentarea sa `n planul complex,
X(z).
Transformata Z a unui semnal x[n] va fi notat\ cu
X ( z ) = Z {x[n]} ,
(3.2)
`n timp ce rela]ia dintre x[n] [i X (z ) va fi indicat\ de reprezentarea
Z
(3.3)
x[n]
X ( z)
Deoarece transformata Z este o serie infinit\ de puteri, ea exist\ numai
pentru acele valori ale lui z pentru care seria converge. Regiunea de
convergen]\ (RC) a transformatei X(z) este dat\ de mul]imea valorilor lui
z pentru care X(z) are valori finite. Ori de cte ori este dat\ o transformat\
Z, trebuie precizat\ [i RC corespunz\toare.

88

Exemplul 3.1.
S\ se determine transformata Z pentru urm\toarele semnale de
durat\ finit\:
a) x1[n] = {1,2,5,7,0,1}
b) x2 [n] = {1,2,5,7,0,1}

c) x3 [n] = {0,0,1,2,5,7,0,1}
d) x4 [n] = [n]
e) x5 [n] = [n k ], k > 0
f) x5 [n] = [n + k ], k > 0
Solu]ie. Din defini]ia (3.1) se ob]ine:
a) X1(z) = 1+2z-1+5z-2+7z-3+z-5, RC: planul z {z = 0}.
b) X2(z) = z2+2z+5+7z-1+z-3, RC: planul z {z = 0; z = }.
c) X3(z) = z-2+2z-3+5z-4+7z-5+z-7, RC: planul z {z = 0}.
d) X4(z) = 1, RC: planul z.
e) X5(z) = z-k, RC: planul z {z = 0}.
f) X6(z) = zk, RC: planul z { z = }.
Din exemplul precedent se observ\ c\ RC a semnalelor de durat\ finit\
este `ntreg planul z, exceptnd eventual punctele z = 0 i/sau z = , unde
unii termeni ai seriei devin nemrginii. Din definiia transformatei Z, se
observ c transformata Z a prii cauzale a unei secvene conine numai
puteri negative ale variabilei z, iar partea pur necauzal, numai puteri
pozitive. Pentru secvene de durat finit x[n] = {x N1 , x N1 +1 ,..., x N 2 } , cu N1,
N2 numere ntregi, se consider c x[n] = 0 , pentru x [ N1 , N 2 ] .
n unele cazuri, sumele finite sau infinite ale unei serii de puteri
pot fi exprimate mai compact, dac seria converge ntr-o regiune.
Exemplul 3.2.
n

1
Transformata Z a semnalului x[n] = u[n] este
2
2

1
1
1
1
1

X ( z ) = 1 + z 1 + z 2 + ... + z n + ... = z n = z 1
2
2
2

n =0 2
n =0 2
1
care este o serie geometric infinit, convergent pentru z 1 < 1 , i,
2

89

1
1
1
pentru z > , adic RC: z > .
1
2
2
1 z 1
2
Dac n relaia (3.1) variabila complex se exprim sub form polar
z = r e j , unde r = |z| i = z , atunci X(z) poate fi scris sub forma
deci, X ( z ) =

X ( z ) | z = re j =

x[n]r n e j n

(3.4)

n =

n regiunea de convergen a lui X(z), | X(z) | < .


X ( z) =

x[n]r n e j n

n =

n =

x[n]r n e j n =

x[n]r n

(3.5)

n =

Prin urmare, |X(z)| este finit dac x[n]r n este absolut sumabil. Problema
gsirii RC pentru X(z) este echivalent cu determinarea domeniului de
valori pentru r, pentru care x[n]r n este absolut sumabil. Pentru aceasta,
se exprim (3.5) sub forma
1

x[n]
x[n]
X ( z ) x[n]r n + n = x[ n]r n + n
(3.6)
n =0 r
n =1
n =0 r
n =
Dac\ |X(z)| converge `ntr-o regiune a planului complex, ambele sume din
(3.6) trebuie s\ fie finite `n acea regiune. Dac prima sum, care
corespunde prii necauzale a lui x[n], converge, trebuie s existe valori
suficient de mici pentru r, astfel nct produsele x[n]r n s fie absolut
sumabile pentru n 1 . Aadar, pentru prima sum, RC este format din
punctele dintr-un cerc de raz r1 < ca n figura 3.1a. Dac a doua sum,
care corespunde prii cauzale a lui x[n], converge, trebuie s existe
x[n]
valori pentru r suficient de mari, astfel nct
, 0 n < , s fie
rn
absolut sumabil. Regiunea de convergen pentru a doua sum const din
punctele din afara unui cerc de raz r > r2, ca n figura 3.1b. Deoarece
convergena lui X(z) implic ambele sume din (3.6) finite, RC pentru
X(z) este regiunea inclus din planul z, r2<r<r1, figurat n figura 1c.
Dac r2>r1, nu exist regiune de convergen comun pentru cele dou
sume i, deci, X(z) nu exist.
Conceptul de regiune de convergen n legtur cu transformata Z
este ilustrat pe urmtoarele dou exemple.

90

Figura 3.1. Regiunea de convergen pentru a) partea pur necauzal a lui X(z), b) partea
cauzal a lui X(z) i c) X(z)

Exemplul 3.3.
S se determine transformata Z a semnalului
n n 0
x[n] = n u[n] =
0 n < 0
Soluie. Aplicnd definiia (3.1), se obine
X ( z) =

n n

n =0

(z

n =0

(3.7)

Dac z 1 < 1 sau, echivalent, z > , seria X(z) converge la

1
.
1 z 1

1
RC z >
(3.8)
1 z 1
Dac n (3.8) se impune = 1, se obine transformata Z a treptei unitate
1
Z
x[n] = u[n]
X ( z) =
RC : z > 1
(3.9)
1 z 1
Z
x[n] = n u[n]
X ( z) =

Exemplul 3.4.
S se determine transformata Z a semnalului
n0
0
x[n] = n u[ n 1] = n
n 1

Soluie. Aplicnd definiia (3.1), se obine
X ( z) =

n =

n z n = 1 z
m=1

(3.10)

, unde m = n.

Dac 1 z < 1 , sau, echivalent, z < , X ( z ) =


91

1 z
1
=
1 1 z 1 z 1

Z
x[n] = n u[u 1]
X ( z) =

1
1 z 1

RC : z <

Figura 3.2. Regiuni de convergen]\ pentru diverse tipuri de semnale


92

(3.11)

Din comparaia exemplelor 3 i 4 se desprind urmtoarele observaii:


1. Dou semnale diferite, unul cauzal, dat de (3.7), i cellalt necauzal, dat
de (3.10), au aceeai transformat Z, adic
1
Z n u[n] = Z n u[ n 1] =
1 z 1
i, prin urmare, cunoaterea transformatei Z a unui semnal fr precizarea
RC nu determin n mod unic semnalul respectiv. Aceast ambiguitate se
elimin prin specificarea regiunii de convergen. n continuare, termenul
de transformat Z va fi folosit pentru a face referire att la expresia
analitic a transformatei Z ct i la regiunea ei de convergen;
2. Exemplul 3 ilustreaz faptul c RC a unui semnal cauzal este exteriorul
unui cerc de raz r2=, iar exemplul 4 ilustreaz c RC a unui semnal
necauzal este interiorul unui cerc de raz r1=. Pentru un semnal bilateral
(care are o parte cauzal i una pur necauzal) RC, dac exist, va fi un
inel circular, ca n fig.1c.
Din cele prezentate pn acum s-a observat c RC a unui semnal
depinde att de durata sa (finit sau infinit) ct i de faptul dac este sau
nu cauzal, dependen artat n figura 3.2.
Transformata Z dat de relaia (3.1) mai este cunoscut ca
transformata Z bilateral, pentru a o deosebi de transformata Z unilateral
definit de relaia

} {

X + (Z ) =

x[n]z n

(3.12)

n =0

n continuare se va folosi expresia de transformat Z n desemnarea


transformatei bilaterale date de (3.1). Evident, dac semnalul x[n] este
cauzal, transformata Z bilateral i cea unilateral sunt identice.

3.1.2. Transformata Z invers


n multe cazuri se dispune de transformata Z a unui semnal i
trebuie determinat semnalul x[n], lucru care se realizeaz cu ajutorul
transformatei Z inverse. O formul de obinere a lui x[n] din X (z ) se
bazeaz pe teorema integral a lui Cauchy [23].
Se presupune transformata Z de forma
X ( z) =

x[k ]z k

k =

93

(3.13)

Multiplicnd ambii membri ai relaiei (3.13) cu zn-1 i apoi integrnd pe


un contur nchis din RC a lui X(z) care conine originea, se obine
n 1
c X ( z ) z dz = c

x[k ]z n1k dz

(3.14)

k =

unde c reprezint un contur nchis din RC ce conine originea, parcurs


n sens antiorar. Deoarece seria converge pe acest contur, se poate
schimba ordinea integrrii i sumrii din membrul drept al relaiei (3.14),
obinndu-se
n 1
c X ( z ) z dz =

k =

x[k ]c z n1 k dz

(3.15)

Conform teoremei integrale a lui Cauchy, se poate scrie


1, k = n
1
n k 1
=
z
dz
(3.16)

2j c
0, k n
Aplicnd (3.16) n (3.15), membrul drept se reduce la 2jx[n] i formula
de inversiune este
1
x[n] =
X ( z ) z n1dz
(3.17)

c
2j
Dei relaia de inversiune (3.17) permite obinerea originalului din
transformata Z, ea nu se folosete direct n evaluarea transformatei Z
inverse atunci cnd se opereaz cu semnale care au transformate Z
raionale (raport de polinoame), deoarece pentru acestea s-au dezvoltat
metode mai simple de inversiune.
Transformata Z invers a lui X(z) se noteaz cu Z-1, adic
x[n] = Z 1 {X ( z )} .

3.2. Proprietile transformatei Z


Transformata Z reprezint un instrument foarte puternic n studiul
semnalelor i sistemelor discrete, nsuire ce este o consecin a
proprietilor pe care le posed. Cnd ntr-o expresie intervin mai multe
transformate Z, va rezulta o transformat a crei regiune de convergen
este cel puin intersecia regiunilor de convergen a transformatelor
individuale.
1. Liniaritatea
Z
x1[n]
X 1 ( z ) z RC1
Dac
Z
x2 [n]
X 2 ( z ) z RC 2
94

atunci
Z
x[n] = a1 x1[n] + a2 x2 [n]
X ( z ) = a1 X 1 ( z ) + a2 X 2 ( z )
(3.18)
cu RC - cel puin intersecia dintre RC1 i RC2.
Aceast proprietate se demonstreaz simplu, aplicnd definiia (3.1)

2. Translaia sau deplasarea n timp


Z
Dac x[n]
X ( z)
atunci
Z
x[n k ]
z k X ( z)
Demonstraia rezult imediat din aplicarea definiiei (3.1).
Z {x[n k ]} =

x[n k ]z n =

n =

-k

x[m]z m z k

m =

(3.19)

= z k X ( z ) ,

unde m=n-k. RC pentru z X(z) este aceeai cu RC pentru X(z),


exceptnd z=0 pentru k>0 i z= pentru k<0.
3. Modularea n timp
Z
Dac x[n]
X ( z ), RC : r1 < z < r2
atunci

Z
e j0n x[n]
X e j0 z

RC : r1 < z < r2

(3.20)

Demonstraie

Ze

j0 n

x[n] =

j0 n

n =

x[n]z

x[n](e

n =

j0

= X e j0 z ,

RC : r1 < z < r2
Regiunea de convergen a transformatei semnalului modulat este aceeai
cu a semnalului iniial, deoarece multiplicarea cu e j0 a variabilei z nu
modific modulul variabilei complexe, ci numai unghiul su.
Se poate stabili o relaie mai general, modulnd cu z 0n , z 0 1 .

z
z
z
Z
=
= x[n] = X ; RC : r1 <
< r2
z0
n =
z0
z0
Dac z0 este real, adic z0=a, se obine scalarea n domeniul z, adic
z 0n x[n]

z 0n x[n]z n
n =

Z a n x[n] =

a n x[n]z n =

n =

95

x[n](a 1 z )

n =

( )

= X a 1 z

Deoarece RC pentru X(z) este r1 < z < r2 , RC pentru X(a-1z) este


r1 < a 1 z < r2 sau a r1 < z < a r2 .
4. Reflectarea semnalului
Z
Dac x[n]
X ( z ) RC: r1 < z < r2
atunci
Z
x[n]
X ( z 1 )

Demonstraie
Z {x[n]} =
unde m = -n.

RC :

1
1
< z <
r2
r1

x[n]z n = x[m](z 1 )

n =

m =

(3.21)

= X ( z 1 )

1
1
< z<
r2
r1
Se observ c dac z0 aparine RC a lui x[n], 1/z0 aparine RC pentru
x[-n].

RC a lui X(z-1) este r1 < z 1 < r2 sau, echivalent,

5. Derivarea transformatei Z
Z
Dac x[n]
X ( z)
z RC
atunci
dX ( z )
Z
nx[n]
z
z RC
(3.22)
dz
Demonstraie
Prin derivarea ambilor membri ai relaiei (3.1) rezult

dX ( z )
= x[n][ n]z n 1 = z 1 [n x[n]]z n = z 1 Z {n x[n]}.
dz
n =
n =
Ambele transformate au aceeai regiune de convergen.
6. Transformarea diferenei
Z
Dac x[n]
X ( z)
z RC
atunci
Z
x[n] x[n 1]
(1 z 1 ) X ( z ), z RC {z = 0}
(3.23)
Demonstraia se obine aplicnd proprietatea 2 de translare n timp.
96

7. nsumarea n timp
Z
Dac x[n]
X ( z)
atunci
y[n] =

z RC

Z
x[k ]

k =

Demonstraie
Semnalul sum y[n] =
crei

x[k ]

X ( z)
,
1 z 1

z RC {z = 1}

(2.24)

satisface relaia x[n] = y[n] y[n 1] , a

k =

X ( z ) = Y ( z ) z 1Y (z ) .

tranformat

Z este
n
X ( z)
Z
.
y[n] = x[k ]
1 z 1
k =

consecin,

8. Transformarea semnalului complex conjugat


Z
X ( z)
z RC unde x[n] este o secven complex,
Dac x[n]
atunci
Z
x * [n]
X (z )
Demonstraie

Z {x [n]} =

[ n] z

n =

( )


= x[n] z
n=

9. Teorema convoluiei
Z
Dac x1[n]
X 1 ( z)
Z

x2 [n] X 2 ( z )

= X ( z ),

z RC

RC1
RC2

atunci
Z
x[n] = x1[n] x2 [n]
X ( z) = X 1 ( z) X 2 ( z)
cu RC intersecia RC1 cu RC2.

Demonstraie
x[n] =
X ( z) =

x1[k ]x2 [n k ]

k =

x[n] z n =

n =

x1[k ]x2 [n k ] z n =
n = k =

97

(3.25)

x1[k ]

k =

= X 2 ( z)

x 2 [n k ]z n =

n =

x1[k ]z k

k =

x1[k ]

k =

x2 [m]z m z k

m =

= X 2 ( z) X 1 ( z)

Convoluia este una dintre cele mai importante proprieti ale


transformatei Z deoarece transform convoluia a dou semnale din
domeniul timp ntr-o multiplicare a transformatelor Z.
Uneori, pentru calculul convoluiei a dou semnale se recurge la
folosirea transformatei Z, dup cum urmeaz:
1 - se calculeaz transformatele Z ale semnalelor implicate n convoluie
X 1 ( z ) = Z {x1[n]}
domeniul timp domeniul z.
X 2 ( z ) = Z {x2 [n]}
2 - se multiplic cele dou transformate
X ( z) = X 1 ( z) X 2 ( z)
n domeniul z.
3 - se efectueaz transformarea invers
x[n] = Z 1 {X ( z )}
domeniul z domeniul timp.
n multe cazuri aceast procedur implic un efort de calcul mai
mic dect calculul direct al sumei de convoluie.
10. Teorema corelaiei
Z
Z
X 1 ( z ) , z RC1 x2 [n]
X 2 ( z ) , z RC 2
Dac x1[n]
atunci rx1x2 [l ] =

Z
Rx x
x1[n]x2 [n l ]

1 2

n =

( z ) = X 1 ( z ) X 2 ( z 1 ) (3.26)

RC: intersecia RC pentru X1(z) cu RC pentru X2(z-1)


Demonstraie
Se reamintete c rx1x2 [l ] = x1[l ] x2 [l ]
Folosind proprietile de convoluie i de reflectare n timp, se
obine

R x1x2 ( z ) = Z {x1[l ]} Z {x2 [l ]} = X 1 ( z ) X 2 ( z 1 ) .


Ca i n cazul convoluiei, corelaia a dou semnale poate fi calculat mai
uor cu relaia (3.26), urmat de transformarea invers a rezultatului.
11. Teorema produsului semnalelor n domeniul timp
Z
X 1 ( z ) , r1l < z < r1u
Dac x1[n]
98

Z
i x2 [n]
X 2 ( z ) , r2l < z < r2u
atunci

1
z
X 1 (v) X 2 v 1dv (3.27)

c
2j
v
unde c este un contur nchis care include originea plasat n regiunea
comun de convergen a lui X1(v) i X2(1/v).
Demonstraie
Z
x3 [n] = x1[n] x2 [n]
X 3 ( z) =

X 3 ( z) =

n =

n =

x3 [n]z n = x1[n] x2 [n]z n

Se nlocuiete x1[n] cu transformata invers a lui X1(z), conform relaiei


(3.17)
1
x1[n] =
X 1 (v)v n1dv ,

c
2j
apoi se schimb ordinea sumei cu integrala
n

1
1
z 1
z
X 3 ( z) =
X 1 (v) x 2 [n] v dv =
X 1 (v) X 2 v 1dv

c
c
2j
2j
v
v
n =
Pentru a gsi RC pentru X3(z), se observ c dac X1(v) converge pentru
z
r1l < v < r1u i X2(z) pentru r2l < z < r2u , atunci RC pentru X 2 este
v
z
r2l < < r2u . RC pentru X3(z) este cel puin
v
r1l r2 l < z < r1u r2 u
Dac x2 [n] = x1 [n] , se obine

x[n] z n =

n =

1
z dv

X
(
v
)
X
v v
2j c

Pentru z=1, rezult expresia teoremei lui Parseval n domeniul z.

1
2
1 dv
x[n] = 2j c X (v)X v , unde c este un contur n RC.
v
n =
12. Teorema valorii iniiale
Dac x[n] este un semnal discret cauzal (x[n]=0 pentru n<0), atunci
x[0] = lim X ( z )
(3.28)
z

99

Demonstraie

X ( z ) = x[n]z n = x[0] + x[1]z 1 + x[2]z 2 + ...


n =0
-n

Evident, pentru z, z 0, pentru n 1 , i (3.28) rezult imediat.


n Tabelul 3.1 sunt date cteva perechi semnal transformat Z,
uzuale, frecvent utilizate n practic.
Tabel 3.1
Semnal
Transformat Z
RC
x[n]
X(z)
1.
[n]
1
ntreg planul z
1
z >1
2.
u[n]
1 z 1
z 1
z >1
3.
nu[n]
2
1 z 1
1
z > a
4.
anu[n]
1 az 1
az 1
n
z > a
5.
na u[n]
2
1 az 1
1
z < a
6.
-anu[-n-1]
1 az 1
az 1
n
z < a
7.
-na u[-n-1]
2
1 az 1
(n + k 1)! n
1
a u[n]
z > a
8.
n!(k 1)
(1 az 1 ) k
9.
10.

11.

(cos0n)u[n]

1 z 1 cos 0
1 2 z 1 cos 0 + z 2

z >1

(sin0n)u[n]

z 1 sin 0
1 2 z 1 cos 0 + z 2

z >1

(ancos0n)u[n]

1 az 1 cos 0
1 2az 1 cos 0 + a 2 z 2

100

z > a

12.

(ansin0n)u[n]

az 1 sin 0
1 2az 1 cos 0 + a 2 z 2

z > a

Exemplul 3.5.
S se determine transformata Z a semnalelor
a) x1[n] = n ,
b) x2 [n] = n 2 ,
c) x3 [n] = n 3 .
Soluie
a) Fie x[n] = u[n] . X ( z ) =

z
.
z 1

Conform relaiei (3.22) ,


dX ( z )
d z
z
z 1
Z
=
=
.
z
= z
n

dz
dz z 1 ( z 1) 2 (1 z 1 ) 2
z
b) Conform punctului a), Z {n} =
. Aplicnd (3.22) acestei relaii,
(z 1)2
rezult
d z z ( z + 1) z 1 (1 + z 1 )
=
=
Z {n 2 } = z
dz ( z 1)2 ( z 1) 3
(1 z 1 ) 3
c) Aplicnd (3.22) relaiei precedente, se obine
d z ( z + 1) z ( z 2 + 4 z + 1) z 1 (1 + 4 z 2 + z 3 )
Z {n 3 } = z
=
=
dz ( z 1)3
( z 1) 4
(1 z 1 ) 4

3. 3. Transformate Z exprimate prin funcii raionale


3.3.1. Poli i zerouri
O familie important de transformate Z este aceea pentru care
X(z) este o funcie raional, adic un raport de dou polinoame n z-1 sau
z.
Zerourile unei transformate Z, X(z), sunt valorile lui z pentru care
X(z)=0. Polii transformatei Z sunt valorile lui z pentru care X(z)=.
Dac X(z) este o funcie raional, atunci
101

N ( z ) b0 + b1 z 1 + ... bM z M
=
=
X ( z) =
D( z ) a0 + a1 z 1 + ... a N z N

bk z k

k =0
N

ak z

(3.29)

k =0

Dac a0 0 i b0 0, (3.29) se mai poate scrie


N ( z ) b0 z M z M + (b1 / b0 )z M 1 + ... + (bM / b0 )
X ( z) =
=

=
D( z ) a 0 z N z N + (a1 / a0 )z N 1 + ... + (a N / a 0 )
M

b
(z z1 )...(z z M )
= 0 z M + N
= G z N M kN=1
(z p1 )...(z p N )
a0

(z z k )

(3.30)

(z p )
k

k =1

b0
.
a0
Transformata X(z) are M zerouri finite la z=z1, z2 zM, (rdcinile
polinomului de la numrtor), N poli finii la z=p1, p2 pN (rdcinile
numitorului) i N M zerouri (dac N>M) sau poli (dac N<M) n
unde G =

origine. Poli i zerouri pot aprea i la infinit. Un zerou este la infinit,


dac X()=0 i un pol este la infinit, dac X()=. Numrul de poli i
zerouri de la zero i infinit este acelai, deoarece un pol n zero
echivaleaz cu un zerou la infinit i un zerou n zero echivaleaz cu un pol
la infinit. Polii i zerourile de la 0 i/sau se numesc banali sau triviali.
Zerourile i polii finii determinai de coeficienii bk i ak se mai numesc
nebanali sau netriviali.
Se face convenia ca n planul complex un pol s fie reprezentat prin "x"
iar un zero prin "o". Ordinul de multiplicitate al polilor sau zerourilor se
indic printr-un numr plasat n apropierea semnului "x" sau "o". Evident,
RC a unei transformate Z nu poate conine poli. Dac pentru o
transformat Z se cunosc polii i zerourile, atunci, conform (3.30), se
poate determina transformata Z pn la un factor de ctig G i apoi,
eventual, semnalul original.

3.3.2. Descompunerea transformatelor Z raionale


n continuare se vor aborda cteva aspecte referitoare la
descompunerea n fracii simple a transformatelor Z raionale, care se vor
102

dovedi foarte utile n implementarea sistemelor discrete de ordin superior.


Fie o transformat Z, exprimat sub forma dat de relaia (3.29). O
funcie raional de forma (3.29) se numete proprie, dac aN0 i M<N.
Conform relaiei (3.30), aceasta nseamn c numrul zerourilor finite
este mai mic dect al polilor finii. n caz contrar funcia se numete
improprie.
O funcie raional improprie (MN) poate fi ntotdeauna scris ca
suma dintre o funcie polinomial i una raional proprie, adic
N ( z)
N ( z)
X ( z) =
= c0 + c1 z 1 + K + c N M z ( M N ) + 1
(3.31)
D( z )
D( z )
sau, altfel scris
X ( z) =

M N

ck z k + X pr ( z )

k =0

(3.31')

Dac polii lui Xpr(z) sunt distinci, atunci


AN
A1
A2
(3.32)
+
+L+
X pr ( z ) =
1
1
1 p1 z
1 p2 z
1 p N z 1
n cazul n care coeficienii ak i bk sunt reali, polii compleci apar
n perechi conjugate i se grupeaz dup cum urmeaz:
bc 0 + bc1 z 1
A
A
A Ap z 1 + A A pz 1
=
+
=
1 pz 1 1 p z 1 1 pz 1 p z 1 + pp z 2 1 + a c1 z 1 + a c 2 z 2
(3.33)
unde
bc 0 = 2 Re( A)
ac1 = 2 Re( p )
(3.34)
2
bc1 = 2 Re( Ap )
ac 2 = p
Combinnd (3.31), (3.32) i (3.33), X(z) devine
k2
k1
M N
brk
bc 0 k + bc1k z 1
X ( z ) = ck z k +
(3.35)
+

1
1
2
k =1 1 + a c1k z + a c 2 k z
k =1 1 + a rk z
k =0
unde k1+2k2=N, indicele "c" face referire la poli compleci, iar "r" la poli
reali.
Evident, pentru M=N, primul termen este o constant, iar pentru
M<N, acesta dispare.
O form alternativ pentru exprimarea lui X(z) se obine plecnd
de la expresia (3.30) care, pentru a0=1 poate fi scris echivalent

103

(1 z k z 1 )
M

X ( z ) = b0

k =1
N

(1 pk z
k =1

(3.36)

Dac a01, se poate obine (3.36) din (3.30) prin mprirea numrtorului
i numitorului la a0. n aceast expresie polii complex conjugai i
zerourile complex conjugate se combin pentru a forma expresii cu
coeficienii reali, de forma
1 z k z 1 1 z k z 1
1 + bc1k z 1 + bc 2 k z 2
(3.37)
=
1 p k z 1 1 p k z 1 1 + ac1k z 1 + ac 2 k z 2
unde
bc1k = 2 Re( z k )
ac1k = 2 Re( pk )
(3.38)
2
2
bc 2 k = z k
ac 2 k = p k
Presupunnd M=N, se obine
k1
1 + brk z 1 k2 1 + bc1k z 1 + bc 2 k z 2
X ( z ) = b0
(3.39)
1
1
+ ac 2 k z 2
k =1 1 + a c1k z
k =1 1 + a rk z
unde N=k1+2k2

(
(

)(
)(

)
)

3.3.3. Localizarea polilor i comportarea n domeniul


timp a semnalelor cauzale
n continuare se va considera relaia dintre poziia polilor i forma
semnalului corespunztor din domeniul timp pe baza perechilor semnal
transformat Z din tabelul 3.1. Se va opera cu semnale reale, cauzale ale
cror caracteristici depind de poziionarea polilor transformatei Z n
regiunea z < 1 sau z > 1 . Deoarece cercul z = 1 are raza egal cu 1, el
se numete cercul unitate.
Dac un semnal real are o transformat Z cu un pol, acesta trebuie
sa fie real. Singurul semnal de acest fel este semnalul real exponenial.
1
Z
x[n] = a n u[n]
X ( z) =
RC z > a
(3.40)
1 az 1
care are un zero la z1=0 i un pol la p1=a pe axa real.
n figura 3.3 este prezentat comportarea semnalului n funcie de
poziia polului fa de cercul unitate. Semnalul este exponenial
descresctor dac polul este n interiorul cercului unitate; constant, dac
104

polul este pe cercul unitate i exponenial cresctor cnd acesta este n


afara cercului unitate. n plus, un pol negativ are ca rezultat un semnal cu
semnul alternant.

Figura 3.3. Comportarea n domeniul timp a unui semnal cauzal a crui transformat Z
are un singur pol real

Un semnal real a crui transformat Z are un pol real dublu este de


forma
x[n] = na n u[n]
(3.41)
i comportarea sa este ilustrat n figura 3.4.
Se observ c un pol dublu pe cercul unitate are ca rezultat un
semnal nelimitat.
n figura 3.5 este prezentat cazul unui semnal cauzal a crui
transformat Z are o pereche de poli complex conjugai (p i p*,
|p|=|p*|=r). Conform tabelului 3.1, acetia au ca rezultat un semnal
sinusoidal cu o nfurtoare exponenial.
Distana r de la pol la origine determin anvelopa sinusoidei, iar
unghiul pe care l face polul cu axa real determin frecvena sinusoidei.
Se observ c amplitudinea semnalului este descresctoare pentru r < 1 ,
constant pentru r = 1 i cresctoare pentru r > 1 .

105

Figura 3.4. Comportarea n domeniul timp a unui semnal real cauzal a crui transformat
Z are un pol real dublu

Figura 3.5. Comportarea oscilatorie n domeniul timp a unui semnal real cauzal a crui
transformat Z are o pereche de poli complex conjugai
106

Spre deosebire de cazul polului real dublu plasat pe cercul unitate, o


pereche de poli complex conjugai plasai pe cercul unitate au ca rezultat
un semnal real limitat. n figura 3.6 se prezint alura unui semnal cauzal
real a crui transformat Z are o pereche de poli complex conjugai cu
ordin de multiplicitate m=2 pe cercul unitate.

Figura 3.6. Semnal real cauzal a crui transformat Z are o pereche dubl de poli
complex conjugai pe cercul unitate.

n concluzie, semnalele reale cauzale ale cror transformate Z au


poli reali simpli sau perechi simple de poli complex conjugai n interiorul
sau pe cercul unitate sunt ntotdeauna mrginite n amplitudine. Mai mult,
semnalul cu un pol (sau o pereche de poli complex conjugai) plasai n
apropierea originii descrete mult mai rapid dect cel pentru care acetia
sunt plasai n apropierea cercului unitate (dar, evident, n interiorul lui).

3.3.4. Funcia de transfer (sau de sistem) a unui sistem


discret, liniar invariant n timp
n capitolul precedent s-a artat c rspunsul unui sistem discret,
liniar, invariant n timp la un semnal de intrare x[n] se poate obine
efectund convoluia dintre semnalul de intrare i rspunsul la impuls al
sistemului. Transformata Z a produsului de convoluie, prezentat n
paragraful 3.2, permite scrierea
Y ( z) = H ( z) X ( z)
(3.42)
unde Y(z) este transformata Z a secvenei de ieire,
X(z) este transformata Z a secvenei de intrare,
H(z) este transformata Z a rspunsului la impuls h[n].
Din (3.42) rezult
107

Y ( z)
(3.43)
X ( z)
Mrimea H(z), care este transformata Z a rspunsului la impuls,
caracterizeaz sistemul n domeniul z i se numete funcie de transfer
sau funcie (de) sistem.
Relaia (3.43) este util pentru aflarea funciei de transfer pentru sisteme
descrise de o ecuaie cu diferene, de forma (2.114). Aplicnd
transformata Z acestei relaii se obine
H ( z) =

k =1
N

k =0

Y ( z ) = a k Y ( z ) z k + bk X ( z ) z k

(3.44)

Y ( z )1 + ak z k = X ( z ) bk z k
k =0
k =1

(3.44')

Y ( z)
=
X ( z)

bk z k

k =0
N

1 + ak z

(3.44'')

k =1

sau, echivalent
M

H ( z) =

bk z k

k =0
N

1 + ak z

(3.45)

k =1

Prin urmare, un SDLIT descris de o ecuaie cu diferene are o funcie de


transfer raional. Relaia (3.45) este forma general a funciei de transfer
pentru un SDLIT, din care deriv dou forme particulare.
Dac ak=0 pentru 1 k N, (3.45) devine
M
1 M
H ( z ) = bk z k = M bk z M k
(3.46)
z k =0
k =0
n acest caz H(z) conine M zerouri ale cror valori sunt determinate de
coeficienii sistemului {bk} i un pol banal de ordin de multiplicitate M n
origine. Deoarece sistemul conine numai poli banali (n z=0) i M zerouri
nebanale, el se numete numai cu zerouri (all-zero system). Un astfel de
sistem este cu rspuns finit la impuls (FIR).
Pe de alt parte, dac bk=0 pentru 1 k M, (3.45) devine

108

H ( z) =

b0
N

1 + ak z

k =1

b0 z N
N

ak z

N k

a0 1

(3.47)

k =0

n acest caz H(z) are N poli a cror valoare este determinat de


coeficienii {ak} i un zerou banal de ordin de multiplicitate N n origine.
n general, nu se face referire la zerourile banale i, n consecin,
sistemul conine numai poli nebanali, acesta numindu-se sistem numai cu
poli (all-pole system).
Forma general (3.45) a funciei de transfer a unui SDLIT conine
att zerouri, ct i poli, i sistemul se numete sistem poli-zerouri, cu N
poli i M zerouri. Polii i/sau zerourile de la z=0 i z= sunt implicii i
nu se consider.

3.4. Transformata Z invers pentru funcii sistem


raionale
n (3.1.2) s-a stabilit relaia de inversiune a transformatei Z ca
fiind
1
X ( z )z n1dz
(3.48)

c
2j
unde integrala se evalueaz pe un contur nchis c, care cuprinde originea
i se gsete n regiunea de convergen a lui X(z).
n practic, evaluarea transformatei Z inverse se realizeaz prin
urmtoarele trei metode:
1. Evaluarea direct a relaiei (3.48) folosind teorema reziduurilor;
2. Dezvoltarea n serie de puteri de variabil z sau z-1;
3. Descompunerea n fracii simple i folosirea tabelelor.
x[n] =

3.4.1. Evaluarea direct


Evaluarea direct a integralei pe contur (3.48) se poate efectua cu
ajutorul teoremei reziduurilor a lui Cauchy care afirm c dac f(z) este o
funcie de variabil complex, c un contur nchis n domeniul z i f(z) nu
are poli n z=z0, atunci
f ( z 0 ) dac z 0 este in interiorul conturului c
1
f ( z)
dz
(3.49)
=

2j c z z 0
0 dac z 0 este in afara conturului c
109

Mai general, dac n interiorul conturului c exist poli multipli ai


integrandului i f(z) nu are poli n z=z0, atunci
1
dac z 0 este n
d k 1 f ( z )

k 1
interiorul conturului c
1
f ( z)
(k 1)! dz
z = z0
=
dz
(3.50)

2j c ( z z 0 )k
dac z 0 este n afara

conturului c

Valoarea membrului drept din relaiile (3.49) i (3.50) se numete


reziduul polului la z=z0.
Dac se presupune c integrandul relaiei (3.48) este de forma
f ( z)
P( z ) =
,
(3.51)
g ( z)
unde f(z) nu are poli n interiorul conturului c n punctele z1, z2, , zn i
g(z) este un polinom cu rdcini distincte simple z1, z2, , zn n interiorul
conturului c, atunci
n
n
Ai ( z )
1
f ( z)
1 n Ai ( z )
1

dz
dz
dz
=
=
=

2j c z z
Ai ( z i )
2j c g ( z )
2j c i =1 z z i
i =1
i =1
i
(3.52)
unde
f ( z)
Ai ( z i ) = ( z z i ) P( z ) z = z = ( z z i )
(3.53)
i
g ( z) z=z
i

Valorile Ai(zi) sunt reziduurile polilor corespunztori la z = zi, i = 1,2, .


Cu alte cuvinte, valoarea integralei pe contur este egal cu suma
reziduurilor tuturor polilor din interiorul conturului c.
Relaia (3.52) s-a obinut prin descompunerea n fracii simple a
integrandului i aplicarea relaiei (3.49). Dac g(z) are rdcini multiple n
interiorul conturului c, se folosete relaia (3.50) pentru evaluarea
reziduurilor.
Cu ajutorul teoremei reziduurilor, x[n] din (3.48) se calculeaz ca
fiind
x[n] =

1
2j

c X ( z )z

n 1

dz =

= ( z z i ) X ( z ) z n1
i

reziduurile lui

toti polii din c

z = zi

110

X ( z ) z n1

=
z = zi

(3.54)

pentru cazul n care {zi} sunt poli simpli sau cu relaia


1
x[n] =
X ( z )z n1dz =
reziduurile lui X ( z ) z n1 (3.54)

c
2j
toti polii din c
n cazul n care exist i poli multipli.
Dac X(z) zn-1 nu are poli n interiorul conturului c pentru una sau mai
multe valori ale lui n, atunci x[n]=0 pentru aceste valori.
Exemplul 3.5.
S se determine originalul lui X ( z ) =

1
, z > a , prin
1 az 1

evaluarea integralei pe contur.


Soluie
1
1
z n1
zn
x[n] =
dz
dz , unde c este un cerc de raz mai
=
2j c 1 az 1
2j c z a
mare dect a .
Integrandul este de forma (3.51), cu f(z) = zn i g(z) = z a. Exist dou
cazuri:
a) n 0, cnd f(z) are numai zerouri n origine i, conform (3.49), rezult
= an .
x[n] = z n
z =a

b) n < 0, f(z) = zn are un pol de ordinul n n z = 0.


1
1
1
1
Pentru n = -1, rezult x[1] =
dz =
+
=0

c
2j z ( z a )
z a z =0 z z = a
Pentru n = -2, rezult
1
1
d 1
1
x[2] =
dz
+
=0
=

2
dz z a z =0 z 2 z =a
2j c z ( z a )
n general,
x[n] =

1
1
1
d n1 1
1
=
+ n
dz

n 1
n
c
2j z ( z a )
(n 1)! dz z a z =0 z
= (1) n1

(n 1)! 1
(n 1)! ( z a ) n

+
z =0

=
z =a

1
=0.
an

n concluzie, x[n] = anu[n].


Relaia (3.48) este valabila pentru toi n, dar, pentru n negativ,
aplicarea ei poate deveni greoaie, datorit polului multiplu care apare n
111

z=0. Acest lucru poate fi evitat prin efectuarea schimbrii de variabil


z=p-1, astfel nct (3.48) devine [17]
1
x[n] =
X (1 / p ) p n+1 p 2 dp
(3.55)

'
c
2j
Conturul de integrare c' din (3.55) este parcurs n sens orar. Multiplicnd
cu -1 pentru a inversa sensul de parcurgere a conturului, schimbarea de
variabil anterioar conduce la expresia
1
x[n] =
X (1 / p) p n1dp =
Reziduurile lui X (1 / p ) p n1 (3.56)

'
c
2j
toti polii din c '
Dac conturul c din (3.48) este un cerc de raz r n planul z, conturul c'
din (3.56) este un cerc de raz 1/r n planul p. Polii lui X(z) care erau n
afara conturului c corespund acum polilor lui X(1/p) care sunt n
interiorul conturului c', i invers. Pentru exemplul 3.5, x[n] poate fi
exprimat sub forma
1
p n1
x[n] =
dp
(3.57)
2j c ' 1 ap
Conturul de integrare c' este acum un cerc de raz mai mic dect 1/a.
Pentru n < 0 nu exist singulariti n interiorul conturului, astfel nct
x[n] = 0 .

3.4.2. Transformata Z invers obinut prin descompunere


n serie de puteri
Fiind dat o transformat Z, X(z), cu RC precizat, aceasta se poate
descompune ntr-o serie de puteri de forma
X ( z) =
care este convergent n RC.

cn z n

n =

Exemplul 3.6.
S se determine transformata Z invers pentru
1
X ( z) =
1
1 1,5 z + 0,5 z 2
dac
a) RC: z > 1
112

(3.58)

b) RC: z < 0,5


Soluie
a) Conform paragrafului 3.1.1, deoarece RC este exteriorul unui cerc,
este de ateptat ca x[n] s fie cauzal i se va cuta o descompunere ntr-o
serie de puteri negative ale lui z.
Prin mprirea numrtorului la numitor, se obine
3
7
15
31
1
X ( z) =
= 1 + z 1 + z 2 + z 3 + z 4 + ...
3
1
2
4
8
16
1 z 1 + z 2
2
2
Comparnd aceast relaie cu (3.1), rezult
3 7 15 31
x[n] = 1, , , , ...
2 4 8 16
b) n acest caz RC este interiorul unui cerc i, n consecin, semnalul x[n]
este pur necauzal. Descompunerea se va face n puteri pozitive ale lui z,
prin efectuarea mpririi
1
1 2 3 1
z z +1
1 + 3z 2 z 2 2
2
2
2
+
2
6
z
z 3 + 14 z 4 + 30 z 5 + 62 z 6 + K
/ 3z 2 z
3z + 9 z 2 6 z 3
/

7z 2 6z 3
7 z 2 + 21z 3 14 z 4
/

15 z 3 14 z 4
15 z 3 + 45 z 4 30 z 5

/
31z 4 30 z 5
n acest caz x[n]=0 pentru n0. Comparnd rezultatul cu (3.1), se obine
x[n] = K 62, 30,14, 6, 2, 0, 0

3.4.3. Transformata Z invers obinut prin


descompunerea n fracii simple i folosirea tabelelor
n metoda folosirii tabelelor se urmrete exprimarea funciei X(z)
ca o combinaie liniar
113

X ( z ) = 1 X 1 ( z ) + 2 X 2 ( z ) + K k X k ( z )
(3.59)
unde X1(z), X2(z) Xk(z) sunt expresii ale cror transformate inverse
x1[n], x2[n] xk[n] se gsesc n tabelul 3.1 al perechilor semnal transformat Z. Dac este posibil o astfel de descompunere, atunci x[n],
transformata invers a lui X(z), se obine folosind proprietatea de
liniaritate a transformatei Z, rezultnd
x[n] = 1 x1[n] + 2 x2 [n] + K k xk [n]
(3.60)
Descompunerea (3.59) este util n special dac X(z) este o funcie
raional, ca n (3.29). Fr a pierde din generalitate, presupunem a0=1,
astfel nct (3.29) poate fi scris sub forma
N ( z ) b0 + b1 z 1 + K + bM z M
X ( z) =
(3.61)
=
D( z )
1 + a1 z 1 + K a N z N
n cazul n care MN, X(z) poate fi ntotdeauna scris ca suma dintre o
funcie polinomial i una raional proprie, adic
N ( z)
N ( z)
= c0 + c1 z 1 + K + c N M z ( M N ) + 1
(3.62)
X ( z) =
D( z )
D( z )
Transformata Z invers a funciei polinomiale se poate determina simplu
din definiia transformatei Z, de aceea se va considera numai cazul
transformrii unei funcii raionale proprii. Pentru a determina
transformata Z invers a unei funcii raionale proprii, nti se va
descompune aceasta n fracii simple, apoi se va inversa fiecare termen.
Fie X(z) o funcie raional proprie, adic
N ( z ) b0 + b1 z 1 + K + bM z M
X ( z) =
(3.63)
=
D( z )
1 + a1 z 1 + K a N z N
unde aN0 i M<N.
Pentru simplificarea calculelor ulterioare se elimin puterile
negative ale lui z prin multiplicarea numrtorului i numitorului
expresiei (3.63) cu zN, rezultnd
b z N + b1 z N 1 + K + bM z N M
X ( z) = 0
(3.64)
z N + a1 z N 1 + K a N
Deoarece N>M, funcia
X ( z ) b0 z N 1 + b1 z N 2 + K + bM z N M 1
(3.65)
=
z
z N + a1 z N 1 + K a N
este, de asemenea, proprie.
114

Pentru a descompune n fracii simple (3.63) sau (3.65), nti trebuie


factorizat numitorul, n factori care conin polii p1, p2, pN ai lui X(z).
Se disting dou cazuri:
a) Poli distinci
Se presupune c polii p1, p2, pN sunt distinci i (3.65) se descompune
dup cum urmeaz:
AN
A1
A2
X ( z)
=
+
+K+
(3.66)
z
z p1 z p 2
z pN
Coeficienii Ak , k = 1, N se determin din relaia
Ak =

( z pk ) X ( z )
z

(3.67)
z = pk

Relaiile (3.66) i (3.67) sunt adevrate att pentru poli reali ct i


compleci, cu condiia s fie distinci. Dac coeficienii a k , k = 1, N ,
sunt reali, n cazul n care numitorul are r\d\cini complexe, acestea apar
n perechi complex conjugate.
b) Poli multipli
Dac X(z) are un pol de multiplicitate m, atunci numitorul conine
factorul (z-pk)m i descompunerea n fracii simple va conine termenii
A1k
A2 k
Amk
+
+
K
(3.68)
z pk ( z pk ) 2
( z pk ) m
unde
1
d m i ( z p k ) m X ( z )
Aik =
, i = 1, m
(3.69)

(m i )! dz mi
z
z= p
k

Dup descompunerea n fracii simple, se inverseaz fiecare termen.


n cazul polilor distinci, relaia (3.66) se scrie
1
1
1
(3.70)
X ( z ) = A1
+ A2
+ K + AN
1
1
1 p1 z
1 p2 z
1 p N z 1
Transformata Z invers, x[n] = Z-1{X(z)} se obine prin inversarea
fiecrui
termen din (3.70) i considerarea combinaiei liniare
corespunztoare. Din tabelul 3.1 rezult
n
dac RC : z > p k , semnal cauzal
( p k ) u[n]
1
1
Z
=

1
n
1 p k z p k u[ n 1] dac RC : z < p k , semnal necauzal
(3.71)
115

Dac

x[n]

este

cauzal,

RC

p max = max{ p1 , p 2 ,K p N }.

z > pmax ,

este

unde

n acest caz toi termenii din (3.59) au ca rezultat componente de semnal


cauzal i semnalul x[n] este
x[n] = A1 p1n + A2 p2n + K AN p Nn u[n]
(3.72)
Dac toi polii sunt distinci, dar unii sunt compleci, acetia din
urm vor avea ca rezultat exponeniale complexe. Dac polinoamele ce
reprezint numrtorul, respectiv numitorul lui X(z) au coeficieni reali i
dac pk este un pol complex atunci i conjugatul su, pk , este un pol.
Coeficienii corespunztori din dezvoltarea n fracii simple sunt, de
asemenea, complex conjugai, iar contribuia acestei perechi de poli
complex conjugai este

( )

xk [n] = [ Ak ( p k )n + Ak pk ] u[n] .
Mrimile Ak i pk pot fi exprimate n form polar
Ak = Ak e j k
n

(3.73)
(3.74)

p k = p k e j k

(3.75)

unde Ak i |pk| reprezint modulele iar k i k fazele componentelor Ak


i pk.
nlocuind (3.74) i (3.75) n (3.73), se obine
xk [n] = Ak p k
sau, echivalent

(e (

j k n + k )

xk [n] = 2 Ak pk cos( k n + k )u[n]


n

+ e j (k n+ n ) u[n]

(3.76)
(3.77)

n concluzie,
Ak
Ak
= 2 Ak p k n cos( k n + k ) u[n] (3.78)
Z 1
+
1
1
1 pk z
1 pk z
dac RC: z > pk . Fiecare pereche de poli complex conjugai va
determina o component real, armonic, cauzal, cu o anvelop
exponenial (cresctoare pentru p k > 1 , descresctoare pentru pk < 1 i
constant pentru p k = 1 ). Unghiul dintre raza ce unete originea cu polul
i axa real pozitiv va determina frecvena semnalului sinusoidal.
Zerourile sau, echivalent, numrtorul lui X(z) influeneaz indirect
amplitudinea i faza lui xk[n] prin coeficienii Ak.
116

n cazul prezenei unui pol dublu, transformata este dat n tabelul 3.1.
pz 1
1
Z
(3.79)
= np n u[n] , RC: z > p

2
1 pz 1
n cazul polilor multipli, reali sau compleci, este necesar
A
inversarea termenilor de forma
.
(z pk )m
Pentru gsirea originalului n cazul polilor al cror ordin de
multiplicitate este mai mare dect 2
se folosesc proprietile
transformatei Z.

Exemplul 3.7.
S se determine semnalul cauzal x[n] care are transformata Z
1
X ( z) =
(3.80)
2
1 + z 1 1 z 1

)(

Soluie
Se descompune X(z) n fracii simple.
A3
A
A
X ( z)
z2
=
= 1 + 2 +
2
z
z + 1 z 1 ( z 1) 2
( z + 1)( z 1)
( z + 1) X ( z )
1
A1 =
=
z
4
z = 1
( z 1) 2 X ( z )
A3 =
z
A2 =

=
z =1

1
2

(3.84)

1
1
3
1
1
z 1

4 1 + z 1 4 1 z 1 2 1 z 1 2
Se inverseaz fiecare termen al descompunerii, obinndu-se
3 n
1
x[n] = (1) n + + u[n]
4 2
4

117

(3.82)

(3.83)

d ( z 1) 2 X ( z )
3
=

dz
z
z =1 4

X ( z) =

(3.81)

(3.85)

(3.86)

Exemplul 3.8.
S se determine transformata Z invers a expresiei
1
X ( z) =
1
1 1,5 z + 0,5 z 2

(3.87)

dac
a) RC: z > 1
b) RC: z < 0,5
c) RC: 0,5 < z < 1
X ( z) =

Soluie

1
2
1
=

2
1
1 1,5 z + 0,5 z
1 z
1 0,5 z 1
1

(3.88)

p1=1
p2=0,5.
a) n acest caz, dac z > 1 semnalul x[n] este cauzal

x[n] = 2(1) n u[n] (0,5) n u[n] = 2 0,5 n u[n]


b) pentru z < 0,5 , semnalul x[n] este pur necauzal

(3.89)

x[n] = 2 + (0,5) n u[n 1]


(3.90)
c) pentru 0,5 < z < 1 , RC este un inel circular, ceea ce implic un
semnal bilateral, n care un termen corespunde unui semnal cauzal i
cellalt unui semnal necauzal. RC dat este suprapunerea regiunilor
z > 0,5 i z < 1 i, deci, p2=0,5 produce partea cauzal i p1=1 partea
necauzal a semnalului.
x[n] = 2(1) n u[n 1] (0,5) n u[n]

(3.91)

3.5. Transformata Z unilateral


n transformata Z bilateral, semnalul era definit pentru ntregul
domeniu -<n<, ceea ce nu fcea posibil evaluarea ieirii sistemelor
nerelaxate. Se reamintete c acestea erau descrise de ecuaii cu diferene
cu condiii iniiale nenule. Pentru evaluarea rspunsului sistemelor
discrete cu condiii iniiale nenule se folosete transformata Z unilateral,
dup cum se va vedea n paragraful 3.6.2.
118

3.5.1. Definiie i proprieti


Transformata Z unilateral a unui semnal x[n] este definit de
relaia

X + ( z ) = x[n]z n

(3.92)

n =0

Se folosete, de asemenea, notaia Z + {x[n]} i


+

Z
x[n]

X + ( z)
Transformata Z unilateral difer de cea bilateral n limita
inferioar a sumei, care este ntotdeauna zero, indiferent dac semnalul
este sau nu, cauzal. Datorit acestei proprieti, transformata Z unilateral
are urmtoarele caracteristici:
1. Nu conine informaii despre semnalul x[n] pentru valori negative ale
variabilei independente.
2. Este unic numai pentru semnale cauzale, deoarece numai acestea
sunt zero pentru n<0.
3. Transformata Z unilateral X+(z) a lui x[n] este identic cu cea
bilateral a semnalului x[n] u[n]. Deoarece x[n] u[n] este cauzal, RC
a transformatei sale Z i, deci, RC a lui X+(z) este ntotdeauna
exteriorul unui cerc. n concluzie, cnd se folosete transformata Z
unilateral, nu mai este necesar a se specifica RC.

Exemplul 3.9.
S se determine transformata Z unilateral a urmtoarelor
semnale:
Z
x1[n] = {1, 2, 5, 7, 0,1}

X 1+ ( z ) = 1 + 2 z 1 + 5 z 2 + 7 z 3 + z 5
+

Z
x2 [n] = {1, 2, 5, 7, 0,1}

X 2+ ( z ) = 5 + 7 z 1 + z 3

Z
x3 [n] = {0, 0,1, 2, 5, 7, 0,1}

X 3+ ( z ) = z 2 + 2 z 3 + 5 z 4 + 7 z 5 + z 7

Z
x4 [n] = [n]
X 5+ ( z ) = 1

Z
x5 [n] = [n k ]

X 5+ ( z ) = z k , k > 0
+

Z
x6 [n] = [n + k ]

X 6+ ( z ) = 0, k > 0
Principala aplicaie a transformatei Z unilaterale vizeaz analiza
sistemelor discrete descrise de ecuaii liniare cu diferene cu coeficieni

119

constani cu condiii iniiale nenule. n general, astfel de sisteme au


implementare recursiv i se presupun cauzale. n aceste condiii ieirea
se calculeaz pentru n 0 , n condiiile iniiale prescrise. n aplicarea
transformatei Z unilaterale la probleme de acest tip, proprietile de
liniaritate i deplasare n timp sunt de importan deosebit. Proprietatea
de liniaritate pentru transformata Z unilateral este identic cu
proprietatea de liniaritate pentru transformata Z bilateral, n schimb, cea
de deplasare n timp este diferit.
Proprietatea de deplasare n timp
Caz I - ntrziere
+

Z
Dac x[n]

X + ( z)
atunci
k

Z+
z k X + ( z ) + x[n]z n ,
x[n k ]
n =1

k > 0,

(3.93)

i, dac x[n] este cauzal, atunci

Z
x[n k ]

z k X + ( z )

(3.94)

Demonstraie
Aplicnd definiia (3.92), se obine
Z + {x[n k ]} =

x[n k ]z n =

n =0

x[m]z ( m+ k ) =

m= k

= z k x[m]z m + x[m]z m = z k x[m]z m + X + ( z ), (3.95)


m =0
m= k

m = 1

unde m = n k
Dac n (3.95) se nlocuiete m cu -n, rezult (3.93).

Caz II - anticipare
+

Z
Dac x[n]
X + ( z)

atunci
Demonstraie
Z

k 1

Z+
x[n + k ]

z k X + ( z ) x[n]z n ,
n =0

{x[n + k ]} = x[n + k ]z
n=0

x[m]z

m=k

120

(m k )

k >0

(3.96)

k 1
k 1

= z k x[m]z m x[m]z m = z k X + ( z ) x[m]z m ,


m =0
m =0
m =0

(3.97)
unde m = n + k
Dac n (3.97) se nlocuiete m cu -n, rezult (3.96).

Aplicaii ale proprietii de deplasare n timp


Transformarea diferenelor
Diferena de ordinul nti pentru o secven se definete prin relaia
x[n] = x[n + 1] x[n]
(3.98)
Similar cu diferena de ordinul nti, se definete diferena de ordinul al
doilea, prin relaia
2 x[n] = x[n + 1] x[n]
(3.98')
n general,
k x[n] = k 1 x[n + 1] k 1 x[n]
(3.98'')
Dac X + ( z ) = Z + {x[n]} exist, atunci exist i Z + {k x[n]} i aceasta
este
k 1

Z + {k x[n]} = ( z 1) k X + ( z ) z ( z 1) k i 1 i x[0]

(3.99)

i =0

unde i x[0] este diferena de ordinul "i" pentru n=0 i 0 x[0] = x[0] .
Aplicnd transformata Z unilateral relaiei (3.98), se obine
Z + {x[n]} = Z + {x[n + 1]} Z + {x[n]} =
(3.100)
= z X + ( z ) x[0] X + ( z ) = ( z 1) X + ( z ) zx[0]
Relaia (3.99) se obine prin aplicarea transformatei Z unilaterale relaiei
(3.98''), exprimat n funcie de diferenele de ordin inferior. Relaia
(3.99) poate fi folosit pentru obinerea transformatei Z a secvenelor
pentru care k x[n] = 0 pentru un anumit k 1 . Din (3.99), X(z) se poate
scrie sub forma
z k 1 i x[0]
1
+
+
Z + {k x[n]}
(3.101)
X ( z) =

i
k
z 1 i =0 ( z 1) ( z 1)

Exemplul 3.10.
S se determine transformata Z pentru semnalele
a) x1[n] = C n1
121

b) x2 [n] = C n2
Soluie
a) x1[n] = C n1 = n . Pentru aceast secven, x[n] = n + 1 n = 1 ,
2 x[n] = x[n + 1] x[n] = 0 . Toate diferenele de ordin mai mare dect
1 sunt, de asemenea, zero. Prin nlocuirea acestor valori n (3.101), se
obine
z
Z {n} =
(3.102)
( z 1) 2
(n 1)n
b) x2 [n] = C n2 =
, pentru care
2
(n + 1)n n(n 1)
x2 [n] = C n2+1 C n2 =

= n, 2 x2 [n] = 1 . Diferenele de
2
2
ordin superior lui 2 sunt egale cu zero. Aplicnd (3.101), se obine
z
Z C n2 =
(3.103)
( z 1) 3
z
Similar, se poate verifica relaia general Z C nm =
.
( z 1) m+1
Transformarea sumelor pariale

{ }

{ }

Fie suma parial

n 1

x[k ] ,

generat de semnalul

x[n] . Dac

k =0

Z + {x[n]} = X ( z ) exist pentru z > r , atunci transformata sumei pariale


de asemenea exist i, pentru z > max{r ,1} , aceasta este

Demonstraie
n 1

x[k ] =

k =0

n1
X ( z)
Z + x[k ] =
k = 0
z 1

x[k ] x[n] .

Dac

se

(3.104)
n

x[k ] = y[n] ,

noteaz

k =0

y[n] y[n 1] = x[n] . Aplicnd transforma Z


cont c i

rezult

k =0
+

ultimei relaii i innd


z
y[n] este cauzal, se obine Y ( z ) =
X (z ). Dar
z 1

122

n1

n1

Y ( z ) = Z x[k ] = Z x[k ] + x[n] = Z x[k ] + X ( z ) . nlocuind pe


k =0

k = 0

k = 0

Y(z) n ultima relaie, rezult (3.104).


Exemplul 3.11.
n 1

+
S se determine transformata Z a secvenei x[k ] .
n 1

Soluie. x[k ] =
k =0

n 1

k =0

k =0
n 1

k =0

x[k ] x[k ] = x[n] .

Aplicnd transformata Z

acestei expresii, rezult Z + x[k ] = X ( z ) .


k =0

Exemplul 3.12.
S se determine transformata Z a sumei

n 1

k . Din relaia (3.102)

k =0

se tie c Z {n} =

z
. Aplicarea direct a relaiei (3.104) conduce la
( z 1) 2

n1
z
rezultatul dorit Z + k =
. Se constat c s-a obinut acelai
3
k =0 ( z 1)
rezultat ca n (3.103), ceea ce, datorit unicitii transformatei Z
unilaterale pentru semnale cauzale, conduce la concluzia c i originalele
n 1
n(n 1)
= C n2 , relaie, evident, adevrat.
sunt egale, adic k =
2
k =0
Teorema valorii finale
+

Z
Dac x[n]
X + ( z)

atunci
lim x[n] = lim( z 1) X + ( z )
n

z 1

(3.105)

Aceast teorem este util n stabilirea alurii asimptotice a semnalului


x[n] cnd se cunoate numai transformata sa X+(z), iar inversarea acesteia
este complicat.
Transformata diferenei x[n+1]-x[n] a unui semnal cauzal este

123

n =0

n =0

m =1

(x[n + 1] x[n])z n = x[n + 1]z n x[n]z n = x[m]z ( m1)

n =0

X + ( z ) = z x[m]z m x[0] X + ( z ) = ( z 1) X + ( z ) zx[0]


m =0

Trecnd la limit pentru z1 se obine

lim (x[n + 1] x[n])z n = lim( z 1)X + ( z ) x[0]


z 1

z 1 n =0

(3.106)

Dup trecerea la limit, membrul stng al egalitii devine

lim ( x[n + 1] x[n])z n =


z 1 n = 0

lim

(x[n + 1] x[n]) =

n =0

(x[k + 1] x[0]) = x[] x[0]


(x[n + 1] x[n]) = klim

(3.107)

k n = 0

Comparnd (3.106) cu (3.107), rezult


x[] = lim x[k ] = lim(z 1)X + ( z )
k

z 1

numit i teorema valorii finale a unui semnal cauzal. Dac semnalul este
cauzal, regiunea de convergen este exteriorul unui cerc. Dac cercul
unitate este n domeniul de convergen, X + ( z )
are valoare finit i,
z =1

deci, x[] = 0 .

3.6.

Analiza SDLIT n domeniul z

n paragraful 3.3.3. s-a definit funcia de transfer sau de sistem a unui


SDLIT i s-a stabilit relaia sa cu rspunsul la impuls i ecuaia cu
diferene care descrie sistemul, folosindu-se transformata Z bilateral, caz
n care indicarea regiunii de convergen este obligatorie. Dac sistemul i
semnalul de intrare sunt cauzale, relaia (3.42) se scrie corespunztor
pentru transformate Z unilaterale. n continuare se va prezenta folosirea
funciei de sistem n determinarea rspunsului sistemului la o excitaie
arbitrar. Analiza va avea drept obiect sisteme poli-zerouri reprezentate
de ecuaii cu diferene cu coeficieni constani cu condiii iniiale
arbitrare.

124

3.6.1. Rspunsul sistemelor discrete descrise de funcii de


transfer raionale n condiii iniiale nule
Fie un sistem poli-zerouri descris de ecuaia cu diferene (2.114) i
funcia de transfer corespunztoare dat de (3.45). Funcia de sistem H(z)
este raportul a dou polinoame B(z)/A(z). Mai mult, se presupune c i
semnalul de intrare are o transformat Z exprimat printr-o funcie
raional, de forma
N ( z)
X ( z) =
(3.108)
Q( z )
Aceast presupunere nu este foarte restrictiv, deoarece cele mai multe
semnale de interes practic au transformata Z de aceast form.
Dac sistemul este relaxat, condiiile iniiale ale sistemului sunt
nule, adic y[-1]= y[-2]== y[-N]=0 i transformata Z a ieirii este
B( z ) N ( z )
Y ( z) = H ( z) X ( z) =
(3.109)
A( z ) Q( z )
Se presupune c sistemul conine polii simpli p1 p2 pN i
transformata Z a semnalului de intrare are, de asemenea, polii simpli q1 q2
qL unde pkqm pentru toi k=1,2, N i m=1,2, L. Dac zerourile
polinoamelor de la numrtor B(z) i N(z) nu coincid cu polii {pk} i
{qk}, astfel nct nu exist anulare poli zerouri, atunci, dezvoltarea n
fracii simple a lui Y(z) este de forma
L
N
Ak
Qk
Y ( z) =
(3.110)
+

1
1
k =1 1 q k z
k =1 1 p k z
Transformarea Z invers conduce la semnalul cauzal de ieire
N

k =1

k =1

y[n] = Ak ( p k ) n u[n] + Qk (q k ) n u[n]

(3.111)

Se observ c ieirea y[n] este compus din dou pri. Prima parte este
funcie de polii {pk} ai sistemului i se numete rspuns natural , y nr [n] ,
al sistemului.
N

y nr [n] = Ak ( p k ) n u[n]
k =1

(3.112)

Partea a doua a rspunsului y[n] este funcie de polii {qk} ai semnalului


de intrare i se numete rspuns forat, y fr [n] , al sistemului.

125

y fr [n] = Qk (q k ) n u[n]

(3.113)

k =1

Coeficienii Ak i Qk sunt funcii de ambele seturi de poli {pk} i {qk}.


Condiiile iniiale fiind nule, relaia (3.111) reprezint rspunsul de stare
zero al sistemului.
Dac X(z) i H(z) au unul sau mai muli poli n comun sau dac X(z)
i/sau H(z) conin poli multipli, atunci Y(z) va avea poli multipli i, n
consecin, dezvoltarea n fracii simple a lui Y(z) va conine termeni de
forma 1/(1-piz-1)k, k=1,2,m, unde m este ordinul polului pi. Inversarea
acestor factori va conduce la termeni de forma n k 1 pin n ieirea y[n]
[23].

3.6.2. Rspunsul sistemelor discrete descrise de funcii de


transfer raionale n condiii iniiale nenule
n acest caz se presupune c semnalul de intrare x[n] se aplic
sistemului poli-zerouri la n=0, adic semnalul de intrare s-a presupus
cauzal. Se presupun, de asemenea, condiiile iniiale y[-1], y[-2] y[-N]
nenule pentru sistem.
Deoarece intrarea este un semnal cauzal i deoarece se dorete
determinarea ieirii y[n] pentru n0, se va folosi transformata Z
unilateral, care permite utilizarea condiiilor iniiale.
Conform relaiei (3.93), transformata Z unilateral a relaiei
(2.114) este
k
N

M
Y + ( z ) = ak z k Y + ( z ) + y[n]z n + bk z k X + ( z ) (3.114)
n =1
k =1

k =0
+
Deoarece x[n] este cauzal, se poate nlocui X (z) = X(z) i (3.114) devine
M

Y + ( z) =

bk z k

k =0
N

1 + ak z

X ( z ) k =1

k =1

= H ( z) X ( z) +

a k z k y[n]z n
n =1
N

1 + ak z
k =1

N 0 ( z)
A( z )

unde

126

=
(3.115)

k =1

n =1

N 0 ( z ) = a k z k y[n]z n

(3.116)

Din (3.115) se observ c transformata Z a ieirii sistemului cu condiii


iniiale nenule poate fi mprit n dou pri. Prima parte este
transformata Z a rspunsului de stare zero al sistemului
Yzs ( z ) = H ( z ) X ( z )
(3.117)
iar a doua component este rezultatul condiiilor iniiale nenule i
reprezint transformata Z a rspunsului cnd intrarea este nul.
N ( z)
Yzi+ ( z ) = 0
(3.118)
A( z )
Transformata Z a rspunsului total este suma acestor dou componente.
Rspunsul sistemului n domeniul timp se obine prin determinarea
transformatelor Z inverse pentru Yzs(z) i Yzi+ (z ) i adunarea rezultatelor,
adic
y[n] = y zs [n] + y zi [n]
(3.119)
Deoarece numitorul lui Yzi+ (z ) este A(z), polii si sunt p1 p2 pN i
Yzi+ (z ) se poate descompune n fracii simple, sub forma
N

Yzi+ ( z ) =

Dk

p k z 1
unde Dk sunt coeficienii descompunerii n fracii simple.
n consecin, rspunsul de intrare zero este
k =1 1

y zi [n] = Dk ( p k ) n u[n]

(3.120)

(3.121)

k =1

Acesta poate fi adugat la (3.111) pentru a forma rspunsul total al


sistemului i se obine
N

k =1

k =1

y[n] = Ak' ( p k ) n u[n] + Qk (q k ) n u[n]


unde

(3.122)

Ak' = Ak + Dk
(3.123)
Cele prezentate anterior arat clar c efectul condiiilor iniiale este de
modificare a rspunsului natural al sistemului prin modificarea
coeficienilor {Ak}. Acestea nu introduc noi poli i nu influeneaz
rspunsul forat al sistemului. Analiza efectuat a luat n consideraie
numai cazul polilor simpli, indiferent dac acetia sunt reali i/sau
127

complex conjugai. Aceleai concluzii rezult i n cazul polilor multipli,


reali i/sau complex conjugai.
Exemplul 3.13.
S se determine rspunsul la semnalul de intrare x[n] = 2 n u[n] al
sistemului descris de ecuaia cu diferene
y[n] = (5 / 6) y[n 1] (1 / 6) y[n 2] + x[n]
n urmtoarele condiii iniiale:
a) y[-1] = y[-2] = 0
b) y[-1] =1; y[-2] = 2
Soluie. Funcia de transfer a sistemului este
1
H ( z) =
1
1 (5 / 6) z + (1 / 6) z 2
Sistemul are doi poli p1=1/2 i p2=1/3.
Transformata Z a intrrii este
1
X ( z) =
1 2 z 1
1
=
Yzs ( z ) = H ( z ) X ( z ) =
1 (1 / 2) z 1 1 (1 / 3) z 1 1 2 z 1
=

1 (1 / 2) z 1

)(

2/5

1 (1 / 3) z 1

8/5
1 2 z 1

)(

i y zs [n] = [(1 / 2) n + (2 / 5)(1 / 3) n + (8 / 5)2 n ]u[n]


a) deoarece condiiile iniiale sunt nule, n acest caz y[n] = yzs[n].
b) pentru condiiile iniiale y[-1] =1 i y[-2] = 2, n transformata Z apare
componenta suplimentar
N ( z)
(1 / 2) (1 / 6) z 1
1/ 2
0
Yzi ( z ) = 0
=
=
+
1
2
1
A( z ) 1 (5 / 6) z + (1 / 6)1z
1 (1 / 2) z
1 (1 / 3) z 1
n consecin, rspunsul de intrare zero este
y zi [n] = (1 / 2)(1 / 2) n u[n]
iar rspunsul total are transformata Z
(1 / 2)
2/5
8/5
+
+
Y ( z ) = Yzs ( z ) + Yzi ( z ) =
1
1
1 (1 / 2) z
1 (1 / 3) z
1 2 z 1
Aplicnd transformata Z invers, rezult
y[n] = [(1 / 2)(1 / 2) n + (2 / 5)(1 / 3) n + (8 / 5)2 n ]u[n] .
128

3.6.3. Rspunsul tranzitoriu i permanent al SDLIT


Dup cum s-a artat n paragraful (3.6.1), rspunsul unui sistem la
un semnal de intrare dat poate fi separat n dou componente: rspunsul
natural i rspunsul forat.
Rspunsul natural al unui sistem cauzal este dat de (3.112). Dac
pk < 1 pentru toi k, atunci ynr[n] descrete la zero pentru n. ~n acest
caz r\spunsul natural este un r\spuns tranzitoriu. Viteza cu care semnalul
descre[te la zero depinde de pozi]ia polilor. Cu ct un pol este mai
apropiat de origine, acesta determin o descre[tere mai rapid\, iar dac\
polul este plasat `n apropierea cercului unitate (dar, evident, `n interior),
descre[terea este mai lent\ [i r\spunsul tranzitoriu va persista mai mult
timp.
R\spunsul for]at este dat de (3.113). Dac\ to]i polii semnalului de
intrare sunt `n interiorul cercului unitate, y fr [n] va descre[te la zero
pentru n , ca `n cazul r\spunsului natural. Dac\, `n schimb, semnalul
de intrare este o sinusoid\ cu polii pe cercul unitate, r\spunsul for]at este,
de asemenea, o sinusoid\ care persist\ pentru n 0 , caz `n care r\spunsul
for]at se nume[te r\spuns permanent al sistemului. Aadar, pentru ca
sistemul s\ prezinte un r\spuns permanent pentru n 0 , intrarea trebuie
s\ persiste pentru to]i n 0 .

3.6.4. Cauzalitatea [i stabilitatea SDLIT exprimate n


funcie de funcia de sistem
Un sistem discret, liniar, invariant `n timp, cauzal este cel al c\rui
r\spuns la impuls h[n] satisface condi]ia
h[n] = 0, n < 0
(3.124)
De asemenea, s-a ar\tat c\ RC pentru transformata Z a unui semnal
cauzal este exteriorul unui cerc. ~n consecin]\, un SDLIT este cauzal,
dac\ [i numai dac\ RC a func]iei sale de transfer este exteriorul unui cerc
de raz\ r < , incluznd punctul z = .
Stabilitatea unui SDLIT poate fi exprimat\ `n func]ie de
caracteristicile func]iei de transfer. Se reamintete (paragraful 2.4.7) c\ o
condi]ie necesar\ [i suficient\ pentru ca un SDLIT s\ fie stabil n sens
MIME este
129

h[n] <

(3.125)

n =

Aceast\ condi]ie implic\ faptul ca cercul unitate s\ fie con]inut `n RC a


lui H(z). ~ntr-adev\r, deoarece
H ( z) =
rezult\
H (z )

h[n]z n

h[n]z n

n =

(3.126)

n =

h(n ) z n

(3.127)

n =

Prin evaluarea pe cercul unitate ( z = 1) , se obine


H (z )

h[n]

(3.128)

n =

n concluzie, dac\ un sistem este stabil n sens MIME, cercul unitate


este inclus n RC a lui H(z). Se poate demonstra c\ reciproca este de
asemenea adevarat\ [i, prin urmare, un SDLIT este stabil MIME dac\ [i
numai dac\ RC a func]iei de transfer include cercul unitate.
Condi]iile pentru cauzalitate [i stabilitate sunt diferite [i unele nu le
implic\ pe celelalte. De exemplu, un sistem cauzal poate fi stabil sau nu,
a[a cum [i un sistem necauzal poate fi stabil sau nu. Similar, att
sistemele stabile ct [i cele instabile pot fi cauzale sau nu.
Pentru un sistem cauzal se pot stabili condi]ii de stabilitate avnd n
vedere c\ RC a func]iei de transfer este exteriorul unui cerc de raza r.
Pentru un sistem stabil, RC trebuie s\ con]in\ cercul unitate. ~n
consecin]\, un sistem stabil [i cauzal trebuie s\ aib\ o func]ie de sistem
care converge pentru z > r <1. Deoarece RC nu poate con]ine nici un pol

al lui H ( z ) , rezult\ c\ un SDLIT cauzal este stabil n sens MIME, dac\ [i


numai dac\ to]i polii lui H ( z ) sunt n interiorul cercului unitate.

Exemplul 3.14.
Un SDLIT este caracterizat de func]ia de transfer
2
3 4 z 1
1
=
+
H (z ) =
1
2
1
1
1 3,5 z + 1,5 z
1 z 1 1 3z
2
S\ se specifice RC a lui H ( z ) [i s\ se determine h[n] n urm\toarele
condi]ii:
a) sistemul este stabil;
130

b) sistemul este cauzal;


c) sistemul este pur necauzal.
1
[i z = 3 .
2
a) Deoarece sistemul este stabil, RC trebuie s\ includ\ cercul unitate
1
[i, deci, < z < 3 . n consecin]\, h[n] este necauzal [i
2
Solu]ie. Sistemul are polii la z =

1
h[n] = u[n] 2(3)n u[ n 1] .
2
b) Deoarece sistemul este cauzal, z > 3 , caz n care
n

1
h[n] = u[n] + 2(3)n u[n] .
2

Acest sistem este instabil (con]ine pe (3)n u[n] ).


c) daca sistemul este pur necauzal, RC este z < 0,5 , [i deci
1 n

h[n] = + 2(3)n u[ n 1] .
2

Acest sistem este instabil( con]ine pe (1 / 2) n u[n 1] ).

3.6.5. Anul\ri poli zerouri


Dac\ o transformat\ Z con]ine un pol `n acela[i loc pe care este
plasat un zerou, polul este anulat de zero [i, n consecin]\, termenul care
con]ine polul respectiv dispare din transformata Z. Anul\ri poli-zerouri
pot ap\rea fie n functia de transfer a sistemului, fie n produsul dintre
aceasta [i transformata Z a semnalului de intrare. n al doilea caz se spune
c\ un pol al sistemului este anulat de un zero al semnalului de intrare sau
invers. Aceasta nseamn\ c\, printr-o alegere potrivit\ a zerourilor
semnalului de intrare, se pot anula unul sau mai muli poli ai r\spunsului
sistemului, ceea ce ar putea fi folosit n practic\ pentru stabilizarea unui
sistem.
Dac\ zeroul este plasat foarte aproape de pol, dar nu exact `n pozi]ia
polului, acesta va avea o contribu]ie `n r\spuns. ~n practic\ anul\ri
neexacte poli-zerouri apar ca rezultat al preciziei numerice finite folosite
`n reprezentarea coeficien]ilor sistemului. ~n consecin]\, dac\ num\rul de
bi]i folosi]i `n reprezentarea m\rimilor nu este suficient, nu se va `ncerca
131

stabilizarea unui sistem instabil prin plasarea unui zerou `n semnalul de


intrare pe acea pozi]ie.

3.6.6. Poli multipli [i stabilitate


Din cele prezentate anterior, s-a observat c\ o condi]ie necesar\ [i
suficient\ pentru ca un SDLIT cauzal s\ fie stabil `n sens MIME este ca
to]i polii s\i s\ fie con]inu]i `n interiorul cercului unitate.
~n continuare se va analiza stabilitatea sistemelor `n func]ie de
pozi]ia polilor sistemului [i ai semnalului de intrare. Se disting
urm\toarele cazuri:
1. Att polii sistemului pk ct [i cei ai semnalului de intrare qj sunt
con]inu]i `n interiorul cercului unitate, adic\ p k < 1 , k=1...N,
q j < 1 , j=1...L.
Dac\ to]i polii pk [i qj sunt distinc]i [i p k q j , atunci att
r\spunsul natural, ct [i cel for]at sunt limitate [i sistemul este stabil.
Dac\ polii sistemului [i ai semnalului nu sunt neap\rat simpli sau
semnalul de intrare con]ine unul sau mai mul]i poli care coincid cu ai
sistemului, atunci ie[irea sistemului va con]ine poli multipli, care vor avea
ca rezultat secven]e de ie[ire care con]in termeni de forma Ak n b pkn u[n]
unde 0 b m 1 [i m este ordinul de multiplicitate a polului pk. Dac\
n
p k < 1 , ace[ti termeni descresc spre 0 pentru n , deoarece p k
domin\ pe n b . ~n consecin]\, nici un semnal de intrare limitat nu va
produce o ie[ire nelimitat\, dac\ polii sistemului sunt `n interiorul
cercului unitate.
2. Polii sistemului sunt strict `n interiorul cercului unitate, p k < 1 ,
iar semnalul de intrare are poli att `n interiorul cecului unitate,
ct [i pe cercul unitate, q j 1 .
Dac\ semnalul con]ine un pol real simplu (z=1 sau z=-1) sau doi poli
reali distinc]i (z=1 [i z=-1) sau o pereche de poli complex conjuga]i pe
cercul unitate, restul fiind con]inu]i `n interiorul acestuia, att r\spunsul
natural, ct [i cel for]at sunt limitate, cei doi poli complec[i combinnduse `ntr-o component\ sinusoidal\ de semnal `n r\spunsul for]at al
sistemului. Evident, dac\ semnalul are pe cercul unitate cel pu]in un pol
real dublu sau o pereche dubl\ de poli complex conjuga]i, r\spunsul
devine nelimitat [i sistemul este instabil.
132

3. Polii semnalului de intrare sunt strict `n interiorul cercului


unitate q j < 1 , iar sistemul are poli att `n interiorul cecului
unitate, ct [i pe cercul unitate p k 1 .
Dac\ pe cercul unitate exist\ un singur pol real (z=1 sau z=-1) sau doi
poli reali distinc]i (z=1 [i z=-1) sau o pereche de poli complex conjuga]i
ai sistemului, r\spunsul natural este limitat [i sistemul este stabil. Dac\
sistemul are pe cercul unitate poli reali sau complex conjuga]i multipli,
r\spunsul s\u devine nelimitat [i sistemul instabil.
4. Att polii sistemului ct [i ai semnalului de intrare se gsesc fie
`n interiorul cercului unitate, fie pe cercul unitate, adic\ p k 1
[i q j 1 .
Dac\ polii sistemului [i ai semnalului de intrare de pe cercul unitate sunt
simpli [i nu coincid, r\spunsul sistemului este limitat [i sistemul stabil.
Dac\, `ns\, un pol al sistemului coincide cu un pol al semnalului de pe
cercul unitate, `n r\spunsul sistemului va ap\rea o component\ de forma
Ak np kn u[n] , care este nelimitat\. Cu att mai mult, dac\ polii sunt
multipli, r\spunsul va fi nelimitat, con]innd termeni de forma
Ak n b pkn u[n] unde 0 b m 1 [i m este ordinul de multiplicitate a
polului pk de pe cercul unitate.
Singurele sisteme de interes care au poli pe cercul unitate sunt
oscilatoarele, despre care se spune c\ sunt marginal stabile.
Urm\torul exemplu ilustreaz\ situ]ia din cazul 4.
Exemplul 3.15.
S\ se determine r\spunsul sistemului cauzal, descris de ecua]ia cu
diferen]e
y[n] = y[n 1] + x[n] , la treapta unitate.
1
Solu]ie. Func]ia de sistem a sistemului este H (z ) =
, care con]ine
1 z 1
polul z = 1 pe cercul unitate. Transformata Z a semnalului de intrare
1
care, de asemenea, con]ine un pol la
x[n] = u[n] este X (z ) =
1 z 1
z = 1.
Transformata Z a semnalului de ie[ire este

133

Y (z ) = H (z ) X (z ) =

(1 z )

1 2

, care con]ine un pol dublu la z = 1 .

Transformata Z invers\ a lui Y ( z ) este


1

1
+ z 1
1 1 z
=
y[n ] = Z 1
=
Z

1 2
1 2
(1 z )
(1 z )

1 z 1
z 1
+
= Z 1
= u[n] + nu[n] = (n + 1)u[n]
1 2
(1 z 1 ) 2
(1 z )
care, evident, este o secven]\ nelimitat\ [i, `n consecin]\, sistemul este
instabil. Acest exemplu ilustreaz\ faptul c\ stabilitatea MIME impune ca
polii sistemului s\ se g\seasc\ strict `n interiorul cercului unitate.

3.6.7. Stabilitatea sistemelor de ordinul II


Ecua]iile liniare cu diferen]e sau func]iile de sistem corespunz\toare
ale sistemelor discrete au de obicei coeficien]i reali, ceea ce determin\ ca
polii sistemului s\ fie reali [i/sau complex conjuga]i. Pentru a evita lucrul
cu valori complexe, contribu]ia polilor complex conjuga]i se combin\ `n
expresii de ordinul al doilea cu coeficien]i reali, motiv pentru care
sistemele de ordinul doi formeaz\ blocurile constructive de baz\ folosite
`n realizarea sistemelor de ordin superior [i vor fi analizate `n detaliu.
Fie un sistem cauzal cu doi poli, descris de ecua]ia cu diferen]e de
ordinul doi
y[n] = a1 y[n 1] a2 y[n 2] + b0 x[n]
(3.129)
Func]ia de transfer este
b0
b0 z 2
Y (z )
(3.130)
H (z ) =
=
=
X ( z ) 1 + a1 z 1 + a2 z 2 z 2 + a1 z + a2
[i sistemul are dou\ zerouri `n origine z1 = z 2 = 0 [i doi poli
2

a
a 4a 2
p1, 2 = 1 1
(3.131)
2
4
Sistemul este stabil `n sens MIME, dac\ polii sunt `n interiorul
cercului unitate, adic\ dac\ p 2 < 1 [i p1 < 1 . Aceste condi]ii impun
anumite rela]ii `ntre coeficien]ii a1 [i a2 , care vor fi determinate att
pentru cazul n care polii sunt complex conjuga]i, ct [i reali.
134

Dac\ a12 < 4a 2 , polii sunt complex conjuga]i


condi]ia de modul subunitar pentru ace[tia conduce la
=

a12 + 4a2 a12

2
ceea ce este echivalent cu rela]ia

= a2 < 1; a12 < 4a 2

p1, 2 = e j , [i
(3.132)

a12
| a 2 |< 1, a2 >
(3.133)
4
~nlocuind (3.131) `n condi]ia p1, 2 < 1 , `n cazul polilor reali, se
ob]ine
a1
a12 4a 2
(3.134)
1 <
<1
2
4
condi]ie ce echivaleaz\ cu patru inegalit\]i ce trebuie `ndeplinite simultan.
Prin rezolvarea acestora rezult\ a2>-a1-1 [i a2> a1-1, rela]ii ce pot fi reunite
`n
a1 < 1 + a2
(3.135)
Cu alte cuvinte, un sistem cu doi poli este stabil, dac\ [i numai dac\
coeficien]ii a1 [i a 2 satisfac condi]iile (3.133) [i (3.135). Aceste condi]ii
definesc o regiune `n planul coeficien]ilor ( a1 , a 2 ) `n form\ de triunghi,
dup\ cum este ar\tat `n figura 3.7.

Figura 3.7. Regiunea de stabilitate `n planul coeficien]ilor (a1, a2) pentru un sistem de
ordinul II
135

Sistemul este stabil dac\ [i numai dac\ punctul de coordonate


( a1 , a2 ) este `n interiorul triunghiului, numit triunghiul stabilit\]ii.
Caracteristicile unui sistem cu doi poli depind de localizarea acestora sau
de pozi]ia punctului ( a1 , a 2 ) `n triunghiul stabilit\]ii. Polii sistemului pot
2
fi reali sau complec[i, dup\ valoarea discriminantului = a1 4a2 .
2

a
Parabola a2 = 1 `mparte triunghiul stabilit\]ii `n dou\ regiuni.
4
Regiunea de sub parabol\ corespunde polilor distinc]i reali. Punctele de
pe parabol\ corespund polilor reali dubli [i regiunea de deasupra
parabolei corespunde polilor complex conjuga]i.
2
a) poli reali [i distinc]i ( a1 > 4a 2 )
Deoarece p1 p 2 [i reali, func]ia de transfer a sistemului poate fi scris\
sub forma
A1
A2
H (z ) =
(3.136)
+
1
1 p1 z
1 p2 z 1
unde
b p
b0 p 2
A1 = 0 1 ; A2 =
,
(3.137)
p1 p 2
p1 p 2
r\spunsul la impuls fiind
(3.138)
h[n] = b0 ( p1n+1 p 2n+1)u[n]
p1 p 2
adic\ diferen]a a dou\ exponen]iale descresc\toare.
b) poli reali [i egali ( a12 = 4a2 )
a
~n acest caz p1 = p 2 = 1 [i func]ia de transfer este
2
b0
(3.139)
H (z ) =
2
1

1 p z

c\reia `i corespunde r\spunsul la impuls


h(n ) = b0 [n + 1] p n u[n ]
(3.140)
adic\ produsul dintre un semnal ramp\ [i o exponen]ial\ descresc\toare,
care va avea o alur\ descresc\toare pentru un n suficient de mare.
c) poli complex conjuga]i ( a12 < 4 a 2 )
Deoarece polii sunt complex conjuga]i, func]ia de transfer este
136

A
A*
A
A*
+
=
+
1 pz 1 1 p * z 1 1 re j0 z 1 1 re j0 z 1
unde p = r e j 0 cu 0 0 .
H (z ) =

(3.141)

p
e j0
p*
e j0
A = b0 * = b0
, A* = b0 * = b0
(3.142)
j 2 sin 0
j 2 sin 0
p p
p p
R\spunsul la impuls al sistemului cu poli complex conjuga]i este
b n
(3.143)
h[n] = 0 r sin (n + 1)0 u[n]
sin 0
Acesta are o comportare oscilatorie cu o anvelop\ exponen]ial\
descresc\toare pentru r < 1 . Unghiul 0 determin\ frecven]a de oscila]ie
iar distan]a fa]\ de origine a polului determin\ viteza de descre[tere a
exponen]ialei. Evident, cu ct r este mai aproape de cercul unitate,
descre[terea este mai lent\, [i cu ct r este mai apropiat de origine,
descre[terea este mai rapid\.

3.7. Probleme propuse


3.1. S\ se determine transformata Z a urm\toarelor semnale [i s\ se
indice regiunea de convergen]\.
a) xa [n] = [3, 0, 0, 0, 0, 6, 1, -4] ;

b)
1 n
, n 5
c) xb [n] = 2
;
0 , n<4

d) xc [n] = (n + 1) u[n] ;

e) xd [n] = a n + a n u[n] , a R ;
f)

(
[n] = (n a
[n] = 1 (n
2

)
cos n ) u[n] ;
1
+ n ) u[n 1] ;
3

xe [n] = n a n sin 0 n u[n] ;

g) x f
h) x g

n 1

137

i)

1 n
, n 0
3
xh [n] =
;
n
1
2 , n < 0

j)

1 n
2 n , n 0
xi [n] = 3
;
0
, n<0

k) x j [n ] = xh [n + 4] ;

x k [n] = x h [ n].

l)

3.2. S\ se determine transformata Z a semnalelor:

a) x[n] =

< 1;

b) x[n] = 1 , < n < ;

3.3. Folosind metoda descompunerii n serii de puteri, s\ se determine


transformata Z invers\ pentru semnalul
1 + 2 z 1
X (z ) =
1 2 z 1 + z 2
dac\
a) x[n] este cauzal;
b) x[n] este necauzal.

este

3.4. S\ se determine semnalul cauzal x[n] a c\rui transformat\ Z


1
.
X (z ) =

(1 2 z )(1 z )
1

1 2

3.5. Fie x[n] un semnal care admite transformat\ Z. S\ se


determine, n func]ie de X(z), transformata Z a urm\toarelor semnale:
n
, pentru n par
x
a) x1 [n] = 2

0, in rest
138

b) x2 [n] = x[2n] .
3.6. S\ se determine semnalul cauzal x[n] , dac\ transformata sa Z
este:
1 + 3 z 1
1 + 3 z 1 + 2 z 2
z 6 + z 7
b) X ( z ) =
1 z 1
1 + 2 z 2
c) X (z ) =
1 + z 2
1
1 + 6 z 1 + z 2
d) X (z ) =
4 1 2 z 1 + 2 z 2 1 0.5 z 1
e) X(z) este specificat\ de diagrama poli-zerouri
a) X (z ) =

)(

Figura p3.6

3.7. S\ se determine toate semnalele posibile x[n] care pot avea


transformata Z
5 z 1
X (z ) =
1 2 z 1 3 z 1

)(

3.8. S\ se determine convolu]ia urm\toarelor perechi de semnale cu


ajutorul transformatei Z:
n
1 n
1
x2 [n] = 1 + u[n]
a) x1[n] = u[n 1] ;
2
4

139

1
x2 [n] = [n] + u[n]
2
n
x2 [n] = 2 u[n 1]

b) x1[n] = u[n] ;
c) x1[n] = nu[n] ;

3.9. Folosind propriet\]ile transformatei Z s\ se determine


originalul pentru urm\toarele transformate:
1
a) X(z) = log (1 2 z )
z <
2
1
1

b) X(z) = log 1 z 1
z >
2
2

3.10. S\ se determine semnalul x[n] a c\rui transformat\ Z este


1

X (z ) = e z + e z

z 0

3.11. S\ se determine semnalul x[n] cu transformata


3
X (z ) =
, dac\ X(z) converge pe cercul unitate.
10 1
2
1 z + z
3
3.12. S\ se calculeze convolu]ia urm\toarelor perechi de semnale
n domeniul timp [i cu ajutorul transformatei Z unilaterale:
a) x1[n] = {1,1,1,1,1}
x2 [n] = {1,1,1}

1
b) x1[n] = u[n]
2
c) x1[n] = {1,1,1,1,1}

1
x2 [n] = u[n]
2
x2 [n] = {1,1,1}

Sau ob]inut acelea[i rezultate prin ambele metode? Explica]i.


3.13. S\ se determine r\spunsul
y[n] , n 0 al sistemelor
descrise de urm\toarele ecua]ii cu diferen]e, cu ajutorul transformatei Z
unilaterale:

140

1
1
y[n 1] y[n 2] = 0 ; y[1] = y[2] = 1 .
2
4
b) y[n] 1,5 y[n 1] + 0,5 y[n 2] = 0; y[1] = y[2] = 0 .
1
c) y[n] = y[n 1] + x[n]
2
a) y[n] +

1
x[n] = u[n]; y[1] = 1 ;
3
1
d) y[n] = y[n 2] + x[n]
4
x[n] = u[n]; y[1] = 0, y[2] = 1 .
3.14. S\ se calculeze r\spunsul de stare zero al urm\toarelor
sisteme:
n

1
x[n] = cos u[n]
3
2

1 1
x[n] = + u[n 1]
3 2

1
a) h[n] = u[n] ;
3

1
b) h[n] = u[n] ;
2

1
c) y[n] = 0.1y[n 1] + 0,2 y[n 2] + x[n] + x[n 1] ; x[n] = u[n]
3

d) y[n] = y[n 2] + 10 x[n] ;


x[n] = 10 cos n u[n]
2

1
e) h[n] = u[n] ;
2

x[n] = ( 1)

< n <

3.15. Se consider\ sistemul


1 2 z 1 + 2 z 2 z 3
H (z ) =
;
RC: 0.5 < z < 1
1 z 1 1 0.5 z 1 1 0.2 z 1
a) S\ se reprezinte diagrama poli-zerouri a sistemului. Este acesta stabil?
b) S\ se determine r\spunsul la impuls.

)(

)(

3.16. S\ se determine r\spunsul sistemului


y[n] = 0.7 y[n 1] 0.12 y[n 2] + x[n 1] + x[n 2]
x[n] = nu[n] . Este sistemul stabil?
la intrarea
141

figur\.

3.17. S\ se determine r\spunsul la impuls, h[n] , al sistemului din


n

1
1
1
h1[n] = u[n] ; h2 [n] = u[n] ; h3 [n] = u[n]
3
2
5

Figura p3.17

3.18. Se consider\ interconectarea sistemului din figur\, unde


h[n] = a n u[n] , 1 < a < 1 .

Figura p3.18

a) S\ se determine r\spunsul la impuls al sistemului [i s\ se stabileasc\


dac\ este cauzal [i stabil.
b) S\ se implementeze sistemul cu un num\r minim de sumatoare,
multiplicatoare [i elemente de ntrziere.
1
z 1 + z 2
2
3.19. Se consider\ sistemul H ( z ) =
3 1 2 2
1 z +
z
5
25
S\ se determine:
a) r\spunsul la impuls;
b) r\spunsul de stare zero la intrarea x[n] = u[n];
c) r\spunsul total la intrarea x[n] = u[n] dac\ y[1] = 1 ; y[2] = 2 .

142

3.20. Fie sistemul cauzal descris de ecua]ia cu diferen]e


y[n] = a1 y[n 1] + b0 x[n] + b1 x[n 1]
S\ se determine:
a) r\spunsul la impuls;
b) r\spunsul de stare zero la treapta unitate;
c) r\spunsul la treapta unitate dac\ y[1] = A 0 ;
d) r\spunsul la intrarea x[n] = cos 0 n ,
0 n < .
3.21. S\ se determine r\spunsul de stare zero al sistemului
1
y[n] = y[n 1] + 4 x[n] + 3x[n 1]
2
la intrarea x[n] = e j0n u[n] .
Care este r\spunsul de regim permanent al sistemului?

143

CAPITOLUL 4

ANALIZA SEMNALELOR DISCRETE ~N


DOMENIUL FRECVEN}|
Un alt instrument matematic foarte util `n analiza [i proiectarea
SLIT `l constituie transformata [i seria Fourier. Aceste reprezent\ri ale
semnalelor implic\ descompunerea semnalului `n sinusoide sau
exponen]iale complexe. Astfel, semnalul este reprezentat `n domeniul
frecven]\.
Pentru clasa semnalelor periodice, descompunerea se nume[te serie
Fourier, iar pentru clasa semnalelor aperiodice de energie finit\,
descompunerea se nume[te transformat\ Fourier.
Aceste descompuneri sunt importante, deoarece permit ob]inerea cu
u[urin]\ a r\spunsului sistemelor SLIT la astfel de semnale, pe baza
propriet\]ii de liniaritate a seriei [i transformatei Fourier.
Din domeniul fizicii au fost preluate no]iunile de spectru, analiz\
spectral\ [i sintez\ de spectru, prin analogie cu urm\toarea situa]ie:
lumina alb\ este descompus\ cu ajutorul unei prisme n culorile
curcubeului, fiecare din acestea corespunz`nd unei anumite frecven]e din
spectrul vizual.
Analiza `n frecven]\ a unui semnal implic\ descompunerea sa `n
componente sinusoidale. Rolul prismei este preluat de seria [i
transformata Fourier. Ca [i `n fizic\, termenul de spectru se refer\ la
con]inutul de frecven]e al semnalului. Procesul de ob]inere a spectrului se
nume[te analiz\ spectral\. ~n practic\ determinarea spectrului unui
semnal, bazat\ pe m\sur\tori asupra semnalului, se nume[te estimare
spectral\. Transformata Fourier a unui semnal se nume[te func]ie de
densitate spectral\ sau, mai simplu, spectrul semnalului.
Recombinarea sinusoidelor componente `n scopul refacerii
semnalului original este o problem\ de sintez\ Fourier. ~n cele ce
urmeaz\ analiza se va referi att la semnale analogice periodice [i
aperiodice, ct [i la semnale discrete, de asemenea, periodice [i
aperiodice.
144

4.1. Analiza `n frecven]\ a semnalelor analogice


Pentru semnalele analogice periodice [i aperiodice se vor trece
succint `n revist\ `n urm\toarele dou\ paragrafe cteva aspecte referitoare
la ecua]ia de analiz\, ecua]ia de sintez\, spectrul de amplitudine, spectrul
de faz\, spectrul de putere [i, respectiv, spectrul de energie al semnalelor,
tratarea detaliat\ a acestor subiecte fiind prezentat\ `n [13], [16], [20].

4.1.1. Analiza `n frecven]\ a semnalelor analogice periodice


Reprezentarea matematic\ a semnalelor periodice este dat\ de seria
Fourier care este o sum\ ponderat\ de sinusoide armonice sau
exponen]iale complexe avnd aceea[i perioad\ fundamental\ Tp=1/F0
x(t ) =

ck e j 2 kF t

(4.1)

k =

Semnalul exponen]ial {e j2 k F 0t , k = 0;1;2...} este "blocul constructiv" de


baz\ cu ajutorul c\ruia se construiesc semnale periodice diferite, prin
alegerea potrivit\ a frecven]ei fundamentale F0 [i a coeficien]ilor
{c k } . F0 reprezint\ frecven]a fundamental\ a semnalului x(t ) , iar
coeficien]ii {ck } determin\ forma semnalului. Pentru un semnal periodic
x(t ) , de perioad\ T p , coeficien]ii {ck } se determin\ cu rela]ia [23]
ck =

1
Tp

x(t )e

Tp

j 2kF0t

dt

(4.2)

Coeficien]ii {ck } formeaz\ spectrul semnalului periodic. Modulul


coeficien]ilor formeaz\ spectrul de amplitudine, iar argumentul lor,
spectrul de faz\. Se observ\ c\ integrala poate fi evaluat\ pe orice
interval de lungime T p al semnalului x(t ) . ~n reprezentarea semnalelor
periodice prin serii Fourier apare problema convergen]ei seriei date de
(4.1) la x(t ) pentru orice valoare a lui t .
Exist\ unele condi]ii care garanteaz\ convergen]a [23], dintre care
un set foarte utilizat `n prelucrarea semnalelor este cunoscut sub numele
de condi]iile Dirichlet, care asigur\ faptul c\ x(t ) este egal cu
dezvoltarea sa `n seria dat\ de (4.1) `n orice punct de continuitate, dac\:
1. Semnalul x(t ) are un num\r finit de discontinuit\]i pe orice
interval finit;
145

2. Semnalul con]ine un num\r finit de maxime [i minime `n orice


perioad\;
3. Semnalul este absolut integrabil pe orice perioad\, adic\
(4.3)
x(t ) dt <
Tp

O alt\ condi]ie de convergen]\, dar mai slab\ dect condi]ia 3 din setul
anterior este aceea ca semnalul s\ aib\ energia finit\ pe o perioad\, adic\
s\ fie de de p\trat integrabil pe o perioad\, adic\
2
(4.4)
| x(t ) | dt <
Tp

Aceasta garanteaz\ faptul c\ energia semnalului diferen]\


d (t ) = x(t )

ck e j 2 kF t
0

(4.5)

k =

este zero, de[i x(t ) [i seria sa Fourier pot diferi pentru toate valorile lui t.
Un semnal absolut integrabil este de energie finit\, dar reciproca nu este
adev\rat\. Ambele condi]ii prezentate mai sus sunt suficiente, dar nu [i
necesare, adic\ exist\ semnale care nu respect\ condi]iile Dirichlet [i nu
sunt nici de p\trat integrabil, dar seria Fourier este convergent\.
Toate semnalele periodice de interes practic satisfac aceste condi]ii.
~n concluzie, dac\ x(t ) este periodic [i satisface condi]iile Dirichlet, el
poate fi reprezentat `ntr-o serie Fourier (4.1), cu coeficien]ii specifica]i de
(4.2). Ecua]ia (4.1) se nume[te ecua]ie de sintez\, iar ecua]ia (4.2),
ecua]ie de analiz\.
~n general, coeficien]ii Fourier sunt complec[i, iar dac\ semnalul
x(t ) este real, coeficien]ii simetrici sunt complexi conjuga]i ck = ck* .
ck = ck e j k
Spectrul de amplitudine este par

ck* = ck = c k e j k

ck = ck ,

(4.6)
(4.7)

iar cel de faz\ este impar


ck = ck
(4.8)
Un semnal periodic are energie infinit\ [i putere medie finit\, dat\
de rela]ia
2
1
(4.9)
Px =
x( t ) dt
TpTp

~ntre coeficien]ii Fourier [i puterea semnalului periodic exist\


rela]ia
146

ck

Px =

(4.10)

k =

Rela]iile (4.9) [i (4.10) ilustreaz\ echivalen]a puterilor, pentru semnale


de putere finit\.
2

M\rimea ck reprezint\ puterea medie a armonicii k a semnalului, iar


puterea medie total\ a semnalului periodic este suma puterilor medii ale
ck

armonicilor. Reprezentarea lui

func]ie de frecven]ele

kF0 ,

k = 0,1,2... formeaz\ spectrul densit\]ii de putere a semnalului


periodic x(t ) .
2

Deoarece ck exist\ numai pentru valori discrete ale frecven]ei


(0; F0 ;2 F0 ...) , se spune c\ spectrul semnalului periodic este format din
linii spectrale. Distan]a dintre dou\ linii spectrale consecutive este inversa
1
perioadei fundamentale F0 =
, iar forma spectrului (distribu]ia de
Tp
putere a semnalului) depinde de caracteristicile `n domeniul timp ale
semnalului.

4.1.2. Analiza `n frecven]\ a semnalelor analogice


aperiodice
Semnalele analogice aperiodice se reprezint\ `n domeniul frecven]\
cu ajutorul transformatei Fourier care, pentru semnalul x(t ) , se define[te
cu rela]ia

X ( F ) = x(t )e j2 Ft dt

(4.11)

X (F ) , transformata Fourier direct\ a semnalului x(t ) , mai este


cunoscut\ sub numele de func]ie de densitate spectral\ [i este o func]ie de
variabila continu\ F. Transformata Fourier invers\ este dat\ de rela]ia

x(t ) = X ( F )e j 2 Ft dF

(4.12)
[i permite determinarea semnalului x(t ) din X (F ) . Rela]ia (4.11) se
nume[te ecua]ie de analiz\, iar (4.12) ecua]ie de sintez\.
Perechile Fourier (4.11) [i (4.12) se pot exprima [i `n func]ie de
d
pulsa]ia = 2F , dF =
, `n forma
2
147

X () =

x(t )e

jt

dt

(4.13)

[i

1
X ()e jt d
(4.14)
2
Un set de condi]ii suficiente pentru existen]a transformatei Fourier a
semnalelor aperiodice este dat de condi]iile Dirichlet [23]:
1. Semnalul x(t ) are un num\r finit de discontinuit\]i;
2. Semnalul x(t ) con]ine un num\r finit de maxime [i minime;
3. Semnalul x(t ) este absolut integrabil, adic\
x(t ) =

x(t ) dt <

(4.15)

O alt\ condi]ie de convergen]\, dar mai slab\ dect (4.15), este aceea ca
semnalul s\ fie de energie finit\, adic\ s\ fie de de p\trat integrabil [18]

x(t )

dt <

(4.16)

Un semnal absolut integrabil este de energie finit\, `ns\ reciproca nu este


`ntotdeauna adev\rat\. ~n general, transformata Fourier X (F ) este o
m\rime complex\, care se exprim\ `n coordonate polare sub forma
(4.17)
X ( F ) = X ( F ) e j ( F )
unde X (F ) este modulul spectrului, iar (F ) faza sa.
Dac\ semnalul x(t ) este real, atunci transformata Fourier prezint\
proprit\]i de simetrie, [i anume, spectrul de amplitudine este o func]ie
par\
X ( F ) = X ( F )
(4.18)
iar cel de faz\ este o func]ie impar\
X ( F ) = X ( F )
(4.19)
Energia matematic\ a unui semnal x(t ) este dat\ de rela]ia

E x = x(t ) dt

(4.20)

Leg\tura dintre energia semnalului [i transformata sa Fourier X (F ) , este


dat\ de echivalen]a energiilor

148

Ex =

X (F )

dF

(4.21)

care exprim\ principiul conserv\rii energiei `ntre domeniile timp [i


frecven]\.
M\rimea
2

S xx ( F ) = X ( F ) ,
4.22)
care este integrandul rela]iei (4.21), reprezint\ distribu]ia de energie a
semnalului, func]ie de frecven]\ [i se nume[te spectrul densit\]ii de
energie sau densitate spectral\ de energie a lui x(t ) . Din (4.22) se
observ\ c\
S xx ( F ) = S xx ( F )
(4.23)
adic\ spectrul densit\]ii de energie a unui semnal real are simetrie par\.
Din (4.22) se observ\ c\ S xx (F ) nu con]ine informa]ii despre faz\
[i, deci, din cunoa[terea spectrului de energie nu se va putea reface `n
mod unic semnalul x(t ) .

4.2.

Analiza `n frecven]\ a semnalelor discrete

A[a cum s-a ar\tat `n paragraful 1.2, spre deosebire de semnalele


analogice, al c\ror domeniu de frecven]e se `ntinde de la la ,
domeniul de frecven]e pentru semnalele discrete este restrns `n intervalul
( , ] sau [0,2) .

4.2.1. Serii Fourier pentru semnale discrete periodice


Pentru un semnal discret periodic ( x[n] = x[n + N ], n Z ) exist\
numai N valori `ntr-o perioad\, dup\ care acestea se repet\
x[ N ] = x[0], x[ N + 1] = x[1],... . Se mai poate scrie x[n] = x[(n) N ] , unde
(n) N este reprezentarea lui n `n clase de resturi modulo N. Aceast\
observa]ie atest\ faptul c\ spa]iul semnalelor discrete periodice, de
perioad\
N,
are
dimensiunea
N.
Func]iile
elementare
jk

2
n
N ,

e
k N , 0 k N 1 formeaz\ o baz\ ortogonal\ complet\ `n
spa]iul func]iilor periodice de perioad\ N. Un semnal discret de perioad\
fundamental\ N, poate con]ine componente de frecven]\ separate prin
149

radiani sau f = 1 [i reprezentarea `n serie Fourier a unui semnal


N
N
discret periodic, va con]ine cel mult N componente de frecven]\. Aceasta
reprezint\ diferen]a esen]ial\ `ntre reprezent\rile `n serie Fourier ale
semnalelor continue [i discrete.
Se presupune un semnal discret x[n] , de perioad\ N. Seria Fourier
pentru acest semnal discret se define[te cu rela]ia
x[n] =

N 1

ck e j 2kn / N , n = 0,1,..., N 1

(4.24)

k =0

unde {ck } sunt coeficien]ii dezvolt\rii `n serie.


Pentru a determina expresia coeficien]ilor Fourier, se folose[te
rela]ia
N 1
N k = 0, N ,2 N ...
j 2 kn / N
=
(4.25)
e

n =0
0 in rest
Multiplicnd ambii membri ai rela]iei (4.24) cu e
produsul de la n = 0 la n = N 1 , rezult\
N 1

N 1N 1

n=0

n =0 k =0

x[n]e j 2mn / N = ck e

de unde

j 2 ( k m ) n

j 2 mn

N 1

[i sumnd

cm = Ncm , (m = k )

(4.26)

n =0

1 N 1
x[n] e j 2 kn / N , k = 0,1...N 1
(4.27)

N n =0
Rela]ia (4.24) se mai nume[te ecua]ie de sintez\, iar (4.27) ecua]ie
de analiz\. Coeficien]ii {ck } reprezint\ spectrul semnalului discret [i
descriu semnalul x[n] `n domeniul frecven]\, reprezent`nd amplitudinea
[i faza asociate componentei
s k [n ] = e j 2 kn / N = e jk n
(4.28)
unde k = 2k / N . Coeficien]ii {ck } sunt m\rimi complexe, modulul
coeficien]ilor determinnd spectrul de modul, iar faza acestora, spectrul
de faz\.
Semnalul s k [n] este periodic, de perioad\ N , adic\ s k [n] = s k [n + N ] .
Natura discret\ a semnalului s k [n] determin\ ca [i coeficien]ii
{ck } s\ fie periodici, de aceea[i perioad\ N . ~ntr-adev\r,
ck =

ck + N =

1 N 1
1 N 1
j 2 ( k + N ) n / N
x
n
=
x[n]e j 2 kn / N = ck
[
]
e

N n =0
N n =0
150

(4.29)

Spectrul unui semnal x[n] periodic, de perioad\ N, este o secven]\


periodic\, de aceea[i perioad\ N . ~n consecin]\, cunoa[terea a N
e[antioane consecutive din semnal sau din spectrul s\u determin\ o
descriere complet\ a semnalului `n domeniile timp, respectiv frecven]\.
Aceasta `nseamn\ c\ domeniul corespunz\tor lui k = 0,1..., N 1 (adic\ o
2 k
perioad\) acoper\ domeniul fundamental de frecven]\ 0 k =
< 2
N
N
N
sau, pentru < k
domeniul corespunz\tor de frecven]\ este
2
2
2k
< k =
.
N
Dac\ semnalul x[n] este real, x*[n] = x[n] , [i din rela]ia (4.27) se ob]ine
*

1 N 1
1 N 1

c = x[n]e j 2 kn / N = x[n]e j 2 kn / N = ck
N n =0
N n=0

sau, echivalent
ck = c k simetrie par
*
k

(4.30)

(4.31)
ck = ck simetrie impar
Aceste propriet\]i de simetrie ale spectrului semnalului periodic,

`mpreun\ cu proprietatea de periodicitate a coeficien]ilor


[i
adic\
c0 = c N

ck determin\

ck = c N k

(4.32)

ck = c N k

(4.33)

c0 = c N = 0

c1 = c N 1 c1 = c N 1
cN = cN
2

c( N 1)

= c( N +1)

c N = 0 pentru N par
2

(4.34)

c( N 1) = c( N +1) pentru N impar


2

Pentru un semnal real, spectrul dat de coeficien]ii c k , k = 0,1,..N / 2 ,


pentru N par sau k = 0,1,..( N 1) / 2 pentru N impar, descrie complet
semnalul `n domeniul frecven]\.
Folosind propriet\]ile de simetrie pentru coeficien]ii ck , seria
Fourier (4.24) devine
151

L
2

x[n] = c0 + 2 ck cos kn + k sau


N

k =1
L
2
2

(4.35)
x[n] = a0 + a k cos kn bk sin
kn
N
N
k =1
unde k = ck , a 0 = c0 , a k = 2 ck cos k , bk = 2 ck sin k , L = N / 2
pentru N par [i L = ( N 1) / 2 , pentru N impar.
Spectrul este discret [i periodic, de aceea[i perioad\ fundamental\ cu a
semnalului.

Exemplul 4.1.
S\ se calculeze spectrul urm\toarelor secven]e:
a) x1[n] = cos 5n ;
b) x2 [n] = 2 sin n / 3 ;
c) x3 [n] = {1,1,0,0} .
Solu]ie
a) Pentru x1[n] = cos 5n = cos 2f 0 n rezult\ f 0 = 5 / 2 Q , ceea ce
`nseamn\ c\ secven]a nu este periodic\ [i spectrul s\u nu se calculeaz\ cu
ajutorul seriei Fourier.
2(e j 2 n / 6 e j 2 n / 6 )
b) x2 [n] = 2 sin n / 3 =
= j (e j 2 n / 6 e j 2 5 n / 6 ) . Prin
2j
identificare cu seria Fourier rezult\ N=6, c1=-j, c5=j, c2=c3=c4=c6=0. Se
observ\ c\ | c1 |=| c5 |= 1, c1 = / 2, c5 = / 2 .
c) Perioada secven]ei este N=4. Aplicnd rela]ia (4.27), se ob]in
coeficien]ii seriei pentru k=0, 1, 2, 3.
1 3
1
ck = x[n]e j 2 kn / 4 = x[0] + x[1]e j 2 k / 4 , de unde rezult\ urm\toarele
4 n =0
4
valori pentru k=0, 1, 2, 3: c0=1/2; c1=(1-j)/4; c2=0; c3=(1+j)/4.

4.2.2. Spectrul densit\]ii de putere pentru semnale discrete


periodice
Puterea medie a unui semnal discret periodic, cu perioada N , se
calculeaz\ cu rela]ia
2
1 N 1
Px = x[n]
(4.36)
N n =0
152

~nlocuind (4.24) `n (4.36) [i ]innd cont de (4.27), aceasta se


exprim\ `n func]ie de coeficien]ii Fourier, dup\ cum urmeaz\:
1 N 1
1 N 1
N 1

Px = x[n]x * [n] = x[n] c*k e j 2 kn / N =


N n =0
N n =0
k =0

(4.37)
N 1
N 1
N 1
1
2
*
j 2 kn / N
ck x[n]e

= ck
k =0
N n =0
k =0
Rela]ia (4.37) se nume[te echivalen]a puterilor pentru semnale discrete
2
periodice. M\rimea c k se nume[te spectrul densit\]ii de putere a
semnalului discret periodic. Ca [i `n cazul semnalelor analogice, spectrul
densit\]ii de putere nu con]ine informa]ii despre faza semnalului.
Energia unui semnal discret periodic calculat\ pe o perioad\ este
N 1

N 1

E N = x[n] = N ck
2

n =0

(4.38)

k =0

4.2.3. Propriet\]ile
periodice discrete

seriei

Fourier

pentru

semnale

1. Liniaritatea Dac\ transform\rile (4.24) [i (4.27) definesc o pereche


Fourier x[n]
{ck } , atunci

a x [n] a c
j

jk

(4.39)

2. Deplasarea (translarea) `n timp


x[n n0 ]
e j 2 kn0 / N ck
(4.40)
Spectrul de modul al semnalului nu este afectat de deplasare, ci numai
spectrul de faz\. ~ntr-adev\r, aplicnd (4.27) [i notnd n-n0=m, se ob]ine
j 2 k ( m + n0 )
j 2 kn
1 N 1
1 N n0 1
N
ck = x[n n0 ]e
=
x[m]e N =
N n =0
N m= n0
(4.41)
j 2 kn0
j 2 km
N n0 1
1
e N
x[m]e N
N m = n0
Cum nu conteaz\ originea domeniului de `nsumare, ci doar `nglobarea a
N valori succesive, rela]ia (4.40) este demonstrat\.
3. Conjugarea complex\ Fie x[n] C .
x [ n]
{bk } = ck = c( k ) N
(4.42)

{ } {

Ceficien]ii bk ai semnalului complex conjugat x [n] sunt


153

1 N 1
bk = x [n]e
N n =0

j 2 kn
N

1 N 1
= x[n]e
N n =0

4. Reflectarea semnalului

j 2 ( k ) n
N

= ck = c(k )
N

(4.43)

(4.44)
x[n]
{ck } = {c( k ) N }
5. Modificarea sc\rii timpului
1
ck
x( m ) [ n]
(4.45)
m
x[n / m]; dac m divide n
unde
x( m ) [ n] =
(4.46)
0; n rest

Perioada N1 a semnalului x( m ) [n] se determin\ dup\ cum urmeaz\:

x[(n + N1 ) / m]; dac m divide (n + N1 )


x( m ) [ n + N 1 ] =
(4.47)
0; n rest

Defini]ia periodicit\]ii implic\ egalitatea rela]iilor (4.46) [i (4.47). Dac\ n


se divide la m [i (n+N1) se divide la m, atunci [i N1 se divide la m.
Alegnd N1=Lm, L N * , rezult\
x[(n / m ) + L]; dac m divide n x[n / m]; dac m divide n
=
x( m ) [n + N1 ] =
0; n rest
0; n rest

Deoarece x[n] este de perioad\ N, se ob]ine L=N [i, deci, perioada


semnalului x( m ) [n] este N1=Lm=Nm. Coeficien]ii seriei se calculeaz\
astfel:
2
2
n
mp
jk
jk
1 mN 1
1 N 1
mN
mN
=
=
c1k =
x
[
n
]
e
x
[
pm
]
e

(m)
(m)
mN n =0
mN p =0
2

p
jk
1 N 1
1
=
x[ p ]e N = c k

mN p =0
m
6. Modularea semnalului.
jk 0

e N x[n]
{c k k0 }
(4.48)
Modularea realizeaz\ translarea cu k0 a spectrului de modul [i faz\.
7. Produsul a dou\ semnale (teorema produsului). Dac\ x1 [n] [i x2 [n]
sunt dou\ secven]e periodice de perioad\ N, ai c\ror coeficien]i Fourier
sunt
154

c1k =
c2 k =

respectiv

1
N

N 1

x1 [n]e

2k n
N

n =0

j
1 N 1
x 2 [n]e

N n =0

2k n
N

, k = 0, N 1

(4.49)

atunci
N 1

c1m c2 ((k m )N ) = c1m c2 m


x3 [n] = x1[n]x2 [n]

(4.50)

m =0

unde desemneaz\ convolu]ia periodic\ sau circular\ a secven]elor c1m


[i c2m. Produsul semnalelor este periodic de perioad\ N. Coeficien]ii ck ai
produsului sunt
2 k n
2 mn
2 k n
j
j
j

1 N 1
1 N 1 N 1
N
N
N
c k = x1 [n]x 2 [n] e
= c1m e
=
x 2 [ n] e
N n =0
N n =0 m =0

N 1

= c1m
m =0

1
N

N 1

x [ n] e
n =0

2 ( k m ) n
N

N 1

N 1

m =0

m =0

= c1m c 2 ( k m ) = c1m c 2 ( k m ) N

(4.51)
Ultima sum\ reprezint\ convolu]ia periodic\ sau circular\ a secven]elor
discrete formate din coeficien]ii c1k [i c2k.
8. Convolu]ia periodic\ sau circular\ (teorema convolu]iei). Dac\
x1 [n] [i x2 [n] sunt dou\ secven]e periodice de perioad\ N, ai c\ror
coeficien]i Fourier sunt da]i de (4.49), atunci
x1[n] x 2 [n]
{Nc1k c2 k }
(4.52)
unde, prin defini]ie, convolu]ia circular\ a dou\ semnale periodice x1 [n]
[i x2 [n] de aceea[i perioad\ N este
N 1

x1[n] x2 [n] = x1[k ]x2 [(n k ) N ]


k =0

(4.53)

Pentru a determina coeficien]ii seriei Fourier ai convolu]iei x1[n] x2 [n]


se determin\ semnalul x3 [n] care are drept coeficien]i ai seriei Fourier
produsele Nc1kc2k.
N 1
N 1 N 1

x3 [n] = Nc1k c2 k e j 2 kn / N = x1 [m] e j 2 km / N c2 k e j 2 k n N =


k =0
k = 0 m =0

(4.54)
N 1
N 1
N 1

j 2 k ( n m ) N
= x1 [m] c2 k e
= x1 [m]x2 [n m]
m =0
k =0
m =0
155

9. Diferen]a de ordinul `nt i a semnalului discret


2

jk

x[n] x[n 1]
1 e N ck
(4.55)


10. ~nsumarea `n domeniul timp ~nsumnd un semnal discret x[n]
periodic de perioad\ N, f\r\ component\ continu\, adic\ avnd c0=0, se
ob]ine un semnal y[n] de aceea[i perioad\, pentru care

ck

x[m] = y[n]
, c = 0.

2 0
m =
1 e j N k
n

y[n] =

(4.56)

x[m]; y[n] y[n 1] = x[n]

(4.57)

m =

Coeficien]ii seriei Fourier ai semnalului y[n] sunt bk. Aplicnd


proprietatea 9 (rela]ia 4.55) rela]iei (4.57), se ob]ine
2

jk

y[n] y[n 1] = x[n]


1 e N bk = ck
(4.58)

de unde rezult\ coeficien]ii bk ai semnalului y[n] , ca `n rela]ia (4.56).


11. Propriet\]i specifice semnalelor reale. Dac\ x[n] R , atunci
x[n] = x * [n] [i, conform rela]iei (4.24), rezult\ c\

ck = c* k = c(* k ) N

(4.59)

ceea ce echivaleaz\ cu
ck = ck = c(* k ) N ; c k = c k = c( k ) N
Re{ ck } = Re{ ck } = Re{ c( k ) N }; Im{ck } = Im{ck } = Im{c( k ) N }
(4.59')

4.2.4. Analiza `n frecven]\ a semnalelor discrete aperiodice


~n analiza semnalelor discrete, aperiodice, de energie finit\ se
folose[te transformata Fourier. Prin defini]ie, transformata Fourier a unui
semnal discret x[n] , de energie finit\, este dat\ de rela]ia
X () =

x[n]e

n =

156

jn

(4.60)

unde X () reprezint\ spectrul semnalului x[n] .


Exist\ dou\ deosebiri de baz\ `ntre transformatele Fourier pentru
semnale de energie finit\ analogice [i discrete. Prima const\ `n faptul c\
spectrul semnalului analogic cuprinde un domeniu infinit de frecven]\
(, ) , pe cnd cel pentru semnale discrete este limitat la domeniul
( , ] sau, echivalent, [0,2) , fiind periodic, de perioad\ 2 . Aceast\
periodicitate este o consecin]\ a periodicit\]ii semnalului exponen]ial
complex. ~ntr-adev\r,
X ( + 2k ) =
=

x[n]e

jn

x[n]e

j ( + 2 k ) n

n =

x[n]e

n =

jn

e j 2 kn =
(4.61)

= X ()

n =

A doua diferen]\ de baz\ const\ `n faptul c\ transformata Fourier a


semnalului discret se exprim\ printr-o sum\, `n timp ce pentru cel
analogic, cu o integral\. Deoarece X () este o func]ie periodic\ de
variabil\ , ea se poate descompune cu ajutorul seriei Fourier.
Coeficien]ii Fourier ai descompunerii sunt valorile secven]ei x[n] . Pentru
a demonstra aceast\ afirma]ie, se multiplic\ ambii membri ai rela]iei
j m
(4.60) cu e
[i se integreaz\ pe domeniul [, ] . Astfel,

(4.62)
x[ n ]e j n e j m d

n
=

Integrala din membrul drept se calculeaz\ schimb`nd `nt`i ordinea


sumei cu integrala. Aceast\ schimbare poate fi f\cut\ dac\ seria

X ( ) e j m d =

X M ( ) =

x[n]e

n= M

jn

(4.63)

converge uniform la X () pentru M . Convergen]a uniform\


presupune c\ X M () X () pentru M . (Convergen]a
transformatei Fourier este detaliat\ `n paragraful 4.2.6). Presupunnd,
pentru moment, c\ seria converge uniform, membrul drept al rela]iei
(4.62) devine

m = n

2 x[ m ]
x[ n ] e j(m n )d =
(4.64)

m n
n =
0
Din (4.64) [i (4.62) se ob]ine
1
x[n] =
X ()e jn d
(4.65)

2
157

Ecua]ia (4.60) se nume[te ecua]ie de analiz\, iar (4.65), ecua]ie de


sintez\.

4.2.5. Spectrul densit\]ii


discrete aperiodice

de energie pentru semnale

Energia Ex a unui semnal discret, definit\ cu rela]ia (2.17) poate fi


exprimat\ `n func]ie de spectrul X(), dup\ cum urmeaz\:

E x = x[n] x*[n] = x[n] X * ()e jn d =

n =
n =
(4.66)
1 *
1
2
jn
X () x[n]e
d = 2 X () d
2

n=
Aceast\ rela]ie este cunoscut\ sub numele de echivalen]a energiilor
pentru semnale discrete aperiodice, de energie finit\.
Spectrul X () este o m\rime complex\, care `n coordonate polare se
exprim\ sub forma
X () = X () e j( )
(4.67)
unde () = X () este faza, iar X () modulul lui X () .
Ca [i `n cazul semnalelor analogice
2
S xx () = X ()
(4.68)
reprezint\ distribu]ia de energie a semnalului ca o func]ie de frecven]\ [i
se nume[te spectrul densit\]ii de energie.
Pentru x[n] un semnal real,
X * () = X ()
(4.69)
sau, echivalent
X () = X ()
(4.70)
X () = X ()
(4.71)
Din (4.68) (4.71) rezult\
S xx () = S xx ()
(4.72)
Din aceast\ proprietate de simetrie, rezult\ c\ domeniul de
frecven]\ pentru semnale discrete aperiodice poate fi redus la 0
(adic\ o jum\tate de perioad\), lucru care s-a putut observa [i la semnale
discrete periodice. ~n consecin]\, descrierea unui semnal real discret poate
[i

158

fi realizat\ complet prin specificarea spectrului `n domeniul de frecven]\


0 sau 0 F FS / 2 .

4.2.6. Convergen]a transformatei Fourier


~n ob]inerea transformatei inverse date de (4.65) s-a presupus c\
seria (4.63) converge uniform la X ( ) cnd M . Convergen]a
uniform\ presupune c\ pentru fiecare [23]
lim X M () X () = 0
(4.73)
M

Convergen]a uniform\ este garantat\ dac\ x[n] este absolut sumabil. ~ntradevar, dac\

x[n] <

(4.74)

n =

atunci

X () =

x[n]e jn

n =

x[n] <

(4.75)

n =

~n plus, se observ\ c\, dac\ x[n] este absolut sumabil, atunci el este un
semnal de energie finit\.
2

E x = x[n] x[n] <


(4.76)
n =
n =

Rela]ia (4.74) este o condi]ie suficient\ pentru existen]a transformatei


Fourier discrete.
Unele secven]e nu sunt absolut sumabile, dar sunt de p\trat
sumabil, adic\ au energie finit\, ceea ce reprezint\ o condi]ie mai slab\
dect (4.74). {i pentru aceste semnale, de energie finit\, se poate defini
transformata Fourier, dar trebuie relaxate condi]iile convergen]ei
uniforme. Pentru asemenea secven]e se poate impune o condi]ie de
convergen]\ `n medie p\tratic\ [18]

lim

X () X

() d = 0

(4.77)

Energia erorii X () X M () tinde la zero, dar nu este necesar ca eroarea


X () X M () s\ tind\ la zero. ~n acest mod, semnalele de energie finit\
pot fi incluse `n clasa semnalelor pentru care exist\ transformata Fourier.

159

Exemplul 4.2.
S\ se determine r\spunsul la impuls al unui sistem al c\rui
r\spuns `n frecven]\ este
1,
< c
H () =
(4.78)
0, c <

R\spunsul la impuls poate fi determinat cu rela]ia (4.65).


sin c n
n , n 0
1 c jn

=
h[n] =
e
d
(4.79)

2 c
c

, n=0

Se observ\ c\ h[n] este diferit de zero pentru n<0, deci sistemul este
necauzal. De asemenea, h[n] nu este absolut sumabil. Aceasta se
datoreaz\ faptului c\ H() este o func]ie discontinu\ `n =c [i =-c.

sin c n jn
jn
h
[
n
]
e
=
(4.80)
Seria
e

n
n =
n =
nu converge uniform pentru toate valorile lui . Secven]a h[n] este de

energie finit\, E x = c , deci suma din (4.80) va converge la H() dat de

(4.78) `n medie p\tratic\. ~n continuare se consider\ suma finit\


M
M
sin c n jn
1 c jn jn
H M () =
e
=
ce e d =
n
n= M
n = M 2
(4.81)
1 c ( ) M 2 M j ( ) m
1 c sin[(2 M + 1)( ) / 2]
=
e
e
d =
d

2 c
2 c
sin[( ) / 2]
m =0
~n figura 4.2 este reprezentat HM() pentru diverse valori ale lui M. Se
observ\ oscila]ii semnificative la =c, independent de valoarea lui M.
Cu cre[terea lui M, oscila]iile au o frecven]\ mai ridicat\, dar m\rimea
riplului r\mne aceea[i. Pentru M , oscila]iile converg la punctul de
discontinuitate =c, dar r\mn de aceea[i amplitudine. Oricum, h[n] dat
de (4.79) este de p\trat sumabil [i HM() converge la H() `n medie
p\tratic\.
Comportarea oscilatorie a aproxim\rii HM() a lui H() `n punctul
de discontinuitate a lui H() se nume[te fenomen Gibbs. De[i eroarea
dintre lim H M () [i H () poate p\rea neimportant\, deoarece cele dou\
M

func]ii difer\ numai `n =c, se va vedea (la proiectarea filtrelor digitale)


160

c\ sumele finite au implica]ii importante `n proiectarea sistemelor discrete


pentru filtrare.

Figura 4.2. Comportarea oscilatorie la

= c , denumit\ fenomen Gibbs

Fenomenul Gibbs a fost observat ini]ial la trunchierea seriei


Fourier pentru semnale analogice periodice. ~n 1898 fizicianul Albert
Michelson a construit un analizor armonic, cu care descompunea un
semnal pn\ la a optzecea component\, neglijnd componentele de ordin
superior. ~nsumnd componentele, se ob]inea un semnal foarte
asem\n\tor cu cel ini]ial, cu o singur\ excep]ie, [i anume, cazul cnd
semnalul analizat era o und\ rectangular\, la reconstruc]ia c\ruia se
ob]inea un semnal care avea mici oscila]ii `n vecin\tatea tranzi]iei
semnalului. ~n 1899 Gibbs studiaz\ [i explic\ acest fenomen prin
neconvergen]a uniform\ a seriei Fourier `n discontinuitate, deoarece odat\
cu cre[terea lui M, amplitudinea oscila]iilor nu descre[te, ele fiind doar
"`nghesuite" `nspre momentul de tranzi]ie al semnalului. Din figura 4.2 se
observ\ c\, indiferent de valoarea lui M, deci indiferent de calitatea
aproxim\rii, valoarea spre care tinde seria `n punctul de discontinuitate a
semnalului este media limitelor laterale ale semnalului.
Observa]ie: Spre deosebire de semnalele discrete aperiodice, pentru
semnale discrete periodice trunchierea seriei Fourier nu conduce la
161

apari]ia unui fenomen asem\n\tor cu fenomenul Gibbs, ci numai la o


aproximare a acesteia. Aproximarea va fi cu att mai bun\, cu ct
num\rul termenilor `nsuma]i se apropie de N, perioada semnalului. Cnd
se `nsumeaz\ to]i termenii, semnalul ob]inut este chiar x[n] , f\r\ nici o
eroare. Din cele prezentate pn\ acum s-a observat c\ sumabilitatea
absolut\ a unei secven]e (care asigur\ convergen]a uniform\ a
transformatei Fourier) [i energia finit\ (care asigur\ convergen]a `n medie
p\tratic\) sunt condi]ii suficiente pentru existen]a transformatei Fourier.
Exist\ semnalele care nu `ndeplinesc condi]iile semnalate anterior, dar
totu[i li se poate asocia o transformat\ Fourier, situa]ie care va fi tratat\ `n
paragraful urm\tor.

4.2.7. Transformata Fourier pentru semnale discrete


periodice
Dup\ cum s-a ar\tat `n paragraful 4.2.6, convergen]a uniform\ a
transformatei Fourier a unei secven]e impune ca aceasta s\ fie absolut
sumabil\, iar convergen]a `n medie p\tratic\ cere ca secven]a s\ fie de
p\trat sumabil. Secven]ele periodice nu satisfac nici una din aceste
condi]ii, deoarece ele nu tind spre zero pentru n . Se poate
considera c\ secven]ele ce pot fi exprimate ca o sum\ de exponen]iale
complexe au transformata Fourier sub forma unui tren de impulsuri [18].
Acesta este cazul semnalelor discrete periodice pentru care transformata
Fourier poate fi interpretat\ ca fiind un tren de impulsuri `n domeniul
frecven]\ a c\ror valoare este propor]ional\ cu valoarea coeficien]ilor
seriei Fourier. Mai precis, dac\ x[n] este periodic de perioad\ N [i
coeficien]ii corespunz\tori ai seriei Fourier sunt ck, atunci transformata
Fourier a secven]ei periodice x[n] este definit\ ca fiind trenul de
impulsuri

X () 2ck
k
(4.82)
N

k =
De remarcat periodicitatea de 2 pentru X () att timp ct ck=ck+N, [i
impulsurile sunt spa]iate la multipli `ntregi de 2 / N , unde N este un
`ntreg care reprezint\ perioada semnalului x[n] . Pentru a ar\ta c\ X ()
definit de (4.82) reprezint\ transformata Fourier a semnalului periodic, se
`nlocuie[te aceasta rela]ie `n (4.65), ob]inndu-se
1 2
1 2
2

jn
X
(

)
e
d

=
2ck k e jn d
(4.83)

2 0
2 0 k =
N

162

Se reaminte[te c\ `n evaluarea transformatei Fourier inverse integrarea se


poate efectua pe orice interval de perioad\ 2 , deoarece integrandul este
periodic de perioad\ 2 . Limitele integralei au fost notate cu 0- [i
2 pentru a sugera c\ integrarea se efectueaz\ pe un interval care
con]ine impulsul din = 0 [i `l exclude pe cel din = 2 . Prin
schimbarea ordinii sumei cu integrala se ob]ine

N 1
2
1 2
2 jn
jn
X
(

)
e
d

=
c

k
e
d

=
ck e j ( 2 / N ) kn (4.84)

0
0

2
N

k =
k =0
Forma final\ a ecua]iei (4.84) a rezultat datorit\ faptului c\ numai
impulsurile corespunz\toare lui k=0, 1, , (N-1) sunt incluse `n
intervalul dintre = 0 [i = 2 .
Comparnd rela]ia (4.84) cu (4.24) se observ\ c\ membrul drept al
ecua]iei (4.84) este chiar reprezentarea `n serie Fourier pentru semnalul
x[n] dat de (4.1). ~n consecin]\, transformata Fourier invers\ a trenului de
impulsuri din rela]ia (4.82) este chiar semnalul periodic x[n] . De[i
transformata Fourier a secven]elor periodice nu converge `n sens obi[nuit,
ci numai `n sens distribu]ional, introducerea impulsurilor permite
includerea secven]elor periodice `n cadrul celor care pot avea
transformat\ Fourier.
Uneori este util\ cunoa[terea transformatei Fourier pentru semnale care
nu sunt nici absolut sumabile, nici de p\trat sumabil. Acest lucru se va
ilustra pe exemplele urm\toare.
Exemplul 4.3.
Fie secven]a x1[n] = 1 pentru to]i n. Aceast\ secven]\ nu este nici
absolut sumabil\, nici de p\trat sumabil, a[a `nct, pentru acest caz suma
(4.60) nu converge nici uniform, nici `n medie p\tratic\. Formal, se poate
stabili o rela]ie similar\ propriet\]ii de dualitate din domeniul analogic `n
felul urmator
dac\
F {[n]} = 1
(4.85)
atunci
F {1} = 2()
(4.86)
unde [n] este func]ia impuls unitate, iar () este distribu]ia Dirac.
~ntr-adev\r, prin `nlocuirea formal\ a rela]iei (4.86) `n (4.65) se ob]ine
1
F 1{2()} =
2()e jn d = 1
(4.87)

2
dar, pentru semnale discrete, spectrul este periodic de perioad\ 2 ,
() = ( 2k ) [i
163

1
2( 2k )e jn d = e j 2 kn = 1 , n Z (4.88)

2
Semnalul x1[n] = 1 se poate scrie ca o sum\ de impulsuri unitate `ntrziate
F 1{2( 2k )} =

x1[n] =

[n k ] .

(4.89)

k =

Acest semnal se poate descompune `ntr-o serie Fourier exponen]ial\ `n


forma

x1[ n] =

k =

k =

[n k ] = ck e

j 2kn
N

(4.90)

Semnalul x1[ n] fiind periodic de perioad\ N=1, se poate descompune


`ntr-o serie Fourier ai c\rei coeficien]i sunt
j 2 kn
1 N 1
ck = x1[n]e N = 1
(4.91)
N n =0
astfel `nct, prin prelungire periodic\ conform rela]iei (4.90), x1[n] se
poate scrie
x1[n] =

j 2 kn

(4.92)

k =

}innd seama de (4.86), rezult\ c\


F {e j 2 kn } = 2( 2k )
Cu rela]ia (4.93), rezult\

F {x1[n]} = F e j 2 kn = 2 ( 2k )
k =
k =

Cu (4.60), spectrul semnalului x1[n] este


X 1 () =
Din (4.94) [i (4.95) rezult\

jn

(4.93)
(4.94)

(4.95)

n =

k =

k =

e jk = 2 ( 2k )

(4.96)

Se constat\ c\ spectrul unui semnal discret [i periodic este, de asemenea,


discret [i periodic. ~n cazul considerat, impulsurile care constituie spectrul
sunt func]ie de variabil\ continu\ [i, prin urmare, sunt de "`n\l]ime
infinit\, l\]ime zero [i arie unitar\", ceea ce este `n concordan]\ cu faptul
c\ seria (4.60) nu converge.
164

Exemplul 4.4.
j n
Fie semnalul x 2 [ n] = e 0 care este periodic relativ la 0 , de
perioad\ 2 . Conform rela]iilor (4.60) [i (4.94), spectrul s\u este
X 2 () =

e j0n e jn =

n =

n =

k =

e j (0 ) n = X 1 ( 0 ) = 2 ( 0 2k )

,
(4.97)
adic\, transformata Fourier a unei exponen]iale complexe de modul unitar
este o distribu]ie Dirac de perioad\ 2 , dup\ cum este reprezentat `n
figura 4.3.

Figura 4.3. Spectrul exponen]ialei discrete

Rezultatul ob]inut `n acest exemplu poate fi extins la semnale periodice


care pot fi exprimate ca o suma ponderat\ de exponen]iale complexe
(seria Fourier discret\). Astfel, aplicnd transformata Fourier rela]iei
(4.24) [i tinnd seama de liniaritate, rezult\
N 1
jk 2N n
X () = F{x[n]} = ck F e
(4.98)

k =0

Conform rela]iei (4.97), (4.98) devine


N 1

2
2
N 1

X () = c k 2 ( k
2r )
2r ) = 2c k ( k
N
N
k =0
r = k =0
r =

(4.99)
Pentru r fixat, termenii care rezult\ sunt distribu]ii Dirac plasate `n
intervalul [2r , 2r 2 / N ] cu pasul 2 / N . Pentru r+1, `n intervalul
imediat urm\tor [2 (r + 1), 2 (r + 1) 2 / N ] se ob]in acelea[i valori
pentru coeficien]i, adic\ 2c0 ,2c1 ,...2c N 1 . Dac\ se consider\ [i
periodicitatea dup\ k, cu perioada N, rela]ia (4.99) se poate scrie sub
forma
165

X () = 2c0
+ 2c 2

r =

r =

( r 2) + 2c1 (

2
r 2) +
N

( 2 N r 2) +... +

(4.100)

r =

2
2


r 2 = 2c(k )N k
+ 2c N 1 ( N 1)
N
N

k =
r =
Forma spectrului semnalului periodic este prezentat\ `n figura 4.4. Acesta
este format din linii spectrale reprezentate de distribu]ii Dirac plasate la
multiplii frecven]ei de 2 / N . Amplitudinile acestora au valoarea
2c(k )N . Se remarc\ periodicitatea cu 2 a spectrului, ca rezultat al

periodicit\]ii coeficien]ilor seriei Fourier [i al plas\rii distribu]iilor la


multipli `ntregi de 0 = 2 / N .

Figura 4.4. Spectrul unui semnal discret periodic, format din distribu]ii Dirac plasate la
k=2k/N [i periodic de perioad\ 2

Exemplul 4.5.
Fie semnalul discret periodic N [n] =

[n kN ] , de perioad\

k =

N. S\ se determine spectrul acestui semnal.


Solu]ie. Coeficien]ii Fourier ai acestui semnal periodic sunt
2
jk n
1 N 1
1
ck = N [n]e N =
N n =0
N
Conform rela]iei (4.100), spectrul acestui semnal periodic este
2
2
( k ) = 0 0 (), 0 = 2 / N .
F{ N [n]} =
(4.101)

N k =
N

166

4.2.8. Rela]ia `ntre transformata Fourier [i transformataZ


Transformata Z a unei secven]e x[n] este definit\ ca

X ( z) =

x[n]z

RC:

n =

r2 < z < r1

(4.102)

Dac\ se exprim\ z `n form\ polar\

z = re j

(4.103)

unde r = z [i = z , atunci `n regiunea de convergen]\


X ( z ) z = re j =

x[n]r

e jn

(4.104)

n =

Din (4.104) se observ\ c\ X (z ) poate fi interpretat\ ca transformat\


n

Fourier a semnalului x[ n]r .


Termenul r n poate fi v\zut ca un factor de ponderare ce cre[te cu n, dac\
r < 1 [i descre[te pentru r > 1 . Dac\ X (z ) converge pentru z = 1 , atunci
X ( z ) z =e j = X () =

x[n]e

jn

(4.105)

n =

adic\ transformata Fourier poate fi v\zut\ ca transformata Z a unei


secven]e evaluat\ pe cercul unitate. Dac\ X (z ) nu converge `n regiunea
z = 1 (cercul unitate nu este con]inut `n RC a lui X (z) ), transformata
Fourier fie nu exist\, fie nu se ob]ine prin simpla `nlocuire `n X(z).
Reciproc, dac\ X () exist\, atunci X (z ) converge pe cercul unitate. Din
cele prezentate pn\ acum se desprind dou\ observa]ii.
1. Exist\ secven]e pentru care exist\ transformata Z, dar care nu au
transformat\ Fourier sau, dac\ au, aceasta nu se calculeaz\ prin
evaluarea lui X(z) pe cercul unitate. Existen]a transformatei Z impune
ca secven]a x[n]r n s\ fie absolut sumabil\ pentru anumite valori
ale lui r, adic\

x[n]r

<

(4.106)

n =

Dac\ (4.106) converge numai pentru valori ale lui r> 1, atunci
transformata Z exist\, dar transformata Fourier nu exist\. Acesta este, de
exemplu, cazul secven]ei cauzale exponen]iale x[n] = a n u[n] , unde
a > 1.
167

2. Exist\ semnale de energie finit\ care au transformat\ Fourier, dar care


nu admit transformat\ Z.
De exemplu, semnalul
sin c n
x[n] =
, < n <
(4.107)
n
nu satisface rela]ia (4.106), [i, deci, nu admite transformat\ Z, dar,
deoarece este de energie finit\, transformata sa Fourier converge `n medie
p\tratic\ la func]ia discontinu\ X ()
1,
< c
X () =
(4.108)
0, c <
~n concluzie, existen]a transformatei Z necesit\ ca (4.106) s\ fie
satisf\cut\ `ntr-o anumit\ regiune a planului Z. Dac\ aceast\ regiune
con]ine cercul unitate, transformata X () exist\. Existen]a transformatei
Fourier pentru semnale de energie finit\ nu asigu\ `n mod necesar
existen]a transformatei Z.

4.2.9. Propriet\]ile transformatei Fourier pentru semnale


discrete aperiodice de energie finit
Transformata Fourier a semnalelor discrete aperiodice de energie
finit\ prezint\ o serie de proprier\]i foarte utile `n reducerea complexit\]ii
analizei acestora. Se vor folosi nota]iile:
X () = F{x[n]} =

x[n]e

n =

jn

(4.109)

pentru transformarea direct\ (ecua]ie de analiz\) [i


1
x[n] = F 1{ X ()} =
X ()e jn d
(4.110)

2
pentru transformarea invers\ (ecua]ie de sintez\). x[n] [i X () se vor
numi perechi Fourier. Se reaminte[te c\ X () este o func]ie periodic\ de
perioad\ 2 .
Propriet\]ile transformatei Fourier prezentate `n continuare sunt
similare celor ale transformatei Z, ob]inndu-se din acestea prin
`nlocuirea z = e j .
F
1. Liniaritatea Dac\ x1[n]
X 1 ()
F
[i x2 [n]
X 2 ()
F
a1 x1[n] + a2 x2 [n] a1 X 1 () + a2 X 2 ()
(4.111)
atunci
168

F
2. Transla]ia (deplasarea) `n domeniul timp Dac\ x[n]
X ()
F
jk
atunci
x[n k ] e
X ()
(4.112)
F
3. Reflectarea `n timp a semnalului Dac\ x[n] X ()
F
atunci
x [ n]
X ()
(4.113)

Aceasta `nseamn\ c\ prin reflectarea unui semnal x[n] se ob]ine un


semnal al c\rui spectru are acela[i modul cu cel al lui x[n] , dar faza
sufer\ o schimbare de semn.
F
4. Modularea `n domeniul timp Dac\ x[n]
X ()
j0 n
F
atunci
e x[n] X ( 0 )
(4.114)
F
5. Scalarea variabilei `n domeniul timp Dac\ x[n]
X ()
F
atunci
x( m ) [n] X (m)
(4.115)

unde x( m ) [n] este dat de (4.46).


F
6. Conjugarea complex\ a semnalului Dac\ x[n]
X ()
x[n] C ,

[i

F
x [n]
X ( )
(4.116)
atunci
7. Transformarea diferen]ei de ordinul `nt i a semnalului discret
Expresia x[n] x[n 1] este echivalent\ diferen]ierii `n domeniul anlogic.
F
Dac\ x[n]
X ()
F
(1 e j ) X ()
atunci
x[n] x[n 1]
(4.117)
F
8. Teorema convolu]iei Dac\
x1[n]
X 1 ()
F
[i x2 [n] X 2 ()
F
atunci
x[n] = x1 [n] x 2 [n]
X () = X 1 () X 2 ()
(4.118)
F
F
9. Teorema corela]iei Dac\ x1[n] X 1 () [i x2 [n] X 2 ()
F
atunci
rx1x2 (l )
S x1x2 () = X 1 () X 2 ()
(4.119)

S x1x2 () se nume[te spectrul densit\]ii de energie de intercorela]ie a


semnalelor x1[n] [i x2 [n] .
10. Multiplicarea a dou\ secven]e
F
F
Dac\ x1[n]
X 1 () x2 [n]
X 2 ()
1
F
atunci x3 [n] = x1[n] x2 [n]
X 3 () =
X 1 () X 2 ( )d (4.120)
2
169

1
[i X 2 converg pe

j
cercul unitate definit de = e , < < , se poate alege cercul
unitate drept contur de integrare pentru integrala din (3.27). ~nlocuind
= e j [i z = e j n (3.27), apoi schimb`nd variabila din n se
z
ob]ine (4.120), unde X 1 () = X 1 () =e j , X 2 ( ) = X 2
.
=e j , z =e j
Integrala din rela]ia (4.120) reprezint\ convolu]ia transformatelor
X 1 () [i X 2 () [i rela]ia (4.120) este duala convolu]iei n domeniul
timp. Aceasta `nseamn\ c\ multiplicarea a dou\ secven]e n domeniul
timp este echivalent\ cu convolu]ia lor n domeniul frecven]\, [i invers.
11. Teorema Wiener-Hincin Fie x[n] un semnal real. Atunci
F
rxx [l ]
S xx ()
(4.121)
adic\, densitatea spectral\ de energie pentru un semnal de energie finit\
este transformat\ Fourier a func]iei de autocorela]ie a semnalului. Acesta
este un caz particular al rela]iei (4.119).
F
F
12.Teorema lui Parseval Dac\ x1[n]
X 1 () [i x2 [n]
X 2 ()

1
x1 [n]x 2* [n] =
X 1 () X 2* ()d
(4.122)
atunci

n =
Pentru demonstrarea rela]iei (4.122), se exprim\ x1[n] din (4.110)
[i apoi se folose[te (4.109).
~n cazul `n care x2 [n] = x1[n] = x[n] , rela]ia (4.122) devine

1
2
2
(4.122')
x[n] =
X () d

2
n =
adic\ s-a ob]inut echivalen]a energiilor, ca o consecin]\ a rela]iei lui
Parseval. Membrul stng al rela]iei (4.122') reprezint\ energia semnalului
x[n] , egal\, de asemenea, cu func]ia de autocorela]ie rxx [l ] , evaluat\ `n
l = 0 . Integrandul din (4.122') reprezint\ spectrul densit\]ii de energie
care, integrat pe un interval de 2 are ca rezultat energia total\ a
semnalului. Rezumnd, se poate scrie

1
1
2
2
E x = rxx [0] = x[n] =
X
(

)
d

=
S xx ()d (4.123)
2 2
2
n =
F
13. Derivarea `n domeniul spectrului Dac\ x[n]
X ()
Demonstra]ia rezult\ din (3.27). Dac\ X 1 ()

170

dX ()
d
F
14. ~nsumarea `n domeniul timp Dac\ x[n]
X ()
n
X ( )

+ X (0) 2 ( )
atunci
x[k ]

1 e j
k =
nx[n]
j

atunci

Se consider\ un semnal v[n] cu proprietatea c\


noteaz\ cu V () spectrul s\u, pentru = 0 se ob]ine
V (0) =

v[n]e jn

n =

=
= 0

(4.124)

(4.125)

v[k ] = 0 .

Dac\ se

k =

v[n] = 0

(4.126)

n =

Suma par]ial\ a semnalului v[n] este


u1 [n] =

v[k ]; u [n] u [n 1] = v[n] [i U ( ) spectrul s\u.

k =

Conform rela]iei (4.117), se ob]ine

V ( )
. (4.127)
1 e j
Rela]ia (4.127) are sens numai dac\ V(0)=0, deoarece (1 e j )
=0
(1 e j )U 1 ( ) = V ( ) cu V (0) = 0 , adic\ U 1 ( ) =

= 0

~n continuare se va determina spectrul semnalului treapt\ unitate u[n]


care nu satisface condi]ia (4.126). Din exemplul 4.3 se observ\ c\
spectrul unui semnal discret constant este format din linii spectrale plasate
la multipli de 2 pe axa frecven]elor. Fie g u ( ) componenta spectrului
treptei
unitate
pentru
frecven]ele
= 2k ,
de
forma

g u () = g 2 () = g ( 2k) , unde g este o constant\. Sc\znd


k =

aceast\ component\ din spectrul treptei unitate X u () , spectrul r\mas


corespunde unui semnal ce satisface condi]ia (4.126). Cum Xu(0) =g u(0)
este componenta continu\ a semnalului, sc\znd din semnal aceast\
component\ continu\ C, se ob]ine semnalul u[n] C , c\ruia i se poate
aplica rela]ia (4.127).
(u[n] C ) (u[n 1] C ) = u[n] u[n 1] = [n] [i, deci

X u ( ) g u ( ) =

1
1
; X u ( ) =
+ g 2 ( )
j
1 e
1 e j

(4.128)

Pentru a determina constanta g se arat\ c\ partea impar\ a treptei unitate


discrete este de forma
171

u o [n] = u[n] + a + b[n]


(4.129)
Cum u o [0] = 0 , rezult\ 1+a+b=0 [i (4.129) se poate scrie
u[n] u[n]
= u[n] 1 b + b[n] .
2
Pentru n=1 se ob]ine b=-1/2 [i apoi a=-1/2.
Componenta impar\ a treptei unitate este
u o [n] = u[n] 1 / 2 (1 / 2)[n]
(4.129')
[i are, dup\ cum se va vedea (proprietatea 15), un spectru pur imaginar.
F {u o [n]}() = X u () (1 / 2)2 2 () 1 / 2 .
~nlocuind (4.128) `n (4.129'), se ob]ine
1 1 + e j
1
1
F{u o [n]}() =

+
(
g

)
=
+ ( g ) 2 () =
2
2 1 e j
1 e j 2
1

= j ctg + ( g ) 2 () R
2
2
Aceasta impune g = [i rela]ia (4.128) devine

1
1
F
u[n]
X u () =
+

=
+

(
)
( 2k) (4.130)
2
1 e j
1 e j
k =
Semnalul sum\ par]ial\ y[n] se poate scrie ca o convolu]ie
y[n] =

x[k ] = x[n] u[n]

(4.131)

k =

Aplicnd teorema convolu]iei rela]iei (4.131) [i ]innd seama de (4.130)


se ob]ine
X ()
Y () =
+ X () 2 ()
(4.132)
1 e j
X ()( 2k) = X (2k)( 2k) [i cum X () este periodic\, adic\
X (2k) = X (0) , rezult\ c\ X ()( 2k) = X (0)( 2k) [i rela]ia
(4.125) este demonstrat\.
15. Propriet\]i de simetrie
Dac\ un semnal prezint\ propriet\]i de simetrie n domeniul timp,
este posibil\ deducerea unor caracteristici ale semnalului n domeniul
frecven]\.
Semnalele x[n] [i X () se presupun complexe, adic\
x[n] = x R [n] + jx I [n]
(4.133)
X () = X R () + jX I [n]
(4.134)
unde indicii R [i I indic\ partea real\, respectiv imaginar\.
172

~nlocuind (4.133) [i e jn = cos n j sin n n (4.109) [i separnd


p\r]ile reale [i imaginare, se ob]ine
X R () =

x [n] cos n + x [n]sin n

n =

X I () = [ x R [n] sin n x I [n] cos n]

(4.135)
(4.136)

n =

Similar, din (4.110) se ob]ine


1
[X R () cos n X I () sin n]d
x R [ n] =
(4.137)
2 2
1
[X R () sin n + X I () cos n]d
x I [ n] =
(4.138)
2 2
~n continuare, se vor considera c`teva cazuri particulare.
a) Semnale reale Dac\ x[n] este real x R [n] = x[n] [i
x I [n] = 0 . Atunci

x[n] cos n

(4.139)

X I () = x[n] sin n

(4.140)

X R ( ) =

n =

n =

Deoarece cos()n = cos n [i sin()n = sin n , rezult\


X R () = X R () simetri par
(4.141)
X I () = X I () simetrie impar
(4.142)
Combin`nd (4.141) cu (4.142), se ob]ine
X * () = X () ,
(4.143)
caz `n care se spune c\ spectrul unui semnal real are simetrie hermitic\.
~n acest caz, modulul [i faza spectrului sunt
2

X () = X R () + X I ()

(4.144)

X I ()
X R ()

(4.145)

X () = arctg

Ca o consecin]\ a rela]iilor (4.141) [i (4.142), att spectrul de modul


ct [i cel de faza prezint\ propriet\]i de simetrie.
X () = X () simetrie par\
(4.146)
X () = X () simetrie impar\
173

(4.147)

Deoarece x[n] = x R [n] , din (4.137) rezult\

x[n] =

1
[ X R () cosn X I () sin n]d
2 2

(4.148)

1
[ X R () cosn X I () sin n]d

(4.149)

X R () cos n [i X I () sin n sunt

Deoarece ambele produse


func]ii pare, rezult\

x[n] =

a1) Semnale reale pare Dac\ x[n] este real [i par ( x[n] = x[n] )
atunci x[n] cos n este par [i x[n] sin n este impar. Din (4.139), (4.140)
[i (4.149) se ob]ine

X R () = x[0] + 2 x[n] cos n


n =1

, X R () = X R ()

(4.150)

X I () = 0
(4.151)
1
x[n] = X R () cos d
(4.152)
0
Cu alte cuvinte, spectrele semnalelor discrete reale [i pare sunt reale
[i, n plus, sunt func]ii pare de .
a2) Semnale reale impare Dac\ x[n] este real [i impar,
( x[n] = x[n]) , atunci
X R () = 0
(4.153)

X I () = 2 x[n] sin n , X I ( ) = X I ( )

(4.154)

n =1

1
X I () sin nd
(4.155)
0
Cu alte cuvinte, semnalele discrete reale [i impare au spectrul pur
imaginar [i, n plus, acesta este o func]ie impar\ de .
b) Semnale pur imaginare ~n acest caz x R [n] = 0 ; x[n] = jx I [n] .
Astfel, (4.135), (4.136) [i (4.138) devin
x[n] =

(4.156)

x [n] cos n

(4.157)

1
[ X R () sin n + X I () cos n]d
0

(4.158)

X I () =
x I [ n] =

x [n]sin n

X R () =

n =

n =

174

b1) Semnale pur imaginare pare Dac\


( x I [ n] = x I [n] ), rezult\
X R ( ) = 0

X I () = x I [0] + 2 x I [n]cos n

x I [n] este par


(4.159)
(4.160)

n =1

1
x I [n] = X I ()cos nd
0

(4.161)

b2) Semnale pur imaginare impare Dac\ x I [n] este impar


( x I [n] = x I [n]) , atunci

X R () = 2 x I [n] sin n

(4.162)

X I () = 0
1
x I [n] = X R () sin nd
0

(4.163)

n =1

(4.164)

4.2.10. Cepstrum
Se consider secvena {x[n]} stabil, cu transfomata Z, X(z),
convergent pe cercul unitate. Cepstrul complex al secvenei {x[n]} se
definete ca secvena { c x [n]}, care este transformata Z invers a
secvenei C x (z ) , unde
C x ( z ) = ln X ( z )
(4.165)
Cepstrul complex exist dac C x (z ) converge ntr-o regiune
inelar r1 <| z |< r2 , unde 0 < r1 < 1 i r2 > 1 . n interiorul regiunii de
convergen C x (z ) poate fi reprezentat n serie Laurent

C x ( z ) = ln X ( z ) = c x [n]z n

(4.166)

1
ln X ( z ) z n 1 dz
(4.167)

2 c
unde C este un contur nchis din regiunea de convergen care conine
originea.
unde

c x [ n] =

175

Dac C x (z ) poate fi reprezentat ca n relaia (4.166), secvena


complex { c x [n] }este stabil i, mai mult, dac cepstrul complex exist,
C x (z ) converge pe cercul unitate.

C x () = ln X () = c x [n]e jn

(4.168)

1
ln X ()e jn d

2
Exprimnd X ( ) n form polar
X ( ) =| X ( ) | e j ( )
i

c x [ n] =

(4.169)

(4.170)

atunci

ln X ( ) = ln | X ( ) | + j ( )
(4.171)
nlocuind (4.171) n (4.169), se obine

1
c x [n] =
[ln | X () | + j()]e jn d
(4.172)

2
Separnd transformata Fourier invers din (4.172) n transformatele
Fourier inverse ale lui ln|X( )| i ( ) , se obine
c m [ n] =

1
ln | X () |e jn d

(4.173)

1
(4.174)
()e jn d
2
n unele aplicaii, ca de exemplu n procesarea semnalului vocal, se
calculeaz numai componenta c m [n] , iar faza lui X ( ) este ignorat i,
prin urmare, secvena x[n] nu poate fi refacut din {c m [n]} . Aceasta
nseamn c transformarea x[n] c m [n] nu este inversabil. Cepstrul
complex este folosit n practic pentru a se separa cele doua semnale care
intervin ntr-o operaie de convoluie. Acest proces de separare se
numete deconvoluie, iar folosirea cepstrului complex n efectuarea
acestei separri se numete deconvoluie homomorfic.
c [ n] =

176

4.2.11. Trasformata Fourier a semnalelor cu poli pe cercul


unitate
n paragraful 4.2.8 s-a artat c transformata Fourier a unei secvene
x[n] poate fi obinut prin evaluarea transformatei Z, X(z), pe cercul
unitate, cu condiia ca acesta sa fie coninut n RC a lui X(z), n caz
contrar, transformata Fourier fie nu exist, fie nu se determin n acest
mod.
Exist unele semnale aperiodice care nu sunt nici absolut sumabile,
nici de energie finit (condiii care asigurau, conform paragrafului 4.2.6
convergena transformatei Fourier). Pentru aceste semnale este util a
extinde reprezentarea prin transformat Fourier n sens distribuional.
Matematic acest lucru poate fi realizat riguros permind transformatei
Fourier s conin impulsuri la anumite frecvene corespunzatoare
localizrii polilor lui X(z) de pe cercul unitate. Impulsurile sunt funcie de
frecvena continu i au amplitudine infinit, laime zero i arie
unitar. La limit, un astfel de impuls poate fi vzut ca un puls rectangular
1
de nlime i laime a, cnd a 0.
a
Astfel, permind introducerea acestor impulsuri n spectrul
semnalului, este posibil a extinde reprezentarea prin transformat Fourier
a unor semnale care nu sunt nici absolut sumabile, nici de energie infinit.
Urmatoarele exemple ilustreaz extinderea transformatei Fourier
pentru trei secvene cu poli pe cercul unitate.
Exemplul 4.6
S se determine transformata Fourier pentru urmtoarele semnale:
a) x1 [n] = u[n]
b) x 2 [n] = (1) n u[n]
c) x3 [n] = (cos 0 n)u[n]
prin evaluarea transformatei Z corespunztoare pe cercul unitate.
z
1
RC : |z|>1
a) Din Tabelul 3.1, X 1 ( z ) =
=
1
z 1
1 z
X 1 ( z ) un pol p1 = 1 pe cercul unitate, dar converge pentru |z|>1.
Evalund X 1 ( z ) pe cercul unitate, exceptnd z = 1 , se obine
X 1 () =

j ( )
e j / 2
1
=
e 2 ; 2k, k = 0,1,...
2 j sin( / 2) 2 sin( / 2)

177

La = 0 i multipli de 2, X 1 () conine impulsuri de arie , aa


cum s-a artat n exemplul din paragraful 4.2.9, proprietatea 14.
Prezena polului la z=1 (adic la = 0 ) creeaz probleme numai
dac se dorete calcularea lui | X 1 () | n = 0 , deoarece acolo aceast
mrime este infinit. Dei, la o prim vedere, s-ar putea crede c semnalul
ar avea component continu, nu este cazul, deoarece acesta nu este
constant pentru < n < , ci are un salt abrupt la n=0, ceea ce
determin existena tuturor frecvenelor din intervalul 0 < < .
z
1
RC |z| > 1
=
b) X 2 ( z ) =
1
z +1
1+ z
care are un pol la z = 1 = e j . Transformata Fourier evaluat la
frecvene diferite de = i multipli de 2 ai acesteia este
x 2 () =

j
2

2 k +
2


2 cos
2
n acest caz impulsurile apar la = + 2k
1
| X 2 () |=
2k +

2 cos
2

k=0,1.

k=0,1.

pentru cos 0
2
2
i faza
X 2 () =

+ pentru
cos < 0
2
2
Datorit prezenei polului la a = 1 (adic frecvena = )
modulul transformatei Fourier devine infinit,| X () | pentru = .
c) X 3 () devine infinit la = 0 ,
1 z 1 cos 0
, RC : |z|>1.
1 2 z 1 cos 0 + z 2
transformata Fourier este
1 e j cos 0
X 3 () =
; 0 + 2k ; k = 0,1....
(1 e j ( w0 ) )(1 e j ( + 0 ) )
X 3 ( z) =

| 1 e j cos 0 |
| X 3 () |=
, 0 + 2k , k = 0,1.....
| 1 e j ( 0 ) || 1 e j ( + 0 ) |
178

pentru = 0 sau = 0 , | X 3 () |
alt valoare aceasta fiind bine definit.

devine infinit, pentru orice

4.3. Caracterizarea semnalelor n domeniul frecven]\


[i dualitatea timp frecven]\
4.3.1. Dualitatea semnalelor
Pentru analiza n frecven]\ a semnalelor, n paragrafele precedente
s-au introdus:
-seria Fourier pentru semnale analogice [i discrete periodice;
-transformata Fourier pentru semnale analogice [i discrete
aperiodice.
Din paragrafele 4.1 [i 4.2 se observ\ c\ exist\ dou\ caracteristici ale
semnalelor care determin\ caracteristicile spectrului, [i anume, natura
variabilei, continu\ sau discret\, [i periodicitatea sau neperiodicitatea
semnalului. Formulele de analiz\ [i sintez\ ob]inute `n paragrafele 4.1. [i
4.2 pentru semnale analogice [i discrete periodice sau aperiodice se
numesc duale una alteia [i sunt prezentate n tabelul din figura 4.5. Se
desprind urm\toarele concluzii:
a) Semnalele analogice au spectrul aperiodic. Acest lucru se datoreaz\
faptului c\ exponen]iala complex\ e j 2Ft este o func]ie de variabil\
continu\ t [i, deci, nu este periodic\ n F. Spectrul semnalelor
continue se `ntinde pe `ntreaga ax\ real\, dar `n anumite situa]ii de
simetrie aceasta se reduce la axa real\ pozitiv\ de la F=0 la F= .
b) Semnalele discrete au spectrul periodic. ntr-adev\r, att seria
Fourier, ct [i transformata Fourier pentru semnale discrete sunt
func]ii periodice cu perioada egal\ cu 2 . Ca urmare a acestei
periodicit\]i domeniul de frecven]\ al spectrului semnalelor discrete
este finit, [i cuprins ntre = [i = , unde = corespunde
celei mai nalte frecven]e posibile de oscila]ie. ~n anumite condi]ii de
simetrie acesta poate fi doar [0, ] .
c) Semnalele periodice au spectrul discret. Acestea sunt descrise de serii
Fourier, ai c\ror coeficien]i reprezint\ liniile spectrului discret.
Spa]iul dintre linii de F sau f este egal cu inversul perioadei Tp

179

respectiv N, din domeniul timp, adic\ F =

1
pentru semnale
Tp

1
pentru semnale discrete periodice.
N
d) Semnalele aperiodice de energie finit\ au spectrul continuu. Acest
lucru este o consecin]\ a faptului c\ att X(F) ct [i X() sunt func]ii
de ej2Ft [i, respectiv ejn, care sunt func]ii continue de F [i .
Periodicitatea cu perioada ntr-un domeniu implic\ discretizarea cu
spa]iere de 1 / n cel\lalt domeniu, [i invers. ~n domeniul frecven]\
perioada se refer\ la banda de frecven]\, iar `n domeniul timp spa]ierea se
refer\ la perioada de e[antionare. Se observ\ c\ toate rela]iile duale difer\
numai n semnul exponentului exponen]ialei complexe. Aceast\
schimbare de semn poate fi interpretat\ ca o reflectare a semnalului sau
spectrului, deoarece
e j 2 Ft = e j 2 ( F )t = e j 2 F ( t )
(4.165)
Din punct de vedere energetic s-a folosit termenul de densitate spectral\
de energie `n caracterizarea semnalelor aperiodice de energie finit\ [i
termenul de densitate spectral\ de putere pentru semnale periodice,
terminologie care este `n concordan]\ cu faptul c\ semnalele periodice
sunt de putere finit\, iar cele aperiodice de energie finit\.
analogice periodice [i f =

4.3.2. Clasificarea semnalelor n domeniul frecven]\


O posibil\ clasificare a semnalelor `n domeniul frecven]\ se poate
efectua dup\ banda dominant\ din spectrul lor.
Dac\ un semnal de putere (sau energie) finit\ are spectrul
densit\]ii de putere (sau energie) concentrat n jurul frecven]ei zero,
atunci acesta se nume[te de joas\ frecven]\.
Dac\ spectrul densit\]ii de putere (sau de energie) este concentrat
la frecven]e nalte, acesta se nume[te semnal de nalt\ frecven]\.
Dac\ spectrul densit\]ii de putere (sau de energie) al unui semnal
este concentrat ntr-un domeniu cuprins ntre frecven]ele joase [i nalte,
acesta se nume[te semnal trece-band\ sau de medie frecven]\.
n plus fa]\ de aceast\ clasificare general\, se mai folose[te o
m\sur\ cantitativ\ pentru domeniul n care este concentrat spectrul
densit\]ii de putere (sau energie), numit\ l\]ime de band\. Spre exemplu,
dac\ 95% din spectrul unui semnal analogic este concentrat n domeniul
de frecven]\ F1 F F2 , atunci 95% din l\]imea de band\ a semnalului
este F2-F1. n cazul semnalelor trece band\, termenul de band\ ngust\ se
180

folose[te pentru a descrie un semnal a c\rui l\]ime de band\ F2-F1 este


F + F2
mult mai mic\ ( 10 ori) dect frecven]a median\ 1
. n caz contrar
2
semnalele se numesc de band\ larg\. Un semnal este de band\ limitat\,
dac\ spectrul s\u este zero n afara domeniului de frecven]\ F B .
De exemplu, un semnal continuu, de energie finit\ x(t) este de
band\ limitat\, dac\ transformata sa Fourier X(F)=0 pentru F >B. Un

semnal discret x[n] , de energie finit\, este periodic de band\ limitat\,


dac\
X () = 0
pentru o < < .
Similar, un semnal analogic periodic, xp(t), este de band\ limitat\
dac\ coeficien]ii s\i Fourier ck=0 pentru k >M cu M ntreg pozitiv.
Un semnal discret periodic, cu perioada fundamental\ N este
periodic de band\ limitat\, dac\ coeficien]ii s\i Fourier ck=0 pentru
ko < k <N.
Folosind dualitatea dintre domeniile frecven]\ [i timp se pot folosi
mijloace similare n caracterizarea semnalelor n domeniul timp.
Un semnal se nume[te limitat n timp sau de durat\ finit\ dac\:
x(t)=0 pentru t > pentru semnale analogice [i x [n] =0 pentru n >N
pentru semnale discrete aperiodice. Dac\ semnalul este periodic, el este
Tp
pentru semnale analogice
limitat n timp, dac\ xp(t)=0 pentru < t <
2
[i x[n] =0 pentru no<n<N pentru semnale discrete.
O caracteristic\ de baz\ a oric\rui semnal este aceea c\ el nu
poate fi simultan de band\ [i durat\ limitat\.

4.4. Probleme propuse


4.1. S\ se calculeze [i s\ se reprezinte spectrul de modul [i de faz\
pentru urm\toarele semnale: (a > 0 )
Ae at
a) xa (t ) =
0

t0
a t
, b) xa (t ) = Ae
t<0

181

1 t /
t
4.2. Fie semnalul x(t ) =
n
rest
0
a) S\ se determine [i s\ se reprezinte spectrul de modul [i de faz\ X a (F )

[i X a (F ) .
b) S\ se creeze semnalul periodic xp(t), cu perioada fundamental\
T p 2 , astfel nct x(t)=xp(t) pentru | t |< T p / 2 . Care sunt coeficien]ii
Fourier ck pentru semnalul xp(t)?
c) S\ se arate c\ :ck=(1/TP) Xa(k/TP).
4.3. Se consider\ semnalul
3n
n
n 1
x[n] = 2 + 2 cos + cos + cos
4
2 2
4
a) S\ se determine [i s\ se reprezinte spectrul densit\]ii de putere.
b) S\ se determine puterea semnalului.
4.4. S\ se determine [i s\ se reprezinte spectrele de modul [i de
faz\ ale urm\toarelor semnale periodice.
2
2
(n 2 )
a) x[n] = 4 sin
, b) x[n] = cos n + sin n
3
3
5
2
2
n ,d) x[n] = {....,2,1,0,1,2,2,1,0,1,2,....}
c) x[n] = cos n sin
3
5
e) x[n] = {....,0,0,1,1,0,0,0,1,1,0,0,...} , f) x[n] = 1

< n <

4.5 S\ se determine semnalele periodice,


fundamental\ N = 8 , da]i fiind coeficien]ii lor Fourier
k
0k 6
k
3k
sin
a) {ck } = cos + sin
, b) {ck } =
3
k =7
4
4
0
1 1
1 1

c) {ck } = ....,0, , ,1,2,1, , ,0,....


4 2
2 4

cu

perioada

4.6. S\ se determine semnalele care au urm\toarele transformate


Fourier:

182

0
a) X () =
1

0 0
0 <

b) X () = cos 2
c) Semnalul din figura p.4.6.

Figura p4.6.

4.7. S\ se determine transformata Fourier a urm\toarelor semnale:


M n M
1
1 0 n M
, b) x[n] =
a) x[n] =
n rest
n rest
0
0
M n 1
1
c) x[n] =
n rest
0
4.8. Se consider\ semnalul x[n] = { 1,2,3,2,1} a c\rui
transformat\ Fourier este X () . S\ se calculeze urm\toarele m\rimi:
a) X (0 ) ; b) X () ; c)

X ()d ;d) X () ; e)

X () d

4.9. Fie x[n] un semnal arbitrar, nu neap\rat real, cu transformata


Fourier X () . S\ se exprime transformata Fourier a urm\toarelor
semnale n func]ie de X () .
a) x * [n] ; b) x * [n] ; c) y[n] = x[n] x[n 1] ; d) y[n] =

x[k ] ;

k =

x[n / 2]
e) y[n] = x[2n] ; f) y[n] =
0
183

n par
.
n rest

184

CAPITOLUL 1

CARACTERIZAREA SISTEMELOR DISCRETE,


LINIARE, INVARIANTE N TIMP N
DOMENIUL FRECVEN
Obiectul capitolului de fa]\ `l constituie caracterizarea sistemelor
discrete, liniare, invariante n timp (SDLIT) `n domeniul frecven]\. Se va
ar\ta c\ un astfel de sistem este caracterizat `n domeniul frecven]\ de
transformata Fourier a r\spunsului s\u la impuls. Aceast\ caracterizare
conduce la opinia conform c\reia un SDLIT ac]ioneaz\ ca un filtru asupra
diferitelor componente de frecven]\ ale intr\rii. n acest demers,
semnalele de excitaie sunt exponenialele complexe i semnalele
armonice.
Caracterizarea SDLIT `n domeniul frecven]\ este realizat cu
ajutorul unei func]ii de variabil\ , notat H ( ) i numit\ r\spuns `n
frecven]\, care este `n leg\tur\ cu func]ia de sistem H (z ) [i r\spunsul la
impuls h[n] al sistemului [63].
R\spunsul `n frecven]\ caracterizeaz\ complet SDLIT [i permite
determinarea r\spunsului sistemului la semnale de intrare care pot fi
exprimate cu ajutorul semnalelor exponen]iale complexe [i armonice.

1.1. R\spunsul SDLIT la semnale exponen]iale


complexe [i armonice
R\spunsul oric\rui SDLIT la un semnal de intrare arbitrar x[n]
este dat de suma de convolu]ie [63]

y[n] =

h[k ] x[n k ]

(1.1)

k =

~n aceast\ rela]ie sistemul este caracterizat `n domeniul timp de r\spunsul


la impuls {h[n], n Z }.
1

Se presupune c\ sistemul este excitat de semnalul exponen]ial


complex
x[n] = A e j0 n , n Z
(1.2)
unde A este amplitudinea [i 0, frecven]a unghiular a semnalului discret
de intrare din intervalul fundamental [ , ] . ~nlocuind (1.2) `n (1.1), se
ob]ine

y[n] = h[k ] A e j0 (n k ) = A h[k ]e j0 k e j0 n


(1.3)
k =
k =

Termenul din parantez\ din rela]ia (1.3) este transformata Fourier H ( )


a r\spunsului la impuls h[k ] al sistemului

H ( ) =

h[k ]e jk

(1.4)

k =

evaluat la frecvena unghiular 0, a semnalului de intrare, adic

H ( 0 ) =

h[k ]e

j 0 k

(1.4)

k =

Func]ia H() exist\ dac\ sistemul este stabil `n sens MIME

(Mrginit la Intrare Mrginit la iEire) [63], adic\ dac\

h[n]

< .

n =

M\rimea H() poate fi, de asemenea, v\zut\ ca transformata Z a


rspunsului la impuls h[n] evaluat pe cercul unitate, dac aceasta nu are
poli pe cercul unitate [63].
Cu (1.4), r\spunsul sistemului la o exponen]ial\ complex\ este
y[n] = A H ( 0 ) e j0 n
(1.5)
Din (1.5) se observ c rspunsul este, de asemenea, o
exponen]ial\ complex\, de aceea[i frecven]\ cu a intr\rii, dar diferit\ fa]\
de semnalul de intrare printr-un factor de multiplicare, H(0). Ca urmare
a acestei caracteristici, semnalul de intrare (1.2) se nume[te func]ie
proprie a sistemului. Cu alte cuvinte, o func]ie proprie a unui sistem este
un semnal de intrare care produce o ie[ire ce difer\ de intrare printr-un
factor de multiplicare constant. Factorul de multiplicare se nume[te
valoare proprie a sistemului. ~n acest caz, un semnal exponen]ial complex
de forma (1.2) este o func]ie proprie a unui SDLIT [i H() evaluat\ la
frecven]a semnalului de intrare este valoarea proprie corespunz\toare.
Exemplul 1.1.
S\ se determine secven]a de ie[ire a sistemului care are r\spunsul
la impuls
2

h[n] = ( 12 ) u[n]
n

dac\ semnalul de intrare este x[n] = A e


Solu]ie.

j n / 2

(1.6)

, nZ .
n

1
1
(1.7)
h[n]e jn = 2 e jn = 1 1 e j
n =
n =0
2
La = / 2 , (1.7) devine
1
2 j 26,6
H (2 ) =
=
e
1
1+ j 2
5
[i secven]a de ie[ire este
2 j 26, 6 j n 2
2
y[n] = A
e
e
=
A e j ( n 2 26, 6 ) , n Z
(1.8)
5

5
Se observ\ c\ singurul efect al sistemului asupra semnalului de
intrare const\ `n scalarea amplitudinii cu 2 5 [i defazarea cu 26,6.
Semnalul de ie[ire este, deci, o exponen]ial\ complex\ de frecven]\ /2,
aceeai cu a semnalului de intrare, amplitudine 2 A 5 [i faz\ 26,6.
Dac\ se modific\ frecven]a semnalului de intrare, se schimb\
efectul sistemului asupra intr\rii [i, implicit, ie[irea. De exemplu, dac\
semnalul de intrare este o exponen]ial\ complex\ de frecven]\ , adic\
x[n] = A e j n
(1.9)
atunci, la = ,
1
2
=
H ( ) =
1 j
3
1 2 e
[i ie[irea este
y[n] = 23 A e j n , n Z
(1.10)
Se observ\ c\ H ( ) este real, deci ie[irea este intrarea scalat\ cu
H ( ) = 2 3 [i nedefazat\.
~n general, H() este o func]ie complex\ de variabil\ , care
poate fi exprimat\ `n coordonate polare, sub forma
H ( ) = H ( ) e j ()
(1.11)

H ( ) =

Trecerile prin zero ale funciei de transfer conduc la salturi de faz


de radiani, aa nct ( ) are discontinuiti n acele puncte. Din acest
motiv, rspunsul n frecven se mai exprim sub forma
H ( ) = H ( ) e j () = H R ( )e j () , ( ) = H R ( ) + ( ) (1.11)
3

Deoarece H() caracterizeaz\ r\spunsul sistemului `n domeniul


frecven]\, acesta se nume[te r\spunsul `n frecven]\ al sistemului.
M\rimea H ( ) se nume[te r\spunsul de amplitudine sau de
modul [i este modulul transformatei Fourier a r\spunsului la impuls, iar
() = H() se nume[te r\spuns de faz\ [i este faza asociat\
transformatei Fourier H() a r\spunsului la impuls.
Uneori, transformata Fourier mai este cunoscut\ sub numele de
spectru Fourier sau, mai simplu, spectru, motiv pentru care se mai
`ntlne[te terminologia de spectru de amplitudine sau de modul pentru a
face referire la H ( ) [i spectru de faz\ pentru ().
Uneori modulul este reprezentat logaritmic sub forma
2
H ( ) dB = 20 log10 H ( ) = 10 log10 H ( )
(1.12)
Faza () din rela]ia (1.11) nu este unic determinat\, deoarece
prin ad\ugarea oric\rui multiplu `ntreg de 2 la (), valoarea
exponen]ialei complexe nu se modific\. Se define[te valoarea principal\
a lui (), notat\ cu ARG[H()], cea cuprins\ `n domeniul fundamental
de valori [ , ] . Dac faza depete acest interval, datorit
periodicitii de 2 a acesteia, este necesar un salt de 2 pentru a o
aduce napoi n intervalul fundamental. ~n unele situa]ii este `ns\ util a
considera faza ca o func]ie continu\ de , numit\ func]ie total\ de faz\,
pentru 0 < [i se va nota cu Arg[H()]. Aceasta se poate determina
din valoarea principal\, prin ad\ugarea sau sc\derea valorii de 2 radiani
n punctele de discontinutate, dup\ cum se arat\ n figura 1.1. Aceast\
procedur\ se nume[te de desf\[urare a fazei.

Figura 1.1. (a) Valoarea principal\ a fazei unui sistem, (b) Func]ia total\ de faz\
4

ARG[H ( )]
(1.13)
Arg ( ) = ARG[ H ( )] + 2r ( )
(1.14)
unde r() este un `ntreg care poate fi diferit la diverse valori ale lui .
Dac\ `n calculul r\spunsului de faz\ se folose[te valoarea
principal\, atunci aceasta va fi o func]ie discontinu\. Discontinuit\]ile
introduse de considerarea valorii principale vor consta `n salturi de 2
radiani.
O proprietate important\ a lui H() este c\ aceast\ func]ie este
periodic\, de perioad\ 2, ceea ce se observ\ din relaia (1.4).
H ( + 2 m) = H ( ) ,
unde m este `ntreg oarecare. Rela]ia (1.4) este dezvoltarea `n serie Fourier
a lui H(), h[k ] fiind coeficien]ii dezvolt\rii. ~n consecin]\, r\spunsul la
impuls h[k ] se ob]ine cu rela]ia [63]
h[k ] =

1
2

H ( ) e

j k

(1.15)

n care integrarea s-a efectuat pe intervalul fundamental pentru frecvena


unghiular discret [ , ] .
Pentru un SDLIT al c\rui r\spuns la impuls este real, modulul [i
faza lui H() au propriet\]i de simetrie, dup\ cum urmeaz\:

H ( ) =

h[k ] e jk =

k =

h[k ] cos k j h[k ] sin k =

k =

k =

j arctg [ H I () H R () ]

= H R ( ) + j H I ( ) = H ( ) + H ( ) e
(1.16)
unde H R ( ) [i H I ( ) reprezint\ componenta real\, respectiv imaginar\
a lui H ( ) , adic\
2
R

H R ( ) =

2
I

h[n] cos k

k =

H I ( ) = h[n] sin k

(1.17)

k =

Se observ\ c\

H R ( ) = H R ( )
(1.18)
H I ( ) = H I ( )
(1.19)
[i
adic\ H R ( ) este o func]ie par\, iar H I ( ) este impar\. Drept urmare,
H ( )
H ( ) este o func]ie par\, iar ( ) = arctg I
este o func]ie impar\.
H R ( )
5

Cu alte cuvinte, dac\ se cunoa[te H ( ) [i ( ) pentru


0 , atunci se cunosc aceste func]ii [i pentru 0 .
Propriet\]ile de simetrie satisf\cute de modulul [i faza lui H ( ) [i
faptul c\ un semnal armonic poate fi exprimat ca suma sau diferen]a a
dou\ func]ii exponen]iale complexe scalate corespunztor determin\ ca
r\spunsul unui SDLIT la un semnal armonic s\ fie similar cu r\spunsul
sistemului la o exponen]ial\ complex\.
~ntr-adev\r, dac\ intrarea este
x1 [n] = A e j n
(1.20)
ie[irea este
y1 [n] = A H ( ) e j () e j n
(1.21)
Dac\ intrarea este
x2 [n] = A e j n
(1.22)
ie[irea este
y 2 [n] = A H ( ) e j () e j n = A H ( ) e j () e j n (1.23)
Aplicndu-se proprietatea de liniaritate pentru SDLIT [63], se
poate determina r\spunsul sistemului la semnalul de intrare
1
x[n] = ( x1 [n] + x2 [n]) = A cos n
(1.24)
2
1
y[n] = ( y1 [n] + y 2 [n]) = A H ( ) cos[n + ()]
(1.25)
2
Similar, dac\
1
(x1 [n] x2 [n]) = A sin n
x[n] =
(1.26)
2j
r\spunsul sistemului este
1
( y1 [n] y2 [n]) = A H ( ) sin[n + ()]
y[n] =
(1.27)
2j
Din cele prezentate pn\ acum se observ\ c\ H() sau, echivalent,
H ( ) [i ( ) caracterizeaz\ complet efectul sistemului asupra
semnalului de intrare armonic, de frecven]\ arbitrar\. Dac\ semnalul de
intrare este compus din mai multe componente armonice, r\spunsul
sistemului se ob]ine cu ajutorul propriet\]ii de superpozi]ie a sistemelor
liniare.

1.2. R\spunsul de regim permanent [i tranzitoriu al


sistemelor discrete, liniare, invariante n timp la
semnale de intrare armonice
Pentru a evidenia rspunsurile de regim permanent i tranzitoriu,
se consider\ un sistem descris de o ecua]ie cu diferen]e de ordinul `nti,
de forma
y[n] = a y[n 1] + x[n]
(1.28)
Cunoscut fiind condiia iniial y[1] pentru sistem, rspunsul
acestuia la o intrare x[n] aplicat la n = 0 se poate determina recursiv
pentru n 0 , ca fiind
n

y[n] = a n+1 y[ 1] + a k x[n k ] , n 0

(1.29)

k =0

Se presupune c\ semnalul de intrare este exponen]iala complex\


x[n] = A e jn , n 0
(1.30)
care se aplic\ la momentul n = 0 . ~nlocuind (1.30) `n (1.29) se ob]ine
n
k
n
y[n] = a n +1 y[ 1] + A a k e j ( n k ) = a n +1 y[ 1] + A a e j e jn =
k =0
k =0

(1.31)
n +1 j ( n +1)
Aa e
A
n +1
jn
jn
= a y[ 1]
e +
e , n0
1 a e j
1 a e j
R\spunsul sistemului este format din r\spunsul tranzitoriu [i
r\spunsul permanent.
Sistemul descris de (1.28) este stabil n sens MIME, dac a < 1

[63]. Rspunsul de regim permanent este cel nregistrat la un timp


suficient de mare dup aplicarea semnalului de intrare i se obine ca
limit din y[n] , pentru n tinznd la infinit. n acest caz, termenii care
con]in pe a n+1 din (1.31) tind la zero [i, `n consecin]\, r\spunsul de regim
permanent este
A
y ss [n] =
e jn = A H ( ) e jn
(1.32)
1 a e j
Se observ c rspunsul de regim permanent este determinat de sistem,
prin H ( ) i semnalul de intrare, A e jn , ne-depinznd de condiia
iniial.
Primii doi termeni din (1.31) reprezint\ r\spunsul tranzitoriu al
sistemului, adic\
7

A a n+1 e j ( n+1) jn
e , n0
(1.33)
1 a e j
care descrete la zero pentru n tinznd la infinit. Primul termen al
r\spunsului tranzitoriu este r\spunsul de intrare zero al sistemului, `n timp
ce al doilea termen se datoreaz\ semnalului exponen]ial de intrare. Se
observ c rspunsul de regim tranzitoriu este determinat de sistem, prin
parametrul a, semnalul de intrare, A e jn , i condiia iniial y[1] .
~n general, toate sistemele stabile `n sens MIME se comport\
similar atunci cnd sunt excitate cu exponen]iale complexe sau semnale
armonice la un moment oarecare de timp finit, adic\ r\spunsul tranzitoriu
tinde la zero, r\mnnd numai r\spunsul de regim permanent.
ytr [n] = a n+1 y[ 1]

1.3. R\spunsul de regim permanent al SDLIT la


semnale de intrare periodice
Se presupune c\ intrarea unui SDLIT stabil este un semnal
periodic x[n] , de perioad\ fundamental\ N. Att timp ct un astfel de
semnal exist\ pentru < n < , r\spunsul total al sistemului la orice
moment n, finit, este r\spunsul de regim permanent. Pentru determinarea
r\spunsului y[n] al sistemului, se reprezint\ semnalul de intrare periodic
`n serie Fourier [35]
N 1

x[n] = c k e j 2 k n N , n = 0, 1, ... N 1
k =0

(1.34)

unde {ck } sunt coeficien]ii seriei Fourier. R\spunsul sistemului la


semnalul exponen]ial complex
xk [n] = ck e j 2 k n N , k = 0, 1, ... N 1
(1.35)
este
2 j 2 k n N
y k [n] = ck H
ke
, k = 0, 1, ... N 1
(1.36)
N
2k
H
unde
(1.37)
= H ( ) = 2 k N , k = 0, 1, ... N 1
N
Folosind principiul superpozi]iei, se ob]ine r\spunsul sistemului la
semnalul periodic x[n] ,
N 1
2k j 2 k n N
y[n] = ck H
, 0 n N 1
(1.38)
e
k =0
N
8

Acest rezultat implic\ faptul c\ [i r\spunsul sistemului la semnalul


de intrare periodic x[n] este, de asemenea, periodic, de aceea[i perioad\
N.
Coeficien]ii seriei Fourier pentru y[n ] sunt
2k
d k = ck H
(1.39)
, k = 0, 1, ... N 1
N
~n concluzie, sistemul liniar poate modifica forma semnalului
periodic de intrare prin scalarea amplitudinii, poate defaza componentele
seriei Fourier, dar nu afecteaz\ perioada semnalului de intrare.

1.4. Rspunsul SDLIT la semnale de intrare


aperiodice
~n continuare, se pune problema determin\rii r\spunsului
sistemelor discrete, liniare, invariante `n timp la semnale aperiodice de
energie finit\, demers `n care va fi folosit\ transformata Fourier pentru
semnale discrete.
R\spunsul unui SDLIT relaxat (care are condiii iniiale nule) la
un semnal de intrare x[n] este dat de suma de convolu]ie dintre semnalul
de intrare [i r\spunsul la impuls al sistemului
y[n] =

h[k ] x[n k ]

(1.40)

k =

Aplicnd transformata Fourier rela]iei (1.40), se ob]ine


Y ( ) = H ( ) X ( )
(1.41)
Rela]ia (1.41) caracterizeaz\ sistemul `n domeniul frecven]\,
ar\tnd c\ spectrul semnalului de la ie[ire este egal cu spectrul semnalului
de intrare multiplicat cu r\spunsul `n frecven]\ al sistemului. Rela]ia
(1.41) poate fi scris\ `n form\ polar\
Y ( ) = H ( ) e j h() X ( ) e j x() = H ( ) X ( ) e j [ x()+ h() ] (1.42)
~n consecin]\, modulul [i faza rspunsului Y() se determin\ cu
rela]iile
Y ( ) = H ( ) X ( )
(1.43)
[i

y ( ) = x ( ) + h ( )

(1.44)
Semnalul de intrare aperiodic, de energie finit\ are spectrul
continuu, iar sistemul discret, liniar, invariant n timp, prin r\spunsul su
`n frecven]\, atenueaz\ sau amplific\ unele componente ale semnalului de
9

intrare. Din alura lui H ( ) se observ\ care componente de frecven]\


sunt atenuate [i care amplificate. Faza lui H() indic\ defazajul pe care `l
sufer\ componentele semnalului de intrare.
De asemenea, se observ\ c\ ie[irea unui SDLIT stabil nu poate
con]ine componente de frecven]\ care nu sunt con]inute `n semnalul de
intrare, adic\ sistemul nu poate crea componente noi de frecven]\.
~n figura 1.2 este reprezentat schematic un SDLIT, care este
descris de suma de convolu]ie `n domeniul timp, de func]ia de sistem H(z)
sau de r\spunsul `n frecven]\ H().

Figura 1.2. Rela]ii intrare ie[ire pentru un SDLIT relaxat,


`n domeniile timp, Z [i frecven]\

Dac\ pentru un astfel de sistem se cunoa[te ie[irea Y() `n


domeniul frecven]\, r\spunsul sistemului `n domeniul timp se determin\
cu rela]ia [63]
1
y[n] =
Y ( ) e jn d ,
(1.45)
2
integrarea efectundu-se pe domeniul fundamental al frecvenelor
unghiulare discrete.
Din (1.43) se ob]ine
2
2
2
Y ( ) = H ( ) X ( )
(1.46)
sau, echivalent
2
S yy ( ) = H ( ) S xx ( )
(1.47)
unde S yy ( ) [i S xx ( ) reprezint\ densitatea spectral de energie al
semnalelor y[n] , respectiv x[n ] , definite de relaiile [34].

S yy ( ) = Y ( )

(1.48)

S xx ( ) = X ( )

(1.48)

Integrnd relaia (1.47) pe domeniul fundamental de frecven, se


obine energia semnalului de ie[ire, de forma
10

1
1
2
2
(
)
d
Y

=
H ( ) S xx ( ) d
(1.49)

2
2
R\spunsul la impuls a L SDLIT conectate `n paralel este dat de
Ey =

[63]
L

h[n] = hk [n ]

(1.50)

k =1

unde hk [n] , k = 1, ... L, este r\spunsul la impuls al sistemelor individuale.


Folosind proprietatea de liniaritate a transformatei Fourier, se
g\se[te r\spunsul `n frecven]\ al sistemului echivalent
L

H ( ) = H k ( )

(1.51)

k =1

unde H k ( ) este r\spunsul `n frecven]\ corespunz\tor sistemului cu


r\spunsul la impuls hk [n] .
Dac\ cele L SDLIT sunt conectate `n cascad\, r\spunsul la impuls
al sistemului echivalent este
h[n] = h1 [n] h2 [n] hL [n]
(1.52)
Aplicnd transformata Fourier expresiei (1.52), se ob]ine
H ( ) = H 1 ( ) H 2 ( ) H L ( )
(1.53)
Figura 1.3 ilustreaz\ interconectarea `n paralel [i `n serie a dou\
SDLIT.

Figura 1.3. Conectarea SDLIT `n (a) paralel [i (b) cascad\

11

1.5. Rela]ia `ntre func]ia de sistem [i r\spunsul `n


frecven]\ al sistemului
Dac\ func]ia de sistem H(z) converge pe cercul unitate, se poate
ob]ine r\spunsul `n frecven]\ al sistemului prin evaluarea lui H(z) pe
cercul unitate [63].

h[n]e jn

H ( ) = H ( z ) z =e j =

(1.54)

n =

Pentru cazul `n care H(z) este o func]ie ra]ional\, de forma


M

B( z )
H ( z) =
=
A( z )

bk z k
k =0
N

1 + ak z

(1 z k z 1 )

= b0

k =1
N

(1 pk z 1 )

k =1

(1.54)

k =1

rezult\
M

H ( ) =

bk e jk
k =0
N

1 + ak e

= b0

(1 z k e j )
k =1
N

(1.54)

(1 pk e )
k =1
unde coeficienii {a k } i {bk } sunt reali, iar {z k } i {p k } pot fi m\rimi reale
jk

k =1

i/sau complexe. Uneori este convenabil a se exprima p\tratul modulului


lui H() `n func]ie de H(z).
2
H ( ) = H ( ) H * ( )
(1.55)
unde H * ( ) este mrimea complex conjugat a lui H ( ) .
Dac\ H() se exprim\ prin (1.54''), rezult\
M

H * ( ) = b0

(1 z k* e j )
k =1
N

(1 p
k =1

*
k

(1.56)

e )

adic\ H*() se ob]ine din evaluarea lui H*(1/z*)


M

H * (1 / z * ) = b0

(1 z k* z )
k =1
N

(1 p
k =1

pe cercul unitate.
12

*
k

z)

(1.56)

Dac\ {h[n]} este real sau, echivalent, coeficien]ii {a k } i {bk } sunt


reali, polii [i zerourile complexe apar `n perechi conjugate [i
H*(1/z*)=H(z-1). ~n consecin]\, H*() = H(-) [i
2
H ( ) = H ( ) H * ( ) = H ( ) H ( ) = H ( z ) H ( z 1 ) j (1.57)
z =e

Conform teoremei de corela]ie pentru transformata Z [63],


produsul H(z)H(z-1) este transformata Z a func]iei de autocorela]ie a
secven]ei {rhh [m]} a r\spunsului la impuls. Conform teoremei Wiener
Hincin [34], rezult\ c\ H ( ) este transformata Fourier a lui {rhh [m]} .
2

Similar, dac H ( z ) = B( z ) / A( z ) , expresiile D( z ) = B( z ) B( z 1 ) i


C ( z ) = A( z ) A( z 1 ) sunt transformatele Z ale secvenelor de autocorelaie
{cl } i, respectiv, {d l } , unde
cl =

N l

a a
k =0

k +l

, N l N

(1.58)

M l

dl =

b b
k =0

k +l

, M l M

Deoarece parametrii sistemului {a k } i {bk } sunt reali, secvenele


de autocorelaie sunt pare, adic cl = c l i d l = d l , ceea ce permite
2

exprimarea expresiei H ( ) ca o funcie polinomial n cos :


M

H ( ) =

d 0 + d k cos k
k =1
N

(1.59)

c0 + c k cos k
k =1

inndu-se cont c cos k = m (cos ) m .


m =0

Se noteaz\
M

C ( z ) = H ( z ) H * (1 / z * ) = b02

(1 z k z 1 )(1 z k* z )
k =1
N

(1 pk z
k =1

(1.60)

)(1 p z )
*
k

Dac\ se cunoa[te H ( ) , `nlocuind e j cu z se ob]ine C(z). Se


pune problema ce informa]ie se poate ob]ine din C(z) despre H(z). Se
13

observ\ c\ pentru fiecare pol pk al lui H(z), `n C(z) exist\ un pol pk [i


unul (pk*)-1. Similar, pentru fiecare zerou zk al lui H(z), exist\ o pereche de
zerouri `n C(z) la zk [i (zk*)-1. ~n consecin]\, polii [i zerourile lui C(z) apar
`n perechi conjugate reciproce, cu un element din fiecare pereche asociat
lui H(z) [i unul lui H*(1/z*). Mai mult, dac\ un element din fiecare
pereche este `n interiorul cercului unitate, atunci cel\lalt (conjugatul
inversat) va fi `n afara cercului unitate.
Singura alt\ posibilitate ar fi ca ambele singulariti s\ fie pe
cercul unitate, caz n care acestea au ordin de multiplicitate dublu `n
aceea[i pozi]ie. Dac\ H(z) caracterizeaz\ un sistem stabil, atunci to]i polii
s\i trebuie s\ fie `n interiorul cercului unitate, restric]ie care permite
identificarea polilor lui H(z) dintre polii lui C(z). Numai cu aceast\
precizare, zerourile lui H(z) nu pot fi unic determinate dintre zerourile lui
C(z).
Exemplul 1.2.
Diagrama poli zerouri pentru C(z) este dat\ `n figura 1.4. S\ se
determine polii [i zerourile asociate lui H(z).

Figura 1.4. Diagrama poli - zerouri pentru un C(z) dat

Soluie. Perechile conjugate reciproce de poli [i zerouri pentru


care un element este asociat lui H(z) [i unul lui H*(1/z*) sunt: (p1, p4)
(p2, p5), (p3, p6) [i (z1, z4) (z2, z5), (z3, z6). {tiind c\ H(z) corespunde unui
sistem stabil [i cauzal, polii se aleg din fiecare pereche astfel `nct s\ fie
`n interiorul cercului unitate. Asupra zerourilor nu se fac astfel de
14

restric]ii. Oricum, n cazul n care coeficien]ii {a k } i {bk } sunt reali, polii


[i zerourile sunt reali [i/sau complex conjuga]i. ~n consecin]\, zerourile
asociate lui H(z) sunt z3 sau z6 [i (z1, z2) sau (z4, z5). Cu considera]iile de
mai sus, se observ\ c\, pentru exemplul considerat, exist\ patru sisteme
cauzale, stabile, diferite cu trei poli [i trei zerouri pentru care diagrama
poli - zerouri a lui C(z) este cea din figura 1.4 [i, echivalent, pentru care
r\spunsul de amplitudine este acela[i. Dac\ {a k } i {bk } nu s-ar fi
presupus reali, num\rul de variante ar fi fost mai mare. Mai mult, dac\ nu
se fac restric]ii asupra num\rului de poli [i zerouri pentru H(z), num\rul
de variante pentru H(z) ar putea fi nelimitat. Pentru a ar\ta aceasta, se
z 1 a *
presupune c\ H(z) are un factor de forma
, adic\
1 az 1
z 1 a *
. Factorul de aceast\ form\ se nume[te factor trece
H ( z) = H1 ( z)
1 az 1
tot, deoarece are r\spunsul `n amplitudine egal cu unitatea pe cercul
unitate. ~n aceste condi]ii
z 1 a * *
za
C ( z ) = H ( z ) H * (1 / z * ) = H 1 ( z )
H 1 (1 / z * )
=
1
(1.61)
1 az
1 a* z
*
*
= H 1 ( z ) H 1 (1 / z )
adic\ factorul trece tot se anuleaz\ `n C(z) [i prin urmare, nu poate fi
identificat din diagrama poli zerouri a lui C(z). ~n concluzie, dac\
num\rul polilor [i zerourilor lui H(z) este nespecificat, atunci pentru C(z)
dat, orice alegere arbitrar\ a lui H(z) poate fi cascadat\ cu un num\r
arbitrar de factori trece tot, cu polii `n interiorul cercului unitate ( a < 1 ).

1.6. Calculul r\spunsului `n frecven]\ al sistemelor


discrete, liniare, invariante n timp
R\spunsul `n frecven]\ H() al unui SDLIT poate fi calculat cu
ajutorul transformatei Fourier a r\spunsului la impuls al sistemului, ca n
relaia (1.54).
Dac\ sistemul este caracterizat de o ecua]ie cu diferen]e cu
coeficien]i constan]i, de forma
N

k =1

k =0

y[n] = a k y[n k ] + bk x[n k ]

(1.62)

r\spunsul `n frecven]\ se poate ob]ine prin evaluarea lui H(z) dat de


(1.54) pe cercul unitate, dac\ H(z) este convergent pe cercul unitate,
15

H ( ) =

bk e jk

k =0
N

1 + ak e

(1.63)

jk

k =1

Din (1.63) se observ\ c\ r\spunsul `n frecven]\ H() al sistemului


caracterizat de (1.62) depinde numai de coeficien]ii {a k } [i {bk } .
Din (1.63) deriv\ dou\ cazuri particulare:
a) Dac\ a k = 0 , k = 1, 2, ... N , rela]ia (1.63) se reduce la
M

H ( ) = bk e jk ,

(1.64)

k =0

sistemul fiind cu r\spuns finit la impuls (FIR) [63]. Comparnd (1.53) cu


(1.64) rezult\ c\ `n cazul sistemelor FIR exist\ rela]ia
b , n = 0, 1, ... M
(1.65)
h[n] = n
0 , n rest
b) Dac\ bk = 0 , k = 1, ... M , [i b0 0 , sistemul este pur recursiv, cu
rspuns infinit la impuls (IIR) i rela]ia (1.63) devine
b0
(1.66)
H ( ) =
N
1 + a k e jk
k =1

O metod\ alternativ\ de evaluare a r\spunsului `n frecven]\ al


unui SDLIT, dat de (1.63) este metoda geometric\. Pentru explicarea
acestei metode se noteaz\ cu z1, z2, , zM zerourile [i cu p1, p2, , pN polii
sistemului liniar invariant `n timp. Cu aceste nota]ii, (1.63) devine
e j z1 e j z 2 e j z M
(1.67)
H ( ) = G e j ( N M ) j
e p1 e j p 2 e j p N
unde G=b0 este c[tigul sistemului. ~n continuare, se exprim\ fiecare
factor din (1.67) `n form\ polar\
e j z k = Vk ( ) e j k ()
(1.68)

(
(

[i
unde
[i

)(
)(

) (
) (

)
)

e j p k = U k ( ) e j k ()

(
( ) (e

)
p )

Vk ( ) e j z k , k ( ) e j z k
U k ( ) e j p k , k

Modulul lui H() se ob]ine atunci cu rela]ia


16

(1.69)
(1.70)
(1.71)

V1 ( ) V2 ( ) VM ( )
U 1 ( ) U 2 ( ) U N ( )
Acesta se mai poate calcula `n decibeli, cu relaia
H ( ) = G

k =1

k =1

(1.72)

H ( ) dB = 20 log10 G + 20 log10 Vk ( ) 20 log10 U k ( ) (1.73)

Faza lui H() este


H ( ) = G + ( N M ) + 1 ( ) + 2 ( ) + + M ( )

(1.74)
[1 ( ) + 2 ( ) + + N ( )]
Faza termenului de c[tig G este 0 sau , dup\ cum G este pozitiv
sau negativ.
~n concluzie, dac\ se cunosc zerourile [i polii func]iei de sistem
H(z), se poate evalua r\spunsul `n frecven]\ cu ajutorul rela]iilor (1.72) [i
(1.74).
Interpretarea geometric\ a m\rimilor din rela]iile (1.72) [i (1.74)
rezult\ considernd polul pk [i zeroul zk plasa]i `n punctele A [i B ale
planului z, ca `n figura 1.5a.

Figura 1.5. Interpretarea geometric\ a contribu]iei unui pol [i a unui zerou

Fie L punctul de pe cercul unitate corespunz\tor frecven]ei


unghiulare . Fie, de asemenea, vectorii AL [i BL cu originea `n pol,
respectiv `n zerou [i extremitatea `n punctul L. Din figura 1.5a rezult\
CL = CA + AL
(1.75)
CL = CB + BL
(1.76)
j
Dar CL = e , CA = p k [i CB = z k , deci

AL = e j p k
[i

BL = e
17

zk

(1.77)
(1.78)

Combinnd rela]iile (1.77) [i (1.78) cu (1.68) [i (1.69), rezult\


AL = e j p k = U k ( ) e j k ()
(1.79)

BL = e j zk = Vk ( ) e j k ()
(1.80)
Modulul U k ( ) este lungimea segmentului AL, adic\ distan]a de
la polul pk la punctul L, corespunz\tor lui e j , `n timp ce modulul Vk ( )
este distan]a de la zeroul zk la punctul L.
Fazele k ( ) [i k ( ) sunt unghiurile vectorilor AL [i BL cu axa
real\ pozitiv\, a[a cum este ilustrat `n figura 1.5b. Aceast\ interpretarea
geometric\ este util\ pentru c\ pune `n eviden]\ influen]a pozi]iei polilor
[i zerourilor asupra func]iei de transfer a SDLIT.
Dac\, de exemplu, un zerou z k [i un pol pk sunt plasa]i pe cercul
unitate, ca `n figura 1.6, se observ\ c\ la = z k , Vk ( ) este egal cu
zero [i, `n consecin]\, [i H ( ) devine zero. Similar, la = p k , U k ( )
devine zero [i H ( ) , infinit. Evaluarea fazei `n aceste cazuri nu are sens.

Figura 1.6. Un zerou pe cercul unitate determin\ H ( ) = 0 la = z k [i un pol pe


cercul unitate are ca rezultat H ( ) = la = p k

Din cele prezentate pn\ acum se desprind urm\toarele observa]ii:


1. Prezen]a unui zerou `n apropierea cercului unitate va determina ca
m\rimea modulului r\spunsului `n frecven]\, la frecven]e
corespunz\toare punctelor de pe cercul unitate apropiate de acel
punct, s\ fie mic, `n timp ce prezen]a unui pol `n apropierea
cercului unitate va avea ca efect o valoare mare a modulului
r\spunsului `n frecven]\, la frecven]e apropiate de acel punct.
Polii [i zerourile au efecte contrare, astfel `nct plasarea unui
zerou `n apropierea unui pol `i atenueaz\ efectul, [i invers. Prin
18

plasarea polilor [i zerourilor se poate determina o varietate de


forme pentru H ( ) [i H ( ) , lucru exploatat `n proiectarea
filtrelor digitale.
2. Singularit\]ile din origine nu afecteaz\ r\spunsul de amplitudine,
ci numai pe cel de faz\.
3. R\spunsul de amplitudine este zero numai cnd func]ia de sistem
are un zero pe cercul unitate la frecven]a respectiv\.
4. Salturile de faz\ de radiani se produc la fiecare trecere a
frecven]ei printr-un zerou aflat pe cercul unitate. Pentru a ar\ta
acest lucru, se presupune c\ exist\ un zerou la z = e j0 [i fie
0 = 0 [i 0+ = 0 + , pentru un > 0 , suficient de mic,
situa]ie redat\ `n figura 1.7. Se observ\ c\ valoarea fazei la
= 0 este cu radiani mai mic\ dect cea corespunz\toare lui

= 0+ . Dac\ `n z = e j exist\ un zerou multiplu, de ordin M,


cnd trece de la 0 la 0+ faza va avea un salt de M radiani.
Evident, dac\ M este un num\r par, saltul va fi un multiplu de 2
0

radiani, caz `n care, pentru valoarea principal\ a fazei nu se


observ\ nici o schimbare.
5. Cnd variaz\ de la 0 la faza generat\ de fiecare zerou plasat
strict `n interiorul cercului unitate cre[te cu radiani. Faza
generat\ de fiecare pol plasat `n interiorul cercului unitate
descre[te cu radiani. Dac\ num\rul de astfel de zerouri este Nz [i
de poli Np, cre[terea net\ de faz\, cnd variaz\ de la 0 la , este
de (Nz-Np).

Figura 1.7. Evaluarea r\spunsului de faz\ `n jurul unui zerou plasat pe cercul unitate
19

1.7. Sisteme discrete, liniare, invariante `n timp


v\zute ca filtre selective de frecven]\
Un sistem liniar invariant `n timp poate realiza o discriminare sau
filtrare a diferitelor componente de frecven]\ a semnalului aplicat la
intarea sa. Natura ac]iunii de filtrare este determinat\ de r\spunsul `n
frecven]\ al filtrului H ( ) , care, la rndul s\u, depinde de alegerea
parametrilor sistemului {a k } [i {bk } . Prin alegerea adecvat\ a
coeficien]ilor se pot proiecta filtre selective de frecven]\ care permit
trecerea semnalelor cu spectrul `n anumite benzi [i atenueaz\ semnale ale
c\ror componente de frecven]\ sunt `n alte benzi.
~n general, un sistem liniar invariant `n timp modific\ spectrul
semnalului de intrare X ( ) , `n concordan]\ cu r\spunsul s\u `n frecven]\
H ( ) , pentru a produce ie[irea Y ( ) = X ( ) H ( ) . ~n acest sens, H ( )
ac]ioneaz\ ca o func]ie de ponderare sau de formare spectral\ asupra
diferitelor componente de frecven]\ ale semnalului. ~n acest context orice
sistem liniar, invariant `n timp poate fi considerat ca un filtru care
modific\ componentele de frecven]\ ale semnalului de la intrarea sa [i, `n
consecin]\, cele dou\ no]iuni sunt sinonime. ~n prelucrarea numeric\
filtrarea este folosit\ `n multe scopuri, cum ar fi: atenuarea zgomotului,
modificarea spectrului `n scopul egaliz\rii canalelor de comunica]ii,
detec]ia semnalelor, analiz\ spectral\ e.t.c.

1.7.1. Caracteristicile filtrelor ideale


De obicei, filtrele sunt clasificate `n func]ie de caracteristicile lor
`n domeniul frecven]\. Filtrele selective de frecven pot fi trece jos
(FTJ), trece sus (FTS), trece band\ (FTB), opre[te band\ (FOB), trece tot
(FTT) i multiband. Modulul r\spunsului `n frecven]\ [i func]ia de
transfer a filtrelor ideale enumerate mai sus sunt ar\tate `n figura 1.8.
Aceste filtre ideale au c[tig constant C (de obicei egal cu unitatea) `n
benzile de trecere [i zero `n benzile de oprire. Pentru FTJ [i FTS, c
reprezint\ frecven]a de t\iere, iar pentru FTB [i FOB, 1 [i 2 reprezint\
frecvenele capetelor benzilor de trecere, respectiv de oprire [69].
O alt\ caracteristic\ a unui filtru ideal este caracteristica de faz\
liniar\. Fie un filtru digital cu funcia de transfer
Ce jn0 , 1 < < 2
H ( ) =
(1.81)
0, n rest
20

unde C i n0 sunt constante.


Din compararea relaiilor (1.81) cu (1.11), se pot scrie relaiile:
| H ( ) |= C
(1.82)
[i
( ) = n0
(1.83)
Din (1.83) rezult c faza este o funcie liniar de . Dac la
intrarea unui astfel de filtru se aplic semnalul x[n] ale c\rui componente
de frecven]\ sunt cuprinse `n domeniul 1 < < 2 , semnalul de ie[ire
are spectrul
Y ( ) = X ( ) H ( ) = CX ( )e jn0 , 1 < < 2
(1.84)
Aplicnd transformata Fourier invers\ rela]iei (1.84), se ob]ine[63]
y[n] = Cx[n n0 ]
(1.85)
[i, `n consecin]\, ie[irea filtrului este o versiune scalat\ [i `ntrziat\ a
intr\rii. ~ntrzierea [i scalarea nu sunt considerate distorsiuni ale
semnalului.

Figura 1.8. Modulul r\spunsului `n frecven]\ pentru cteva filtre selective de frecven]\
ideale, discrete
21

Derivata fazei `n raport cu frecven]a define[te `ntrzierea de grup


a filtrului
d ( )
g ( ) =
(1.86)
d
Aceasta reprezint\ `ntrzierea pe care o component\ de frecven]\
a semnalului, o sufer\ la trecerea prin filtru. Dac\ ( ) este o func]ie
liniar\ `n , atunci g ( ) = n0 = constant , adic\, toate componentele de
frecven]\ ale semnalului sufer\ aceea[i `ntrziere.
Orice abatere a r\spunsului `n frecven]\ de la forma ideal\ dat\ `n
(1.81) are ca rezultat distorsionarea semnalului. Dac\ modulul
r\spunsului `n frecven]\ al sistemului variaz\ `n banda de frecven]e
ocupat\ de semnal, atunci semnalul sufer\ distorsiuni de amplitudine.
Dac\ r\spunsul de faz\ al sistemului nu este liniar `n banda de frecven]e a
semnalului, atunci semnalul sufer\ distorsiuni de faz\.
n concluzie, filtrele ideale au caracteristica de modul constant,
iar cea de faz, liniar n banda de trecere. n toate cazurile astfel de filtre
sunt nerealizabile fizic, dar servesc ca idealizare matematic pentru
filtrele practice ale cror caracteristici le aproximeaz destul de fidel pe
cele ideale.
De exemplu, filtrul ideal trece jos are rspunsul la impuls
1
1 c
hlp [n] = F 1 {H ( )} =
H ( )e jn d =
1 e jn d

2
2 c
(1.87)
sin c n
=
, < n <
n
Acest filtru nu este cauzal si nici absolut sumabil i, prin urmare,
este instabil, nefiind realizabil. Cu toate acestea, caracteristicile ideale de
frecven pot fi aproximate destul de fidel de filtre reale, realizabile
practic, dup cum se va vedea n Capitolul 2.

1.8. Proiectarea filtrelor digitale prin plasarea polilor


i zerourilor n planul Z
n continuare se analizeaz posibilitatea proiectrii unor filtre
digitale simple (la care forma caracteristicii de amplitudine nu este riguros
specificat), prin plasarea corespunztoare a polilor i zerourilor n planul
Z. n paragraful 1.6 s-a descris modul n care poziia polilor i zerourilor
fa de cercul unitate afecteaz rspunsul n frecven al sistemului i s-a
22

prezentat o metod grafic pentru calculul rspunsului n frecven,


cunoscut fiind diagrama poli-zerouri a sistemului.
Principiul de baz care caracterizeaz metoda plasrii polilor i
zerourilor n planul Z este de a plasa polii i zerourile n apropierea
punctelor de pe cercul unitate corespunztoare frecvenelor ce trebuie
accentuate, respectiv atenuate sau suprimate. Mai mult, pentru un filtru
cauzal trebuie ndeplinite urmtoarele condiii:
1. Toi polii trebuie s fie plasai n interiorul cercului unitate,
pentru ca filtrul s fie stabil.
2. Polii i zerourile complexe trebuie s apar n perechi
conjugate, asigurndu-se astfel coeficieni reali pentru filtru.
Se reamintete c funcia de sistem H (z ) a unui SDLIT poate fi
exprimat sub forma
M

H ( z) =

bk z k

k =0
N

1 + ak z

= b0

k =1

(1 z k z 1 )
k =1
N

(1 p k z

(1.88)

k =1

unde b0 este un factor de ctig, astfel ales, nct s rezulte


(1.89)
| H ( 0 ) |= 1
unde 0 este o frecven din banda de trecere a filtrului.
n cazul sistemelor cauzale, gradul polinomului de la numitor (N)
trebuie s fie mai mare sau cel mult egal cu gradul polinomului de la
numrtor (M), astfel nct filtrul s aib mai muli poli nebanali dect
zerouri [63]. ntr-adevr, dac filtrul este cauzal, din teorema valorii
iniiale rezult
B( z )
h[0] = lim H + ( z ) = lim H ( z ) = lim
(1.90)
z
z
z A( z )
Dac H+(z)=H(z) este o fracie raional, atunci gradul
numrtorului, M, nu poate depi gradul numitorului, N, adic M N .
Condiia este mai puin sever dect n cazul sistemelor analogice, unde
M < N (inegalitate strict).

1.8.1. Filtre trece jos, trece sus i trece band


n proiectarea filtrelor trece jos digitale, polii trebuie plasai n
apropierea cercului unitate corespunztor frecvenelor joase (n apropiere
de = 0 ), iar zerourile n apropiere sau pe cercul unitate n puncte
23

corespunztoare frecvenelor nalte (aproape de = ). Situaia invers


este valabil pentru filtrele trece sus. Figura 1.9 ilustreaz diagramele poli
zerouri pentru trei FTJ i pentru trei FTS.

Figura 1.9. Diagrama poli zerouri pentru trei a) FTJ i b) FTS, fiecare din acestea cu un
pol real, doi poli complex conjugai i, respectiv, un pol real, doi poli complex conjugai
i un zerou nebanal

Modulul i faza rspunsului filtrului cu un singur pol, cu funcia


de sistem
1 a
(1.91)
H 1 ( z) =
1 az 1
sunt ilustrate n fig. 1.10, pentru a = 0,9 . Caracteristicile amplitudine
frecven i faz frecven s-au obinut prin evaluarea funciei de sistem
H 1 ( z ) pe cercul unitate.
Ctigul G s-a ales 1 a , astfel nct la = 0 , | H (0) |= 1 . Un
zerou suplimentar la z = 1 va atenua rspunsul filtrului la frecvene
nalte. Acest zerou determin funcia de transfer
1 a 1 + z 1
H 2 ( z) =
(1.92)
2 1 az 1
Caracteristicile de amplitudine i faz sunt date tot n figura 1.10.
Se observ c | H 2 ( ) | devine egal cu zero la = . Similar, se obin
FTS simple prin reflectarea poziiei polilor i zerourilor FTJ fa de axa
imaginar a planului Z, obinndu-se funcia de sistem
24

1 a 1 z 1
(1.93)
2 1 + az 1
pentru un FTS cu un pol i un zerou. Caracteristicile de amplitudine i
faz pentru FTS sunt identice cu cele ale FTJ translate cu radiani.
H 3 ( z) =

Figura 1.10. (a) Reprezentarea modulului i (b) a fazei pentru un filtru cu un singur pol,
H 1 ( z ) , i un filtru cu un pol i un zerou H 2 ( z )

Exemplul 1.5.
S se proiecteze un FTJ cu un pol dublu, astfel nct rspunsul n
2

frecven s satisfac condiia H(0)=1 i

1

H = .
2
4

Soluie. Funcia de sistem a filtrului este H ( z ) =

b0
.
(1 pz 1 ) 2

Trebuie determinai parametrii b0 i p.


La = 0
La =

H(0)=

b0
=1
(1 p ) 2

b0 = (1 p ) 2

(1 p ) 2

H =

4
j
1 pe 4

(1 p ) 2



1 p cos + jp sin
4
4

25

(1 p ) 2

p
jp
+
1

2
2

deci

(1 p ) 4

p
p
+
1

2
2

1
2

2 (1 p ) 2 = 1 + p 2 2 p p=0,32

0,46
(1 0,32 z 1 ) 2
Aceleai principii pot fi aplicate pentru proiectarea filtrelor trece
band. FTB conin una sau mai multe perechi de poli complex conjugai
plasai n apropierea cercului unitate, la frecvene apropiate de banda de
trecere a filtrului.
H ( z) =

Prin urmare

Exemplul 1.6.
S se proiecteze un filtru trece band cu doi poli, cu centrul benzii

de trecere la =

, rspunsul n frecven egal cu zero la = 0 i


2
4
1
la =
= i egal cu
.
9
2
Soluie. Deoarece | H ( ) | este maxim la

sistemului sunt p1, 2 = re

, rezult c polii

Zerourile sunt z1 = 1 i z 2 = 1 . n consecin, funcia de transfer


este
( z 1)( z + 1)
z 2 1
=G 2
( z jr )( z + jr )
z + r2
Factorul de ctig se determin din evaluarea rspunsului n
H ( z) = G

frecven H ( ) al filtrului la =

.
2
2
1 r2

H = G
=

G
=
1
.
2
1 r2
2
Valoarea lui r se determin prin evaluarea lui H ( ) la =

H (4 / 9 ) =
2

4
.
9

(1 r 2 ) 2
2 2 cos(8 / 9)
1
= , de unde r 2 = 0,7 i
4
2
4
1 + r + 2r cos(8 / 9) 2
26

1 z 2
.
1 + 0,7 z 2
Modulul i faza corespunztoare rspunsului n frecven sunt
reprezentate n figura 1.11.
H ( z ) = 0,15

Figura 1.11. (a) Modulul i (b) faza funciei de transfer a filtrului trece band din
exemplul 1.6.

Trebuie subliniat faptul c scopul principal al acestei metodologii


de proiectare a filtrelor digitale simple prin plasarea polilor i zerourilor
este de a evidenia efectul pe care l au polii i zerourile asupra
rspunsului n frecven al sistemelor, ea nefiind o metod potrivit
pentru proiectarea filtrelor digitale cu caracteristici bine definite.
1.8.1.1. O transformare simpl a FTJ n FTS
Presupunnd c s-a proiectat un FTJ cu rspunsul la impuls hlp [n] ,

este posibil conversia sa fie ntr-un FTB, fie FTS, cu ajutorul proprietii
de translare de frecven a transformatei Fourier [63].
n cele ce urmeaz, se prezint o transformare simpl, care permite
conversia unui FTJ ntr-un FTS, i invers. Dac se noteaz cu hlp [n]
rspunsul la impuls al unui FTJ, care are rspunsul n frecven H lp ( ) ,
se poate obine un FTS prin translarea lui H lp ( ) cu radiani, (adic
nlocuirea lui cu ).

H hp ( ) = H lp ( )

(1.94)

unde H hp este rspunsul n frecven al FTS.


Deoarece translaia de frecven cu a funciei de transfer
echivaleaz cu multiplicarea rspunsului la impuls cu e jn , rspunsul la
impuls al FTS rezult de forma
27

h hp [ n ] = ( e j ) n h lp [ n ] = ( 1) n h lp [ n ]
(1.95)
Prin urmare, rspunsul la impuls al FTS se obine din rspunsul la
impuls al FTJ prin schimbarea semnului eantioanelor impare ale lui
hlp [n] . Evident, dac este cunoscut rspunsul la impuls hhp [n] al FTS,
rspunsul la impuls al FTJ se determin cu relaia
hlp [n] = (1) n hhp [n]
(1.96)
Dac FTJ este descris de ecuaia cu diferene
N

k =1

k =0

y[n] = ak y[n k ] + bk x[n k ] ,

(1.97)

rspunsul su n frecven este


M

H lp ( ) =

bk e jk

k =0
N

(1.98)

1 + a k e jk
k =1

nlocuind cu n (1.98) se obine funcia de transfer a FTS


M

H hp ( ) =

(1) k bk e jk

k =0
N

1 + (1) a k e
k

(1.99)

jk

k =1

care corespunde ecuaiei cu diferene


N

k =1

k =0

y[n] = (1) k a k y[n k ] + (1) k bk x[n k ]

(1.100)

1.8.2. Rezonatoare digitale


Rezonatorul digital este un filtru trece band, cu doi poli complex
conjugai plasai n apropierea cercului unitate, cum se arat n figura
1.12.a.
Numele de rezonator se refer la faptul c rspunsul de
amplitudine are valoare mare n apropierea polilor. Poziia unghiular a
polilor determin frecvena de rezonan. n proiectarea unui rezonator
digital cu un maxim de rezonan la sau n apropiere de =0, se alege
perechea de poli complex conjugai p1, 2 = re j0 , 0 < r < 1. n plus, se
selecteaz dou zerouri. Dei exist multe posibiliti de alegere a poziiei
zerourilor, dou cazuri prezint interes mai special. Unul se refer la
28

plasarea zerourilor n origine i cellalt la plasarea zerourilor la z = 1 i


z = -1. n acest ultim caz se elimin complet rspunsul filtrului la = 0 i
= .
Funcia de transfer a rezonatorului digital cu zerouri n origine este
b0
H (z ) =
(1.101)
j 0 1
1 re z 1 re j 0 z 1
sau
b0
H (z ) =
(1.102)
1 (2r cos 0 )z 1 + r 2 z 2

)(

Figura 1.12 (a) Modelul poli zerouri, (b) rspunsul de amplitudine, (c) rspunsul de
faz al unui rezonator digital cu r=0,8 i r=0,95

Factorul de normalizare b0 se alege astfel nct |H(0)| = 1. Din


(1.101) rezult
b0
H ( 0 ) =
(1.103)
(1 r ) 1 re j 2 0
i, deci
b0
H ( 0 ) =
=1
(1.104)
(1 r ) 1 + r 2 2r cos 2 0

29

Factorul de normalizare este atunci


b0 = (1 r ) 1 + r 2 2r cos 2 0

(1.105)

i H ( ) se poate exprima ca

H ( ) =

iar faza

b0
u1 ( )u 2 ( )

(1.106)

( ) = 2 1 ( ) 2 ( )

(3.107)
unde u1() i u2() reprezint modulele vectorilor orientai de la p1 i p2
la punctul pe cercul unitate, iar 1() i 2(), fazele lor.

u1 ( ) = 1 + r 2 2r cos( 0 )

u 2 ( ) = 1 + r 2 2r cos( 0 + )

(1.108)

Pentru o valoare oarecare a lui r, u1() atinge valoarea minim


(1-r) la =0. Produsul u1()u2() atinge valoarea minim la frecvena
1+ r 2

(1.109)
r = arccos
cos 0 ,
2r

care reprezint frecvena de rezonan a filtrului. Pentru r foarte apropiat


de unitate, r 0 , care este poziia unghiular a polilor. De asemenea,
se observ c dac r se apropie de unitate, maximul de la rezonan
devine mai abrupt, deoarece u1() variaz semnificativ n apropierea lui
0 . O msur cantitativ a ascuimii caracteristicii rezonatorului este dat
de limea de band la 3 dB a filtrului, care, pentru valori ale lui r
apropiate de unitate, este [49].
2(1 r )
(1.110)
n figurile 1.12b i 1.12c se prezint rspunsul de modul i de faz
pentru dou rezonatoare digitale, unul cu 0 = / 3, r = 0,8 i cellalt cu
0 = / 3, r = 0,95 .
Dac zerourile sunt plasate la z = 1, z = -1, funcia de transfer a
rezonatorului este
1 z 1 1 + z 1
1 z 2
H (z ) = G
=
G
(1.111)
1 (2r cos 0 )z 1 + r 2 z 2
1 re j0 z 1 1 re j0 z 1
i rspunsul n frecven

)(
)(

30

1 e j 2
(1.112)
1 re j (0 ) 1 re j (0 + )
Zerourile din z = 1 afecteaz att rspunsul de amplitudine, ct
i rspunsul de faz. Rspunsul de amplitudine este
N ( )
H ( ) = b0
(1.113)
u1 ( )u 2 ( )
unde
N ( ) = 2(1 cos 2 )
(1.114)
n figura 1.13 sunt reprezentate rspunsurile de amplitudine i de
faz pentru un rezonator digital cu zerouri n z=1 i z=-1 i r =0,8 i
r=0.95. Datorit prezenei zerourilor, frecvena de rezonan i banda
filtrului se modific fa de cele ale rezonatorului cu zerouri n origine.
H ( ) = b0

][

Figura 1.13. Rspunsul de amplitudine i de faz a unui rezonator digital cu zerouri n


z=1 i z=-1 i r =0,8 i r=0.95

1.8.3. Filtre rejectoare (Notch)


Un filtru rejector sau notch este un filtru a crui funcie de
sistem conine unul sau mai multe zerouri pe cercul unitate. Caracteristica
amplitudine frecven a unui astfel de filtru va prezenta crestturi la
31

frecvenele corespunttoare zerourilor, situaie ilustrat n figura 1.14.


Aceste filtre sunt utile n aplicaii unde anumite componente de frecven
trebuie eliminate, cum se ntmpl de multe ori cu frecvena tensiunii de
alimentare i armonicele acesteia.
Pentru a crea un nul n rspunsul n frecven al filtrului la
frecvena 0, se introduce o pereche de zerouri complex conjugate pe
cercul unitate la frecvena unghiular 0, adic z1, 2 = e j 0 .

Figura 1.14 Rspunsul n frecven al unui filtru notch

Funcia de sistem a unui filtru notch FIR este


H (z ) = b0 1 e j 0 z 1 1 e j 0 z 1 = b0 1 2 cos 0 z 1 + z 2
(1.115)
n cazul filtrelor notch FIR, banda din zona nulului sau a
crestturii este relativ ntins i sunt atenuate i alte componente din jurul
frecvenei de interes.
n figura 1.15 se prezint rspunsul n frecven pentru un filtru
notch, care are un zerou la = / 4 .

)(

Figura 1.15. Caracteristica de modul i de faz a unui filtru notch cu un zerou la


0 = / 4; H ( z ) = G[1 2 cos 0 z 1 + z 2 ]
32

Pentru a micora banda din jurul nulului, se introduc poli n


funcia de transfer, p1, 2 = re j 0 , cu r apropiat de unitate, al cror efect
este de rezonan n vecintatea nulului i astfel se reduce limea de
band a crestturii.
Funcia de transfer pentru filtrul realizat este
1 2 cos 0 z 1 + z 2
H (z ) = b0
(1.116)
1 2r cos 0 z 1 + r 2 z 2
Caracteristicile de modul i de faz pentru dou filtre notch a cror
funcie de sistem este dat de (1.116), unul cu r = 0,85 i cellalt cu
r = 0,95 , sunt prezentate n figura 1.16.

Figura 1.16. Caracteristicile de modul i de faz pentru dou filtre notch cu funcia de
1 2 cos 0 z 1 + z 2
, pentru cazurile r = 0,85 i r = 0,95 .
sistem H (z ) = b0
1 2r cos 0 z 1 + r 2 z 2

1.8.4. Filtre pieptene (Comb)


Simplificat, un filtru pieptene sau comb poate fi vzut ca unul
notch, n care nulurile se produc periodic de-a lungul benzii de frecven.
33

Pentru a ilustra un filtru pieptene simplu, fie un filtru FIR care


calculeaz media alunectoare, descris de ecuaia cu diferene [63]
1 M
y[n] =
(1.117)
x[n k ]
M + 1 k =1
cu funcia de sistem
1 M k
1 1 z ( M +1)
(
)
H z =
(1.118)
z = M + 1 (1 z 1 )
M + 1 k =0
i rspunsul n frecven
M +1
sin

jM / 2
e
2

H ( ) =
(1.119)
M +1
sin / 2
Din relaia (1.118) se observ c filtrul are zerourile pe cercul
unitate la

j 2

z k = e M +1
k = 1, 2, ..., M
(1.120)
Polul z = 1 este anulat de zeroul de la z = 1, astfel nct filtrul nu
conine poli n afara originii.
Reprezentarea caracteristicii de modul din relaia (1.119) ilustreaz
c zerourile uniform spaiate din rspunsul n frecven sunt la
k = 2k/(M+1), k = 1, 2,,M, situaie artat n figura 1.17, pentru M=8.

Figura 1.17. Rspunsul n amplitudine al unui filtru pieptene

Mai general, se poate obine un filtru pieptene, dintr-un filtru


FIR cu funcia de sistem
M

H (z ) = h[k ]z k
k =0

(1.121)

prin nlocuirea lui z cu zL, unde L este un ntreg pozitiv. Noul filtru FIR
are funcia de sistem
34

H L (z ) = h[k ]z kL

(1.122)

k =0

i rspunsul n frecven
M

H L ( ) = h[k ]z jkL = H (L )

(1.123)

k =0

n consecin, rspunsul n frecven HL() este o repetare de L ori


a rspunsului H() n domeniul 0 2, cum este ilustrat n figura
1.18.
Dac se consider filtrul FIR descris de (1.118), filtrul pieptene
rezultat are funcia de transfer
1 1 z L ( M +1)
H L (z ) =
(1.124)
M + 1 1 z L
i rspunsul n frecven
1 sin[L(M + 1) / 2] jLM / 2
H L ( ) =
e
(1.125)
M +1
sin (L / 2 )
cu zerourile pe cercul unitate

z k = e j 2k / L ( M +1)

(1.126)
pentru toate valorile ntregi pentru k cu excepia lui 0, L, 2L, , ML.

Figura 1.18. Filtru pieptene cu rspunsul n frecven HL() obinut din H().

35

1.8.5. Filtre trece tot


Un filtru trece tot (FTT) se definete ca un sistem care are
modulul funciei de transfer constant pentru toate frecvenele, adic
H ( ) = 1 0
(1.127)
Cel mai simplu exemplu de filtru trece tot este un sistem de
ntrziere pur, descris de H(z) = z-k. Un filtru trece tot, mult mai general,
este caracterizat de funcia de sistem
N

a + a N 1 z 1 + ... + a1 z N +1 + z N
H (z ) = N
=
1 + a1 z 1 + ... + a N z N

a
k =0
N

a
k =0

z N +k
k

, a 0 = 1 (1.128)

cu coeficienii {ak} reali. Dac se definete polinomul


N

A( z ) = a k z k , a 0 = 1

(1.129)

k =0

relaia (1.128) se mai poate scrie


H ( z) = z N

A( z 1 )
A( z )

(1.130)

Deoarece
2

H () = H ( z ) H ( z 1 )

z = e j

=1

(1.131)

sistemul descris de (1.130) este trece tot. Mai mult, dac z0 este un pol al
lui H(z), 1/z0 este un zerou al su (adic polii i zerourile sunt reciproce),
cum se arat n figura 1.19.

Figura 1.19. Modelul poli-zerouri al unui FTT a) de ordinul I b) de ordinul II

O form mai general pentru funcia de transfer a unui filtru


trece tot cu coeficieni reali este
36

(
(

)(
)(

)
)

z 1 k N C z 1 k z 1 k*
1
1
1 k* z 1
k =1 1 k z
k =1 1 k z
NR

H ap ( z ) =

(1.132)

unde k reprezint polii reali, k i k* , polii complex conjugai, NR,


numrul de poli i zerouri reale, iar NC, numrul perechilor de zerouri i
poli complex conjugai.
Se observ c fiecrui pol complex i corespunde n factorul trece
tot un zerou care este reciprocul conjugat al polului. Pentru sistemele
cauzale i stabile [63], -1 < k < 1 i |k| < 1.
Pentru un filtru trece tot cu un singur pol i un zerou, compleci,
caracterizat de funcia de sistem
z 1 a *
, cu a = re j
H ap ( z ) =
1
1 az
i funcia de transfer
j j
e j a *
e
j 1 re
=
,
H ap ( ) =
e
j
j j
1 ae
1 re e
rspunsul de faz este
r sin( )
ap ( ) = 2arctg
(1.133)
1 r cos( )
i ntrzierea de grup este
d ap ( )
1 r2
=
g =
(1.134)
d
1 + r 2 2r cos( )
Se observ c pentru un sistem cauzal i stabil, r < 1 i, deci,
g 0 . Deoarece ntrzierea de grup a unui filtru trece tot de ordin
superior este o sum de termeni pozitivi, ca n relaia (1.134), aceasta este
ntotdeauna pozitiv.
Filtrele trece tot au aplicaii n egalizri de faz, pentru
compensarea fazei necorespunztoare aplicaiei, astfel nct rspunsul
global s fie de faz liniar.

1.8.6. Oscilatoare digitale sinusoidale


Un oscilator digital sinusoidal poate fi vzut ca un rezonator cu
o pereche de poli complex conjugai plasai pe cercul unitate. Un sistem
de ordinul II, cu funcia de sistem

37

H ( z) =

b0
1 + a1 z + a 2 z 2
1

(1.135)

i parametrii a1 = 2r cos 0 , a 2 = r 2 , are polii complex conjugai


p1, 2 = re j0 i rspunsul la impuls [63]

b0 r n
sin( n + 1) 0 u[n]
(1.136)
sin 0
Pentru r = 1 i b0 = A sin 0 , rezult
h[n] = A sin( n + 1) 0 u[n] ,
(1.136)
adic rspunsul la impuls al unui sistem de ordin II cu poli complex
conjugai pe cercul unitate este un semnal sinusoidal, sistemul devenind
un generator sinusoidal digital.
h[n] =

1.9. Sisteme inverse, deconvoluie i identificarea


sistemelor
Rspunsul y[n] al unui SDLIT, caracterizat de rspunsul la impuls
h[n], la un semnal de intrare x[n] este dat de convoluia dintre h[n] i x[n].
n unele probleme practice se dorete aflarea semnalului de
intrare, cunoscndu-se semnalul de ieire al unui sistem cu caracteristici
necunoscute. De exemplu, n transmisia datelor digitale la vitez mare pe
canalele telefonice se tie c acestea distorsioneaz semnalul i cauzeaz
interferen intersimboluri, ceea ce poate determina erori la refacerea
datelor. n acest caz se pune problema proiectrii unui sistem corector
care, cascadat cu sistemul original, s furnizeze o ieire care s corecteze
distorsiunile canalului i, deci, s produc o replic a semnalului dorit.
Acest sistem corector se numete egalizor. n contextul general al teoriei
sistemelor liniare invariante n timp, sistemul corector se va numi sistem
invers, deoarece, n principiu, rspunsul su n frecven este invers celui
al sistemului ce provoac distorsiunile.
Mai mult, deoarece sistemul care introduce distorsiunile produce o
ieire y[n] care este convoluia dintre x[n] i h[n] , operaia sistemului
invers care cunoate pe y[n] i produce pe x[n] se numete deconvoluie.
Dac sistemul distorsiv este necunoscut, de obicei, este necesar,
dac este posibil, a-l excita cu un semnal cunoscut, apoi s se observe
ieirea i s se compare aceasta cu intrarea pentru a determina
caracteristicile sistemului.
38

n problema descris, msurarea rspunsului n frecven al


canalului se realizeaz transmind un set de sinusoide de amplitudine
egal i frecvene diferite, cu faze specificate n banda canalului. Canalul
va atenua i defaza fiecare din sinusoide. Din compararea semnalului
recepionat cu cel transmis, receptorul obine informaii despre rspunsul
n frecven al canalului, ce pot fi folosite n proiectarea sistemului invers.
Procesul de determinare a caracteristicilor unui sistem necunoscut
fie h[n] , fie H ( ) , prin msurtori efectuate aupra sistemului se numete
identificare de sistem.

1.9.1. Inversarea sistemelor liniare, invariante n timp


Se spune c un sistem este inversabil dac exist o coresponden
bijectiv ntre semnalele de la intrarea i ieirea sa. Aceast definiie
implic faptul c, dac se cunoate secvena de ieire y[n], < n < ,
pentru un sistem inversabil H , atunci acestuia i se poate determina n
mod unic intrarea x[n], < n < . Sistemul invers, cu intrarea y[n] i
ieirea x[n] se noteaz H 1 . Conectarea n cascad a sistemului cu
sistemul invers este echivalent cu sistemul identitate, deoarece
w[n] = H 1 { y[n]} = H 1 {H [x[n]]} = x[n]
(1.137)
dup cum se ilustreaz n figura 1.20.
x[n]

H
sistem direct

y[n]

w[n]=x[n]

H-1
sistem invers

Figura 1.20 Cascada format din sistemul direct

H i sistemul invers H 1 .

n continuare se va urmri determinarea sistemului invers pentru


clasa sistemelor discrete liniare invariante n timp. Fie un SDLIT, H, cu
rspunsul la impuls h[n] i fie hI [n] rspunsul la impuls al sistemului
invers H 1 . Relaia (1.137) este echivalent cu ecuaia
w[n] = hI [n] h[n] x[n] = x[n]
(1.138)
care implic
h[n] hI [n] = [n]
(1.139)
39

Relaia (1.139) poate fi folosit pentru determinarea lui hI [n] ,


dac se cunoate h[n] . n domeniul timp, acest lucru este dificil de
realizat. O soluionare mai simpl presupune transformarea lui (1.139) n
domeniul Z i apoi de gsit H 1 , adic, aplicnd transformata Z relaiei
(1.139), rezult
H ( z)H I ( z) = 1
(1.140)
de unde
1
H I ( z) =
(1.141)
H ( z)
Dac H ( z ) este o funcie raional
B( z )
(1.142)
H ( z) =
A( z )
atunci
A( z )
,
(1.143)
H I ( z) =
B( z )
ceea ce nseamn c zerourile lui H ( z ) devin poli pentru sistemul invers,
i invers. Stabilitarea sistemului invers depinde de poziionarea zerourilor
sistemului H(z) i va fi descutat ulterior. Mai mult, dac H ( z ) este un
sistem FIR, atunci H I ( z ) este un sistem numai cu poli i dac H ( z ) este
numai cu poli, H I (z ) este FIR.

Exemplul 1.7.
S se determine inversul sistemului care are rspunsul la impuls
n

1
h[n] = u[n] .
2
1
1
, RC :| z |> .
1 1
2
1 z
2
Acest sistem este cauzal i stabil. Deoarece H (z ) este numai cu
poli, inversul su va fi un sistem FIR, cu funcia de sistem
1
1
H I ( z ) = 1 z 1 . Rspunsul su la impuls este hI [n] = [n] [n 1] .
2
2
Soluie. H ( z ) =

Exemplul 1.8.
S se determine inversul sistemului care are rspunsul la impuls
40

1
h[n] = [n] [n 1] .
2
Soluie. Acesta este un filtru FIR, a crui funcie de sistem este
H ( z ) = 1 12 z 1 , RC :| z |> 0 .
Sistemul invers are funcia de sistem
z
1
1
,
=
=
H I ( z) =
1 1
H ( z) 1 2 z
z 12
adic H I (z ) are un zerou n origine i un pol n z = 1 / 2 . n acest caz
exist dou regiuni posibile de convergen i, deci, dou sisteme inverse
posibile, dup cum se arat n figura 1.21.

Figura 1.21. Dou posibile regiuni de convergen pentru H ( z ) =

z
z

1
2

Dac regiunea de convergen pentru H I (z ) este | z |>

1
,
2

transformarea invers conduce la rspunsul la impuls


n
1
hI [n] = u[n]
2
care caracterizeaz un sistem cauzal i stabil.
Dac, ns, regiunea de convergen se presupune a fi | z |<

1
,
2

sistemul invers are rspunsul la impuls


n

1
hI [n] = u[n 1]
2
n acest caz sistemul invers este anticauzal i instabil. Din acest
exemplu se observ c ecuaia (1.139) nu poate fi rezolvat unic folosind
41

relaia (1.143), dac nu se specific regiunea de convergen pentru


funcia de sistem a sistemului invers.
Este posibil ca rspunsul la impuls h[n] s nu aib transformata Z
exprimat ntr-o form analitic. O alternativ la aceast situaie este
rezolvarea ecuaiei (1.139) cu ajutorul unui calculator numeric.
Deoarece (1.139) nu are, n general, soluie unic, se presupune c
att sistemul, ct i inversul su sunt cauzale, caz n care (1.139) se poate
scrie ca
n

h[k ]h [n k ] = [n]
k =0

(1.144)

Prin convenie, h[n] = 0 pentru n < 0 . Pentru n = 0 se obine


1
hI [0] =
(1.145)
h[0]
Valorile lui hI [n] pentru n 1 se pot obine recursiv din relaia
(1.144), sub forma
n
h[k ]hI [n k ]
h I [ n] =
(1.146)
h[0]
k =1
Aceast relaie recursiv poate fi implementat cu uurin cu un
calculator.
Exist dou probleme referitoare la relaia (1.146), i anume:
1. Metoda nu este funcional dac h[0] = 0 . Acest lucru poate fi
remediat prin introducerea unei ntrzieri potrivite n membrul drept al
relaiei (1.144), adic nlocuirea lui [n] cu [n m] , unde m = 1 ,
dac h[0] = 0 i h[1] 0 .a.m.d.
2. Datorit recurenei, relaia (1.146) poate produce erori de rotunjire care
cresc cu n i, ca urmare, precizia lui h[n] se deterioreaz pentru n
mare.
Exemplul 1.9.
S se determine sistemul cauzal invers sistemului FIR descris de
h[n] = [n] [n 1] .
Soluie. Deoarece h[0] = 1 , h[1] = i h[n] = 0 pentru n > 1 , se
poate scrie
1
hI [0] =
= 1 i hI [n] = hI [n 1] , n 1 , adic hI [1] = , hI [2] = 2 ,
h[0]
42

, hI [n] = n , care corespunde unui sistem IIR cauzal, cum era de


ateptat.

1.9.2. Sisteme de faz minim, maxim i mixt


n multe cazuri este util a impune restricia ca sistemul invers s
fie, de asemenea, stabil i cauzal.
Inversarea SDLIT este strns legat de caracteristicile funciei de
faz a sistemului. Pentru a ilustra acest lucru, fie dou sisteme FIR,
caracterizate de funciile de sistem
1
1

H 1 ( z ) = 1 + z 1 = z 1 z +
(1.147)
2
2

1
1

H 2 ( z ) = + z 1 = z 1 z + 1
(1.148)
2
2

1
i rspunsul
Sistemul descris de (1.147) are un zerou la z =
2
1
la impuls h[0]=1, h[1]= . Sistemul din (1.148) are un zerou la z=-2 i
2
1
eantioanele rspunsului la impuls h[0]= , h[1]=1, care sunt egale cu
2
cele care caracterizeaz sistemul din (1.147), dar n ordine invers. Acest
lucru se datoreaz faptului c zerourile lui H 1 ( z ) i H 2 ( z ) sunt inverse
unele altora. n domeniul frecven cele dou sisteme sunt caracterizate de
rspunsurile de amplitudine
5
| H 1 ( ) |=| H 2 ( ) |=
+ cos
(1.149)
4
i de faz
sin
1 ( ) = + arctg
(1.150)
1
+ cos
2
sin
2 ( ) = + arctg
(1.151)
2 + cos
Caracteristicile de modul ale celor dou sisteme sunt identice
datorit relaiei ntre zerourile lui H 1 ( z ) i H 2 ( z ) . Rspunsurile de faz
1 ( ) i 2 ( ) sunt reprezentate n figura 1.22 a i b.
43

Figura 1.22. Rspunsul de faz al sistemelor descrise de a) (1.147) i b) (1.148)

Se observ cum caracteristica de faz 1 () a sistemului cu zeroul


n interiorul cercului unitate ncepe la 0 pentru = 0 i se termin tot la
0 pentru = , astfel nct schimbarea net de faz este
1 ( ) 1 (0) = 0 . Pentru sistemul cu zeroul n afara cercului unitate
schimbarea de faz este 2 ( ) 2 (0) = . Primul sistem este de faz
minim, iar al doilea de faz maxim.
Aceste definiii pot fi extinse pentru sisteme FIR cu M zerouri.
Rspunsul n frecven al unui filtru FIR de lungime M+1 este
(1.152)
H () = b0 (1 z1e j )(1 z 2 e j )...(1 z M e j )
unde b0 -costant arbitrar, iar {z i }, i = 1, M - zerourile filtrului.
Dac toate zerorile filtrului, reale i/sau complex conjugate, sunt n
interiorul cercului unitate, fiecare termen real sau pereche de termeni
complex conjugai din (1.152) va suferi o schimbare de faz egal cu 0
cnd variaz de la 0 la , adic
H ( ) H (0) = 0
(1.153)
motiv pentru care sistemul este de faz minim.
Dac zerourile sunt n afar cercului unitate, fiecare zerou real va
determin o schimbare de radiani n raspunsul de faz, iar o pereche
de zeroruri complex conjugate o schimbare de 2 radiani, adic
H ( ) H (0) = M
(1.154)
sistemul fiind de faz maxim.
Deoarece derivata fazei este o msur a ntrzierii pe care
componentele semnalului le sufer la trecerea prin filtru, un sistem de
faz minim implic o ntrziere minim.
Fie un sistem FIR cu coeficieni reali. Ptratul rspunsului de
modul este
| H ( ) | 2 = H ( z ) H ( z 1 ) | z =e j
(1.155)
44

Aceast relaie implic faptul c dac un zerou z k al sistemului se


1
, caracteristica de modul nu se schimb.
nlocuiete cu inversul su
zk
Aceasta nseamn c, dac H ( )

este ptratul modulului rspunsului

n frecven al unui filtru FIR cu M zerouri, exist 2M configuraii


posibile pentru cele M zerouri. O configuraie corespunde zerourilor din
cercul unitate, care caracterizeaz un sistem de faz minim, o
configuraie conine toate zerourile n afara cercului unitate i corespunde
unui sistem de faz maxim, iar restul de 2 M 2 configuraii corespund
sistemelor de faz mixt. Nu toate cele 2 M 2 configuraii de faz
mixt corespund neaprat unor sisteme FIR cu coeficieni reali.
Proprietatea de faz minim a sistemelor FIR poate fi extins i
asupra sistemelor IIR caracterizate de funcii de sistem raionale.
Un sistem IIR, stabil i cauzal, caracterizat de funcia de sistem
B( z )
H ( z) =
(1.156)
A( z )
este de faz minim dac toi polii i zerourile sunt n interiorul cercului
unitate.
Pentru un sistem stabil i cauzal (toate rdcinile lui A(z) n
interiorul cercului unitate) sistemul este de faz maxim, dac toate
zerourile sale sunt n exteriorul cercului unitate i de faza mixt sau
neminim, dac unele zerouri, dar nu toate, sunt n exteriorul cercului
unitate.
Din cele prezentate pn acum se evideniaz faptul c unui sistem
cu poli i zerouri stabil, de faz minim, i se poate ataa are un sistem
invers stabil care este, de asemenea, de faz minim.
A( z )
H 1 ( z ) =
(1.157)
B( z )
Aceasta nseamn c proprietatea de faz minim a lui H(z)
asigur stabilitatea sistemului invers i stabilitatea lui H(z) implic
proprietatea de faz minim a lui H 1 ( z ) . Sistemelor stabile de faz
mixt i maxim le corespund sisteme inverse instabile.
Un alt mod de a caracteriza sistemele de faz minim se refer la
rspunsul de faz. n paragraful 1.1.1. s-a definit Arg[H()] ca fiind
ntregul rspuns de faz. Dac se cunoate valoarea principal a fazei, se
poate construi funcia de faz total prin adugarea sau scderea valorii de
45

2 radiani n punctele de discontinutate, dup cum se arat n figura 1.1,


procedur numit de desfurare a fazei. n paragraful 1.6. s-a artat c
rspunsul de faz al filtrului este determinat de coninutul tuturor
singularitilor din planul Z. Cnd variaz de la 0 la , un pol din
interiorul cercului unitate scade rspunsul de faz cu radiani, n timp ce
un zerou din interiorul cercului unitate crete faz cu radiani. Dac toate
singularitile sunt n interiorul cercului unitate, cum este cazul
sistemelor cauzale i stabile de faz minim, rspunsul de faz
Arg[H()], care este o funcie continu de , are valoarea zero att pentru
=0, ct i pentru =.
Zerourile de pe cercul unitate determin salturi de radiani n
rspunsul de faz. Dac pe cercul unitate sunt z zerouri, rspunsul de
faz este z la =.
1
Dac pentru un sistem se cunoate C(z) = H(z) H i acel
z
sistem este de faz minim, atunci H(z) va avea polii i zerourile lui C(z)
din cercul unitate. Din C(z) nu se poate determina n mod unic H(z),
deoarece orice alegere care are modulul rspunsului n frecven dat poate
fi cascadat cu o celul trece tot arbitrar, fr a-i schimba modulul (vezi
exemplul 1.2).
Aceasta se ntmpl deoarece dac se schimb un zerou al
funciei de sistem a unei celule trece tot din z=z0 n z=1/z0, nu se schimb
rspunsul de amplitudine, ci numai cel de faz. Aceasta nseamn c un
rspuns de amplitudine dat poate avea asociate mai multe rspunsuri de
faz. Din analiza de mai sus se poate da urmtoarea definiie pentru
sistemele de faz minim:
Pentru un rspuns de amplitudine dat, sistemul de faz minim
este sistemul cauzal pentru care rspunsul de faz corespunztor are
valoarea cea mai mic pentru toate valorile lui z de pe cercul unitate.
n continuare sunt prezentate cteva aspecte care caracterizeaz
sistemele de faz neminim.
1.9.2.1. Descompunerea sistemului cu poli i zerouri, de faza
neminim

Un sistem poli zerouri de faz neminim se poate descompune


sub forma
H(z) = H min (z) H ap (z)
(1.158)
46

unde Hmin(z) este un sistem de faz minim i Hap(z) este un sistem trece
tot. Acest lucru rezult uor pentru clasa sistemelor cauzale i stabile cu
B(z)
. ntr-adevr, dac B(z) are una
funcie de transfer raional H(z) =
A(z)
sau mai multe rdcini n afar cercului unitate, fie factorizarea
B(z) = B1(z)B2(z) , unde B1(z) are toate rdcinile n interiorul cercului
unitate, iar B2(z) are toate rdcinile n exteriorul cercului unitate. Atunci
B2(z-1) are rdcinile n interiorul cercului unitate.
Cu consideraiile de mai sus, sistemul cu funcia de sistem
B (z) B 2 (z 1 )
H min ( z ) = 1
(1.159)
A(z)
este de faz minim, iar sistemul caracterizat de
B ( z)
H ap ( z ) = 2 1 ,
(1.160)
B2 ( z )
este un sistem trece tot.
Cu (1.159) i (1.160) rezult (1.158). Din (1.160) rezult c Hap(z)
este stabil, trece tot i de faz maxim.
1.9.2.2. ntrzierea de grup a sistemelor de faz neminim
Pe baza descompunerii din relaia (1.158), se poate exprima
ntrzierea de grup pentru sistemul caracterizat de H(z), ca fiind
g ( ) = gmin ( ) + gap ( )
(1.161)

Deoarece

gap ( ) 0

0 ,

pentru

rezult

g ( ) ( ) , pentru 0 . Din (1.161) se poate concluziona c


dintre toate sistemele poli zerouri care au acelai rspuns de
amplitudine, sistemele de faz minim au cea mai mic ntrziere de grup.
min
g

1.9.2.3. Energia parial a sistemelor de faz neminim


Energia parial a unui sistem cauzal, cu rspunsul la impuls h[n]
se definete ca
n

E[n] = h[k ]

(1.162)

k =0

Se poate arta c dintre toate sistemele poli zerouri care au


acelai rspuns de amplitudine i aceeai energie total E () , sistemele
de faz minim au cea mai mare energie parial [25].
47

1.9.3. Identificarea sistemelor i deconvoluia


Se presupune c un SDLIT necunoscut este excitat cu semnalul de
intrare x[n] i se observ ieirea y[n]. Din observarea secvenei de ieire
se dorete determinarea rspunsul la impuls al sistemului necunoscut sau
funcia sa de sistem. Aceasta este o problem de identificare de sistem,
care, n funcie de natura secvenelor de intrare i ieire, poate fi
rezolvat prin urmtoarele metode:
1.9.3.1. Determinarea funciei de sistem
Ieirea sistemului necunoscut, liniar i invariant n timp, este egal
cu convoluia dintre semnalul de intrare i rspunsul su la impuls. n
domeniul Z, aceasta se scrie ca
Y ( z) = H ( z) X ( z)
(1.163)
Y(z)
H(z) =
(1.164)
de unde
X(z)
X(z) i Y(z) fiind transformate Z ale semnalelor de intrare, x[n], i
respectiv, de ieire, y[n]. Rezult atunci c aceast abordare este potrivit
numai cnd exist forme analitice pentru X(z) i Y(z).
Exemplul 1.10.

1, n = 0

Un sistem cauzal produce secvena de ieire y[n] = 7 / 10, n = 1


0, n rest

n=0
1,
7 / 10, n = 1
cnd este excitat de secvena de intrare x[n] =
1 / 10, n = 2
0,
n rest
S se determine rspunsul la impuls i ecuaia cu diferene a
sistemului.
Soluie. Funcia de transfer poate fi determinat uor prin
considerarea transformatelor Z pentru x[n] i y[n].
7
7
1 + z 1
1 + z 1
Y(z)
10
10
=
=
H(z) =
7 1 1 2 1 1 1 1
X(z)
1 z + z
1 z 1 z
10
10
2
5

48

Deoarece sistemul este cauzal, regiunea sa de convergen este


1
RC: z > . Sistemul este, de asemenea stabil, deoarece polii si sunt n
2
interiorul cercului unitate. Ecuaia cu diferene corespunztoare este
7
1
7
y[n] =
y[n 1] y[n 2] + x[n] + x[n 1]
10
10
10
Rspunsul la impuls se obine din H(z) prin transformare Z invers
n
1 n
1
h[n] = 4 3 u[n ]
(1.165)
5
2
Se observ c relaia (1.164) determin n mod unic sistemul
necunoscut, dac se cunoate c acesta este cauzal. Metoda folosit n
exemplul precedent este funcional, dac secvenele de intrare i ieire
sunt finite. Deoarece este foarte probabil ca rspunsul {y[n]} s fie infinit,
aceast abordare este nepractic.
1.9.3.2. Aflarea rspunsului la impuls direct n domeniul timp
Pentru un sistem cauzal, caracterizat de rspunsul la impuls h[n] ,
rspunsul la un semnal de intrare, x[n] , este dat de suma de convoluie
n

y[n] = h[k] x[n k]

n 0

(1.166)

k =0

Din aceasta rezult


h[0] =

y[0]
x[0]

(1.167)

i
n 1

h[n] =

y[n] h[k ] x[n k ]


k =0

n 1
(1.168)
x[0]
Aceast relaie recursiv necesit ca x[0]0. Dac {h[n]} este
infinit n durat, aceast tratare nu este practic dac nu se trunchiaz
h[n]. Datorit caracterului recursiv al relaiei (1.168), metoda poate fi uor
implementat cu ajutorul calculatorului.

Exemplul 1.11.
n condiiile exemplului 1.10, s se gseasc rspunsul la impuls
al sistemului, direct n domeniul timp.
49

Soluie. Cu datele din exemplul precedent, aplicnd relaiile


(1.167) i (1.168), se obine h[0] = 1; h[1] = 7 / 5; h[2] = 22 / 25,.... , identice
cu eantioanele obinute cu relaia (1.165). ntr-adevr, se observ
necesitatea trunchierii lui h[n] .

1.9.3.3. Determinarea funciei de transfer a sistemului prin


metode de corelaie
O alt metod de identificare a unui sistem necunoscut se bazeaz
pe tehnici de corelaie. Pentru aceasta, se calculeaz densitatea spectral
de energie, S xx ( ) , a semnalului de intrare x[n] i densitatea spectral de
energie de intercorelaie, S yx ( ) , dintre semnalul de intrare x[n] i
semnalul de ieire y[n] . S xx ( ) este transformata Fourier a secvenei de
autocorelaie, rxx [l ] , a semnalului de intrare x[n] , iar S yx ( ) este
transformata Fourier a secvenei de corelaie ryx [l ] , dintre semnalul de
intrare x[n] i semnalul de ieire y[n] [34]. Pentru un SDLIT,
caracterizat de rspunsul la impuls h[n] , funcia de corelaie intrare-ieire
este
ryx [m] =

k =0

n =

y[n] x[n m] = h[k] x[n k] x[n m] =

n =

n = k = 0

h[k] x[n k] x[n m] = h[k] x[p] x[p m + k] =


k =0

p =

= h[n] rxx [m k] = h[m] rxx [m]

(1.169)

k =0

n domeniul frecven se poate scrie


2
Syx ( ) = H ( ) S xx ( ) = H ( ) X ( )
H() =

S yx(x)

(1.170)

S yx()

(1.171)
2
X()
Dac se alege intrarea {x[n]} astfel nct densitatea sa spectral de
energie s fie constant pentru toate valorile lui , adic S xx ( ) = 1 / K ,
atunci relaia (1.171) devine
H() = KS yx ()
(1.172)
de unde

S xx(x)

Echivalent, valorile rspunsului la impuls {h[n]} sunt egale cu


valorile secvenei de corelaie {ryx [l ]} , scalate cu valoarea K.
50

n unele cazuri, sistemul poate fi identificat prin calculul secvenei


de autocorelaie a ieirii y[n] . Urmnd un mers de calcul similar relaiei
(1.169), rezult
ryy [m] =

y[n] y[n m] = r

hh

n =

[m] rxx [m]

n domeniul frecven se poate scrie


2
S yy ( ) = H ( ) S xx ( )

(1.173)
(1.174)

Pentru un semnal de intrare cu densitatea spectral de energie


plat, se poate scrie
2
S yy ( ) = H ( ) K
(1.175)
sau, echivalent, n domeniul Z, pentru K=1
S yy ( z ) = H ( z ) H ( z 1 )
Pentru H(z) funcie raional, H ( z ) =

(1.176)

B( z )
, rezult
A( z )

D( z ) B ( z ) B ( z 1 )
=
(1.177)
C ( z ) A( z ) A( z 1 )
Numrtorul i numitorul relaiei (1.177) prezint simetrie n
oglind a rdcinilor. Pentru a determina H(z), se determin rdcinile lui
D(z) i C(z), apoi acestea se grupeaz pentru a forma pe H(z). Soluia
ecuaiei (1.176) nu este unic. Corespunztor, n domeniul frecven,
aceast relaie are un singur rspuns de amplitudine, spre deosebire de cel
de faz, care nu este unic. O soluie unic se poate obine prin impunerea
unor constrngeri suplimentare asupra fazei sistemului.
S yy ( z ) =

Exemplul 1.12.
Densitatea spectral de energie a ieirii unui SDLIT cauzal i
1,04 + 0,4 cos
. Sistemul este excitat cu un semnal a
stabil este S yy ( ) =
1,25 cos
crui densitate spectral de energie este unitar. S se determine funcia
de transfer a sistemului.
Soluie. Cu ajutorul relaiior trigonometrice, S yy ( ) se scrie
1,04 + 0,2(e j + e j )
S yy ( ) =
. nlocuind z = e j n relaia anterioar i
j
j
1,25 0,5(e + e )
innd cont de (1.176), se obine
51

H ( z ) H ( z 1 ) =

z 2 + 5,2 z + 1
1,04 + 0,2( z + z 1 )
2
=
=

0
,
4
1,25 0,5( z + z 1 )
z 2,5 z + 1

( z 1 + 0,2)(1 + 0,2 z 1 )
( z 1 0,5)(1 0,5 z 1 )
n condiiile problemei, exist dou soluii pentru H(z). Pentru sistemul de
(1 + 0,2 z 1 )
, n timp ce,
faz minim, funcia de transfer este H ( z ) =
(1 0,5 z 1 )
=

pentru sistemul de faz neminim, H ( z ) =

52

( z 1 + 0,2)
.
(1 0,5 z 1 )

CAPITOLUL 6

E{ANTIONAREA SEMNALELOR N
DOMENIILE TIMP {I FRECVEN}|
Cele mai multe semnale de interes practic sunt analogice. Pentru a
le putea prelucra cu ajutorul procesoarelor numerice, acestea trebuie s\ fie
transformate `n secven]e, motiv pentru care se impune discretizarea
varia]iei lor `n timp, prin e[antionare. Nu numai semnalele analogice pot
fi e[antionate, ci [i cele discrete. Procedeul de e[antionare a semnalelor
discrete este urmat, de obicei, de opera]ia de "decimare", prin care unele
valori ale semnalului discret sunt ignorate, rezultnd astfel un semnal cu o
derulare "mai rapid\" dect a semnalului discret e[antionat.
O problem\ important\ care va fi avut\ `n vedere este
reconstruc]ia semnalului din e[antioanele sale, reconstruc]ie posibil\, `n
anumite condi]ii, printr-o opera]ie de filtrare. Stabilirea caracteristicilor
filtrului de reconstruc]ie va face obiectul unui paragraf al acestui capitol.
E[antionarea poate fi efectuat\ [i asupra spectrului unui semnal.
De exemplu, un semnal aperiodic (analogic sau discret), de energie finit\,
are spectrul continuu [i calcularea sa n practic\ este posibil\ numai ntrun set finit de frecven]e discrete. Datorit\ observ\rii spectrului la
frecven]e discrete, evaluarea sa este cunoscut\ ca e[antionare `n domeniul
frecven]\. Astfel de e[antion\ri `n domeniul frecven]\ apar `n analiza [i
estimarea spectral\. Obiectul prezentului capitol const\ `n analizarea
e[antion\rii periodice sau uniforme a semnalelor sau a spectrului lor [i
reconstruc]ia semnalelor din e[antioanele lor cu ajutorul mijloacelor de
analiz\ `n domeniul frecven]\ introduse `n capitolul 4.

6.1. E[antionarea n domeniul timp [i refacerea


semnalelor analogice
6.1.1. Spectrul semnalului e[antionat ideal
A[a cum s-a precizat n capitolul 1, de obicei, se consider\
e[antionarea periodic\ a semnalului analogic xa(t) la fiecare T secunde,
care conduce la secven]a de e[antioane

197

x[n] = xa (nT ), n Z
(6.1)
1
trebuie selectat\ adecvat pentru a nu
Frecven]a de e[antionare FS =
T
rezulta eroare alias. ~n e[antionarea ideal\, modelul de extragere a unui
e[antion din semnal const\ `n `nmul]irea semnalului cu un impuls Dirac,
de arie unitar\. Dac\ se consider\ cazul e[antion\rii uniforme, cu pasul de
e[antionare T=1/Fs, modelul e[antion\rii ideale se extinde la `nmultirea
semnalului analogic cu semnalul periodic T (t ) =

(t nT ) , care este

n =

distribu]ia Dirac periodic\ [13]. Modelul matematic al e[antion\rii


uniforme este prezentat `n figura 6.1.

Figura 6.1 Modelul matematic al e[antion\rii uniforme a unui semnal analogic

Conform modelului, semnalul e[antionat are expresia


x[n] =

n =

(nT ) (t nT )

(6.1')

Fie xa(t) un semnal analogic aperiodic de energie finit\, al c\rui


spectru este
X a (F ) =

x (t )e

j 2Ft

dt

(6.2)

Semnalul xa(t) poate fi ref\cut din spectrul s\u prin transformata Fourier
invers\
xa (t ) =

X (F )e

j 2Ft

dF

(6.3)

Se observ\ c\ pentru refacerea semnalului sunt necesare componentele de


frecven]\ dintr-un domeniu infinit.
Spectrul semnalului e[antionat x[n] se calculeaz\ cu rela]ia
X ( ) =

x[n]e

jn

(6.4)

n =

sau, echivalent

X(f )=

x[n]e

n =

198

j 2fn

(6.4)

Semnalul x[n] poate fi ref\cut din spectrul X() sau X(f) prin
transformarea invers\
1/ 2

1
jn
(
)
(6.5)
x[n] =
X

e
d

=
X ( f )e j 2fn df

2
1 / 2
Pentru determinarea rela]iei dintre spectrul semnalului analogic [i
al semnalului e[antionat, se folose[te rela]ia dintre variabilele
independente t [i n ale semnalelor xa(t) [i x[n] , adic\
n
t = nT =
(6.6)
FS
nlocuind (6.6) n (6.3) se ob]ine
x[n] xa (nT ) =

X (F )e

j 2n

F
FS

dF

(6.7)

Din (6.7) [i (6.5) rezult\


1/ 2

X ( f )e

j 2fn

df =

1 / 2

( F )e

j 2n

F
FS

dF

(6.8)

Se reaminte[te c\ e[antionarea periodic\ implic\ o rela]ie ntre


frecven]ele F [i f, corespunz\toare semnalului analogic [i e[antionat, de
forma
F
f =
(6.9)
FS
Cu (6.9) rela]ia (6.8) devine
1
FS

FS

FS

F
X
FS

j 2n
j 2n FS
e
dF = X a (F )e FS dF

(6.10)

Integrala din membrul al doilea al rela]iei (6.10) se poate exprima ca o


sum\ infinit\ de integrale pe cte un domeniu egal cu FS.

X (F )e
a

j 2n

F
FS

dF =

( k +1 / 2 )FS

k = ( k 1 / 2 )FS

X a (F )e

j 2n

F
FS

dF

(6.11)

Dac\ se noteaz\
F = F1 + kFS
suma din membrul al doilea al rela]iei (6.11) devine

( k +1 / 2 )FS

k = ( k 1 / 2 ) FS

X a (F )e

j 2n

F
FS

dF =

Fs / 2

k = Fs / 2

199

X a (F1 + kFS )e

(6.12)
j 2n

F1 + kFS
FS

dF1 =

Fs / 2

X (F
a

Fs / 2 k =

+ kFS )e

j 2n

Fs / 2

F1
FS

dF1 =

Fs / 2

X (F + kF )e

Fs / 2 k =

X (F

Fs / 2 k =

kFS )e

j 2n

j 2n

F
FS

dF =

F
FS

dF

(6.13)

}innd cont de (6.13), rela]ia (6.11) devine

F
FS

FS / 2


j 2n FS
(
)
(
)
X
F
e
dF
=
X
F

kF
dF
(6.14)
S e
a
a

FS / 2
Comparnd (6.10) cu (6.14), rezult\

F
1
X = X ( f ) = FS X a (F kFS ) = X a (F kFS ) (6.15)
T k =
k =
FS
Rela]ia (6.15) reprezint\ leg\tura dintre spectrul X(f) al semnalului
e[antionat [i spectrul Xa(F) al semnalului analogic. Spectrul semnalului
e[antionat este suma repet\rilor periodice, cu perioada FS, a spectrului
semnalului analogic scalat cu FS.
Spectrul semnalului e[antionat mai poate fi ob]inut prin aplicarea
transformatei Fourier rela]iei (6.1'), ]innd cont de teorema convolu]iei
spectrelor.

X ( f ) = F xa (nT ) (t nT ) = X a ( f ) F { T (t )}
(6.16)
n =

Spectrul distribu]iei Dirac periodice este [16]


1
F { T (t )} = Fs ( F ) = Fs Fs ( F )
(6.17)
T
care este tot o distribu]ie Dirac periodic\, cu perioada Fs, `n domeniul
frecven]\ [i scalat\ cu 1/T. Cu (6.17), rela]ia (6.16) devine
1
1
X ( f ) = X a ( F ) ( F nFs ) = X a ( F nFs )
(6.18)
T n =
T n =
~n figura 6.2a este prezentat spectrul Xa(F) al unui semnal de
band\ nelimitat\, cu suportul extins pe toat\ axa real\; `n figura 6.2b este
reprezentat\ distribu]ia Dirac periodic\ dat\ de rela]ia (6.17), iar `n figura
6.2c este reprezentat cu linie `ntrerupt\ semnalul periodic
FS

j 2n

X (F kF )

k =

[i cu linie continu\ spectrul semnalului e[antionat.

Dup\ cum se poate observa, orict ar fi valoarea frecven]ei de


e[antionare, implicit a perioadei de e[antionare T=1/Fs a unui semnal de
band\ nelimitat\, din spectrul X(F/Fs) al semnalului e[antionat nu mai

200

poate fi recuperat spectrul ini]ial Xa(F) din e[antioanele sale


x[n] = x a (nT ) , n Z .

Figura 6.2. Efectul e[antion\rii ideale a unui semnal xa(t), cu spectrul Xa(F) de band\
nelimitat\, asupra spectrului semnalului e[antionat

6.1.2. Deducerea formulei ideale de interpolare pentru


reconstruc]ia semnalelor analogice de band\ limitat\
Fie xa(t) un semnal analogic de band\ limitat\, reprezentat `n
figura 6.3a. Se observ\ c\ spectrul este zero pentru F B . Aceast\
limitare atrage dup\ sine faptul c\ xa(t) este un semnal cu suport
nem\rginit `n timp. Expresia (6.15) a spectrului semnalului e[antionat
r\mne aceea[i. Pentru un ordin k lobii spectrali X(F-kFs) nu se suprapun
dac\ este `ndeplinit\ condi]ia
B Fs B sau 2 B Fs
(6.19)
Dac\ frecven]a de e[antionare FS este mai mare ca 2B, semnalul
e[antionat [i spectrul s\u X(F/FS)=X(f) sunt reprezentate `n figura 6.3b.
Lobii spectrali de diferite ordine nu se suprapun [i rela]ia dintre lobul
central (k=0) din spectrul semnalului discret ob]inut dup\ e[antionare [i
spectrul semnalului analogic este

201

1 F
X
X a (F ) = FS FS

FS
2
F
F > S
2
F

(6.20)

Fig. 6.3. E[antionarea unui semnal analogic de band\ limitat\ [i eroarea alias a
componentelor spectrale `n cazul sube[antion\rii

202

Transformata Fourier invers\ a lui Xa(F) este


FS / 2

xa (t ) =

X (F )e
a

j 2Ft

dF

(6.21)

FS / 2

~nlocuind (6.20), (6.4') [i (6.9) n (6.21), rezult\


F
F /2
j 2n
j 2Ft
1 S
FS
[
]
x a (t ) =
x
n
e
dF =

FS FS / 2 n =

(6.22)

sin (t nT )
1
T
x[n] e
dF = x a (nT )

FS n =
n =
FS / 2
(t nT )
T
unde x[n] = xa (nT ) ; T=1/FS perioada de e[antionare. Rela]ia (6.22) este
cunoscut\ sub denumirea de formula de reconstruc]ie care implic\ func]ia
de interpolare

sin t
T
(6.23)
g (t ) =

t
T
translat\ corespunz\tor cu nT, n=0, 1, 2 [i ponderat\ cu valoarea
e[antionului xa(nT). La t = kT, func]iile g(t - nT) sunt egale cu zero, cu
excep]ia func]iei g(kT-kT) =g(0), care este egal\ cu unitatea. n
consecin]\, xa(t) evaluat la t = kT este chiar e[antionul xa(kT). Rela]ia
(6.22) se nume[te formul\ ideal\ de interpolare.
Dac\, ns\, frecven]a de e[antionare FS1 este aleas\ astfel nct
FS1<2B (sube[antionare), repetarea periodic\ a lui Xa(F) are ca rezultat
suprapunerea lobilor spectrali de diferite ordine, ca n figura 6.3c.
Contribu]ia suprapunerilor spectrale din figura 6.3c este reprezentat\ `n
figura 6.3d. Aceasta `nseamn\ c\ spectrul X(F/FS) al semnalului discret
con]ine aliasuri ale componentelor de frecven]\ ale spectrului semnalului
analogic Xa(F). Suprapunerea spectrelor de la capetele domeniului
fundamental de frecven]e [-FS/2;FS/2] este ilustrat\ n figura 6.3c [i d [i
reluat\ `n figura 6.4, unde se observ\ p\trunderea unor cozi din
spectrele adiacente domenilul fundamental (figurate `nnegrit `n figur\) `n
domeniul fundamental de frecven]\, fapt ce determin\ eroarea alias.
Spectrul semnalului discret se ob]ine prin reflectarea spectrului
F F
original care dep\[e[te intervalul S ; S n jurul acestor frecven]e,
2 2

FS / 2

n
j 2F t
FS

203

motiv pentru care frecven]a FS/2 se nume[te frecven]\ de reflexie


(folding).
Prin limitarea spectrului semnalului e[antionat la intervalul
fundamental [ Fs / 2, Fs / 2] se ob]ine spectrul din figura 6.3e, care,
datorit\ erorii alias, difer\ de cel al semnalului analogic `n domeniul
[ Fs / 2, Fs / 2] (figura 6.3a) [i, n consecin]\, fenomenul alias care apare
mpiedic\ refacerea semnalului original xa(t) din e[antioanele sale. x a (t)
este semnalul ref\cut. De[i semnalul e[antionat este de band\ limitat\, ca
urmare a unei frecven]e de e[antionare prea reduse, nu se mai poate
reconstrui semnalul ini]ial din e[antioanele sale {x[n] = x a (nT ), n Z } .

Figura 6.4. Ilustrarea erorii alias `n jurul frecven]ei de reflexie

Frecven]a de e[antionare minim\ care permite, `nc\, reconstruirea


semnalului din e[antioanele sale, corespunde cazului `n care lobii spectrali
se ating, f\r\, `ns\, a se suprapune, adic\ Fs=2B. Aceast\ frecven]\
minim\, egal\ cu dublul frecven]ei maxime din spectrul semnalului, B, se
nume[te [i frecven]\ Nyquist.
Rezultatele ob]inute pn\ `n acest punct pot fi sintetizate prin
teorema e[antion\rii sau teorema WKS (Whittaker, Kotelnikov,
Shannon).
Teorema e[antion\rii
Dac\ semnalul analogic xa(t) este de band\ limitat\, Xa(F)=0
pentru | F |> B , atunci xa(t) este unic determinat de mul]imea
e[antioanelor sale {x[n] = xa (nT ), n Z } , dac\ frecven]a de e[antionare
Fs 2 B . ~n ipotezele enun]ate, semnalul ini]ial poate fi reconstruit
utiliznd rela]ia de interpolare

204


sin
(t nT )

T
xa (t ) = xa (nT )

n =
(t nT )
T

(6.24)

Din rela]ia (6.24) se observ\ c\ `n punctele de e[antionare to]i


termenii sumei sunt nuli, cu excep]ia unuia, a c\rui valoare este egal\ cu
valoarea e[antionului. ~ntre dou\ puncte de e[antionare, semnalul se
reconstruie[te prin contribu]ia tuturor termenilor sumei.
6.1.3. Tehnici de reconstruc]ie a semnalului
~n paragraful precedent s-a ar\tat c\ un semnal trece jos de band\
limitat\ poate fi reconstituit far\ distorsiuni din e[antioanele sale, dac\
frecven]a de e[antionare este cel pu]in egal\ cu frecven]a Nyquist.
Reconstruc]ia semnalului xa(t) a fost prezentat\ ca o problem\ de
interpolare, cu ajutorul func]iei de interpolare ideale g(t), dat\ de (6.23).
Ca o alternativ\, reconstruc]ia semnalului analogic din e[antioanele sale
poate fi vazut\ ca un proces de filtrare liniar\ `n care semnalul discret se
aplic\ unui filtru analogic.
Rela]ia dintre spectrul semnalului discret [i al celui analogic de band\
limitat\ este (figura 6.3)
F
F
F S
(6.25)
X = FS X a (F )
2
FS
n acest caz nu exist\ eroare alias [i, deci, spectrul semnalului
discret este identic (pn\ la un factor de scal\, FS) cu spectrul semnalului
F
1
analogic n intervalul fundamental de frecven]e F S sau f .
2
2
Acesta poate fi extras prin filtrare trece jos ideal\.
Dac\ filtrul de reconstruc]ie Hr(F) are r\spunsul `n frecven]\

T , | F |< Fc
H r (F ) =
0, | F |> Fc

(6.26)

[i, dac\ frecven]a de t\iere Fc a filtrului de reconstruc]ie satisface condi]ia


B Fc Fs B
(6.27)
atunci spectrul semnalului ref\cut X a ( F ) este

X a ( F ) = H r ( F ) X ( F / Fs )

205

(6.28)

Dac\ se aplic\ semnalul e[antionat x[n] la intrarea filtrului ce are


r\spunsul `n frecven]\ dat de (6.26) [i care respect\ condi]ia (6.27), atunci
la ie[irea sa se ob]ine semnalul x a (t ) care are spectrul X a ( F ) egal cu
spectrul semnalului xa (t ) , care a fost e[antionat. Egalitatea spectrelor
atrage dup\ sine egalitatea aproape peste tot a semnalelor [NC]
x a (t ) = xa (t ) a. p.t.
(6.29)
Semnalul de la ie[irea filtrului de reconstruc]ie se ob]ine cu
ajutorul opera]iei de convolu]ie `ntre semnalul e[antionat aplicat la
intrarea filtrului [i func]ia pondere a filtrului de reconstruc]ie. Func]ia
pondere a filtrului de reconstruc]ie este

hr (t ) = T

Fc

e j 2Ft dF = T

Fc

sin 2Fc t
t

(6.30)

Conform rela]iei (6.29), la ie[irea filtrului rezult\ semnalul analogic


original

x a (t ) = hr (t ) x a (nT ) = hr (t )

n =

(nT )[hr (t ) (t nT )] =

~nlocuind (6.30) `n (6.31), rezult\


x a (t ) =

n =

(nT )T

n =

(6.31)

n =

(nT ) (t nT ) =

(nT )hr (t nT )

sin 2Fc (t nT )
=
(t nT )

(6.32)
2 Fc sin 2Fc (t nT )
xa (nT ) F 2F (t nT )
n =
s
c
~n cazul Fs=2B, conform rela]iei (6.27), frecven]a de t\iere a filtrului de
reconstruc]ie devine Fc=B=Fs/2=1/2T [i rela]ia de reconstruire (6.32) se
particularizeaz\, devenind

sin (t nT )

n sin 2B(t n / 2 B)
T
(6.33)
x a (t ) = x a (nT )
= xa

2 B 2B(t n / 2 B)

n =
n =
(t nT )
T
Se observ\ c\ pentru frecven]a de t\iere Fc=Fs/2, care este frecven]a de
t\iere maxim\ posibil\ a filtrului trece jos, func]ia pondere a filtrului de
reconstruc]ie este egal\ cu func]ia de interpolare ideal\. Reconstruc]ia
semnalului vazut\ ca un proces de filtrare este ilustrat\ `n figura 6.5. ~n
figura 6.6. se prezint\ r\spunsul `n frecven]\ [i r\spunsul la impuls al
filtrului trece jos ideal.

206

Semnal de
intrare

xa (nT ) (t nT )

n =

FTJ
ideal

Semnal
reconstruit

x a (t ) =

n =

x a (nT )

sin( / T )(t nT )
( / T )(t nT )

Figura 6.5. Reconstruc]ia semnalului ca un proces de filtrare

Figura 6.6. R\spunsul `n frecven]\ (a) [i la impuls (b) al FTJ

~n figura 6.7 se prezint\ semnalul analogic reconstruit prin suma


(6.33). Se observ\ c\ `n punctele de e[antionare to]i termenii sumei sunt
nuli, cu excep]ia unuia, a c\rui valoare este egal\ cu valoarea
e[antionului. ~ntre dou\ puncte de e[antionare, semnalul se reconstruie[te
prin contribu]ia tuturor termenilor sumei.

Figura 6.7. Semnalul xa(t) reconstruit prin suma (6.33)

Filtrul trece jos ideal de reconstruc]ie este necauzal [i, deci,


nerealizabil fizic. Reconstruc]ia ob]inut\ prin filtrare trece jos a
semnalului e[antionat nu este singura posibil\. Exist\ [i alte metode de
interpolare, dar ele sunt aproxim\ri, pe cnd rela]iile deduse pn\ acum

207

sunt egalit\]i. Semnalul reconstruit xr(t) nu va mai fi egal cu xa(t), ci se


poate scrie doar c\ xr (t ) xa (t ) .
~n continuare vor fi prezentate numai cteva tehnici practice
simple, bazate pe interpolare constant\ [i liniar\, dar evident, neideale,
care vor fi tratate drept opera]ii de filtrare liniar\.
Interpolarea de ordinul zero
Un interpolator de ordinul zero aproximeaz\ semnalul analogic
printr-o serie de impulsuri rectangulare a c\ror `n\l]ime este egal\ cu
valoarea e[antionului de semnal. Figura 6.8a prezint\ aproximarea
semnalului analogic xa(t) printr-o intrrpolare de ordinul zero. Dup\ cum
se observ\, x a (t ) este un semnal `n trepte de amplitudine egal\ cu
valoarea e[antionului pe care o p\strez\ T secunde. La apari]ia
urm\torului e[antion, semnalul sare la urm\toarea valoare pentru T
secunde [. a. m. d. Dac\ aceast\ procedur\ este v\zut\ ca filtrare liniar\,
situa]ie prezentat\ `n figura 6.8b, r\spunsul la impuls al interpolatorului
de ordinul zero este
1, 0 t T
h(t ) =
(6.34)
0, in rest
Acesta este prezentat `n figura 6.8c.

Figura 6.8. a) Aproximarea unui semnal analogic prin interpolare de ordinul zero, b)
interpretarea nterpol\rii ca filtrare liniar\, c) r\spunsul al impuls al interpolatorului de
ordin zero

R\spunsul corespunz\tor `n frecven]\ este

sin FT jFT
H ( F ) = h(t )e j 2Ft dt = e j 2Ft dt = T
e

FT

208

(6.35)

R\spunsurile de modul [i faz\ ale filtrului H(F) sunt date `n figura 6.9.
Pentru compara]ie, r\spunsul `n frecven]\ al interpolatorului ideal este
suprapus (reprezentat punctat) peste caracteristica de modul a
interpolatorului de ordinul zero.

Figura 6.9. a) Caracteristica de modul b) caracteristica de faz\ a interpolatorului de


ordinul zero

Se observ\ c\ interpolatorul de ordinul zero nu are o caracteristic\ cu


panta de t\iere abrupt\, lucru care se datoreaz\ alurii abrupte a lui h(t). Ca
urmare a acestui lucru, interpolatorul de ordinul zero permite trecerea
frecven]elor alias nedorite (superioare lui Fs/2). Pentru a remedia aceast\
problem\, se obi[nuie[te a se filtra trece jos semnalul x a (t ) , opera]ie prin
care acesta devine mai neted.
Interpolarea de ordinul `nti
~nterpolarea de ordinul `nti aproximeaz\ semnalul xa(t) cu
segmente de dreapt\, care au panta determinat\ de e[antionul curent
xa(nT) [i cel precedent xa(nT-T). Ilustrarea acestei tehnici de reconstruc]ie
este prezentat\ `n figura 6.10a. Rela]ia matematic\ `ntre e[antioanele de
intrare [i semnalul de ie[ire este
x (nT ) xa (nT T )
x a (t ) = x a (nT ) + a
(t nT ), nT t < (n + 1)T (6.36)
T

209

Figura 6.10. a) Aproximarea unui semnal analogic prin interpolare de ordinul `nti b)
r\spunsul al impuls al interpolatorului de ordin `nti

Dac\ interpolarea de ordinul `nti este vazut\ ca o filtrare liniar\,


r\spunsul la impuls al interpolatorului este
t

1+ T , 0 t T
t
h(t ) = 1 , T t 2T
(6.37)
T

0 in rest

Figura 6.11. a) Caracteristica de modul b) caracteristica de faz\ a interpolatorului de


ordinul `nti

Acesta este reprezentat `n figura 6.10b. R\spunsul `n frecven]\ al


interpolatorului de ordinul `nti este

210

sin FT j ( F )
H ( F ) = T (1 + 4F 2T 2 )1 / 2
(6.38)
e
FT
unde faza ( F ) = FT + arctg (2FT ) . Caracteristicile de modul [i de
faz\ sunt prezentate `n figura 6.11a [i b.
Deoarece, dup\ cum se observ\ din figura 6.11a, [i aceast\ tehnic\
de reconstruc]ie introduce distorsiuni datorit\ trecerii componentelor de
frecven]\ mai mari dect Fs/2, interpolatorul de ordinul inti este urmat de
un FTJ care s\ atenueze frecven]ele superioare frecven]ei de folding.
Vrfurile din H(F) din interiorul benzii | F | Fs / 2 pot fi nedorite `n
multe aplica]ii, caz `n care este posibil\ modificarea r\spunsului la impuls
prin reducerea pantei cu un factor < 1 , fapt ce are ca rezultat ob]inerea
unui r\spuns la impuls h(t) reprezentat `n figura 6.12a. R\spunsul `n
frecven]\ corespunz\tor este [PM]
sin FT jFT sin FT

H ( f ) = T 1 + (1 + j 2FT )
e
(6.39)
FT
FT

Acesta este reprezentat `n figura 6.12b pentru = 0,5, = 0,3, = 0,1 . Se


observ\ reducerea vrfurilor pentru = 0,3 [i dispari]ia lor pentru
= 0,1 .

Figura 6.12. R\spunsul la impuls (a) [i caracteristica de modul (b) ale interpolatorului de
ordinul `nti modificat

Interpolarea liniar\ cu `ntrziere


Prin modul de operare a interpolatorului de ordinul `nti se
efectueaz\ o extrapolare liniar\ sau o predic]ie liniar\ a e[antionului

211

urm\tor al semnalului pe baza e[antionului xa(nT) [i xa(nT-T). Ca urmare,


semnalul estimat x a (t ) prezint\ salturi `n punctele de e[antionare.
Acestea pot fi evitate prin introducerea unei `ntrzieri de un e[antion `n
procesul de reconstruc]ie [i punctele de e[antionare succesive pot fi
conectate prin linii drepte. Semnalul rezultat prin acest tip de interpolare
este
x (nT ) xa (nT T )
x a (t ) = x a (nT T ) + a
(t nT ), nT t < (n + 1)T
T
(6.40)
Aceast\ tehnic\ de interpolare este prezentat\ `n figura 6.13. Se observ\
c\ la t=nT x a (nT ) = xa (nT T ) [i la t=nT+T x a (nT + T ) = x a (nT ) .

Figura 6.13. a) Aproximarea unui semnal analogic prin interpolare de ordinul `nti cu
`ntrziere

Dac\ aceast\ tehnic\ este vazut\ ca o opera]ie de filtrare liniar\,


interpolatorul liniar cu `ntrziere de T secunde are r\spunsul la impuls
t
T , 0t <T

t
h(t ) = 2 , T t < 2T
(6.41)
T
0 in rest

R\spunsul `n frecven]\ corespunz\tor este


2

sin FT jFT
H ( F ) = h(t )e j 2Ft dt = T
(6.42)
e

FT
R\spunsul la impuls, caracteristica de modul [i de faz\ ale
interpolatorului liniar cu `ntrziere sunt prezentate `n figura 6. 14. Se
observ\ caderea abrupt\ a caracteristicii de modul [i prezen]a unor lobi

212

mici pentru frecven]e mai mari dect Fs. ~n plus, datorit\ `ntrzierii,
caracteristica de faz\ este liniar\. Prin folosirea unui FTJ cu t\iere abrupt\
`n jurul frecven]ei de Fs/2 dup\ acest interpolator, sunt reduse
componentele de frecven]\ `nalt\ din semnalul x a (t ) .

Figura 6.14. R\spunsul la impuls (a) [i caracteristica de modul (b) ale interpolatorului de
ordinul `nti cu `ntrziere

Exemplul 6.1.
S\ se determine spectrul semnalului analogic aperiodic
a t
xa (t ) = e , a > 0 [i spectrul semnalului discret ob]inut prin e[antionarea
uniform\ a semnalului analogic.
Solu]ie.
Spectrul semnalului analogic este

X a (F ) =

(t )e

j 2Ft

dt = e

a t

j 2Ft

= e at e j 2Ft dt + e at e j 2Ft dt =

dt = e e
at

j 2Ft

dt + e at e j 2Ft dt =
0

1
1
2a
+
= 2
a j 2F a + j 2F a + 4 2 F 2

Presupunnd

semnalul analogic e[antionat cu


1
e[antionare Fs = , se ob]ine semnalul discret
T
n
aT n
x[n] = xa (nT ) = e
= (e aT )
Spectrul semnalului discretizat prin e[antionare este

213

(6.43)
frecven]a de

(6.44)

F
X
Fs

= X ( f ) = x[n]e j 2fn = (e aT ) |n| e j 2fn = (e aT ) n e j 2fn +


n =
n =
n =

1 e 2 aT
1 e 2 aT
=
+ (e aT ) n e j 2fn =
1 + e 2 aT 2e aT cos 2f 1 + e 2 aT 2e aT cos 2 F
n =0
Fs
(6.45)
F
Acesta este periodic de perioad\ Fs, datorit\ termenului cos 2
.
Fs
Spectrul X a (F ) fiind de band\ nelimitat\, eroarea alias nu mai poate fi
evitat\. Conform rela]iei (6.18), spectrul semnalului reconstituit x a (t ) este

1
1 e 2 aT
=

F
Fs 1 + e 2 aT 2e aT cos 2
Fs

X a (F ) =
(6.46)
Fs
T (1 e 2 aT )
1
F

=
,
=
2 aT
2 2T
2e aT cos 2FT
1+ e
F
1
0,
s
F
>
=

2 2T
Comparnd spectrul semnalului nee[antionat (rela]ia (6.43)) cu cel al
semnalului e[antionat (rela]ia (6.46)), rezult\ c\ acestea pot s\ difere
destul de mult, pentru o frecven]\ de e[antionare aleas\ neadecvat.
~n figura 6.15a se prezint\ semnalul analogic original xa(t) [i spectrul s\u
pentru a=1. Semnalul e[antionat x[n] [i spectrul X(F/Fs), pentru Fs=1Hz
sunt date `n figura 6.15b. Se observ\ distorsiunea de tip alias ce apare `n
domeniul frecven]\ [i diferen]a dintre semnalul ref\cut x a (t ) , reprezentat
`n figura 6.15c, pentru Fs=1Hz [i semnalul original. Prin cre[terea
frecven]ei de e[antionare, se pot reduce substan]ial distorsiunile alias,
situa]ie reprezentat\ `n figura 6.15d, pentru freven]a de e[antionare Fs=20
Hz.
~ntadev\r, dac\ `n rela]ia (6.46) T este considerat suficient de mic, astfel
`nct | 2aT |<< 1 , num\r\torul [i numitorul pot fi descompuse `n puteri ale
1
ob]inndu-se
lui T pn\ la ordinul doi, pentru F
2T

214

T (1 e 2 aT )

1 + e 2 aT 2e aT cos 2FT
T [1 (1 2aT + 2a 2T 2 )]

1
1 + (1 2aT + 2a 2T 2 ) 2(1 aT + a 2T 2 )(1 2 2 F 2T 2 )
2
s-au
unde,
pentru
<< 1 ,
X a (F ) =

(6.47)

folosit

1
1
aproxima]iile: e 1 + + 2 ; cos 1 2 .
2
2
Neglijnd termenii de ordin mai mare ca doi `n (6.47), se ob]ine
2aT
X a (F )
=
2 2
2 2aT + 2a T 2 + 2aT a 2T 2 + 4 2 F 2T 2
(6.48)
2aT 2
2a
= 2 2
=
a T + 4 2 F 2T 2 a 2 + 4 2 F 2
Pentru acest caz particular s-a ar\tat c\ spectrul semnalului reconstituit se
apropie de spectrul semnalului analogic de band\ nelimitat\, dac\
frecven]a de e[antionare cre[te suficient de mult.

Figura 6.15. (a) Semnalul analogic xa(t) [i spectrul s\u Xa(F) pentru a=1; (b)

x[n] = xa (nT ) [i spectrul s\u pentru a=1 [i Fs=1 Hz; (c) semnalul reconstruit x a (t )
pentru Fs=1Hz; (d) semnalul reconstruit x a (t ) pentru Fs=20Hz.

215

6.1.4. Erori `n e[antionarea ideal\ a unui semnal analogic


~n acest paragraf se vor considera numai erorile determinate de
suprapunerea lobilor spectrali. ~n figura 6.16 este prezentat un semnal de
band\ nelimitat\, e[antionat cu frecven]a Fs=1/T. Lobii spectrali se
`ntrep\trund, astfel `nct, filtrnd cu un FTJ ideal cu frcven]a de t\iere
Fc=Fs/2, `n banda filtrului nu intr\ numai o parte din lobul central, ci [i
"cozi" spectrale ale lobilor vecini.

Figura 6.16. Semnalul de band\ nelimitat\ are lobii `ntrep\trun[i. In intervalul de filtrare
intr\ contribu]ia tuturor lobilor spectrali

Din figura 6.3 se observ\ c\ [i `n cazul semnalelor de band\ limitat\ pot


ap\rea asemenea efecte, prin care "cozi" spectrale ale lobilor vecini intr\
`n intervalul spectral de filtrare, determinnd fenomenul de aliere sau
eroare alias. Eroarea alias este definit\ cu rela]ia
(6.49)
ea (t ) = xa (t ) x a (t ) = xa (t ) xa (nT ) hr (t )

216

Evaluarea acestei erori se efectueaz\ pentru semnalul xa (t )


X a ( F ) ,
al c\rui spectru Xa(F) are suportul nem\rginit, utiliznd rela]iile de
refacere a semnalului.

X a ( F )e

ea (t ) =

j 2Ft

dF

Fs / 2 n =

Fs / 2

( F )e j 2Ft dF

( F nFs )e j 2Ft =
(6.50)

( 2 n +1) Fs / 2

(u )e j 2ut e jn 2Fst du

a
n = ( 2 n 1) Fs / 2

Cu transformarea n n [i u F [i exprimarea primei integrale ca o


sum\ de integrale, rela]ia (6.50) devine
ea (t ) =

a
n = ( 2 n 1) Fs / 2

(1 e

n =

( 2 n +1) Fs / 2

jn 2Fs t

( F )e

( 2 n +1) Fs / 2

a
( 2 n 1) Fs / 2

j 2Ft

jn 2Fs t

| ea (t ) | 2

a
n = ( 2 n 1) Fs / 2

( F )e j 2Ft e jn 2Fst dF =

( F )e j 2Ft dF
|1 e

}innd seama de faptul c\


majorat, ob]inndu-se

dF

( 2 n +1) Fs / 2

( 2 n +1) Fs / 2

| X

n = ( 2 n 1) Fs / 2

jn 2Fs t

(6.51)
| 2 , modulul erorii poate fi

( F ) |= 2 X a ( F )e j 2Ft dF

(6.52)

Rela]ia (6.51) se poate exprima `n forme alternative care permit stabilirea


unor margini superioare mai fine dect (6.52) pentru modulul erorii.
ea (t ) =

(1 e

jn 2Fs t

( 2 n +1) Fs / 2

n =

(1 e

jn 2Fs t

a
( 2 n 1) Fs / 2

( 2 n +1) Fs / 2

n =1

a
( 2 n 1) Fs / 2

( F )e

( F )e j 2Ft dF +
(6.53)

j 2Ft

dF

Efectund `n prima sum\ schimbarea de indice n=-k, inversarea limitelor


integralei [i revenind apoi la indicele n, se ob]ine

( 2 n +1) Fs / 2

n =1

a
( 2 n 1) Fs / 2

ea (t ) = (1 e jn 2Fst )
(1 e

jn 2Fs t

( 2 n +1) Fs / 2

( F )e

a
( 2 n 1) Fs / 2

217

j 2Ft

( F )e j 2Ft dF

dF

(6.54)

Efectund `n a doua integral\ schimbarea de variabil\ F=-u [i revenind


apoi la variabila F se ob]ine

( 2 n +1) Fs / 2

n =1

a
( 2 n 1) Fs / 2

ea (t ) = (2 j sin( nFs t )) [e jnFs t


+ e jn 2Fs t

( 2 n +1) Fs / 2

a
( 2 n 1) Fs / 2

( F )e j 2Ft dF +

( F )e j 2Ft ( dF )] =

( 2 n +1) Fs / 2

n =1

a
( 2 n 1) Fs / 2

= 2 j [sin(nFs t )

( F )e j 2 ( F Fs / 2) t X a ( F )e j 2 ( F Fs / 2 ) t dF ]

(6.55)
Exprimnd transformata Fourier Xa(F) sub forma
X a ( F ) =| X a ( F ) | e j ( F )
(6.56)
[i ]innd cont c\ | X a (F ) | este o func]ie par\, iar (F ) impar\, rela]ia
(6.55) devine

ea (t ) = 2 j [sin(nFs t )
n =1

( 2 n +1) Fs / 2

| X

( F ) | (e j[ 2 ( F Fs / 2) t + ( F )] e j[ 2 ( F Fs / 2 )t ( F )] dF ]

( 2 n 1) Fs / 2

(6.57)
Prin major\ri succesive `n rela]ia (6.57) se poate ajunge la diferite
expresii ale marginii superioare ale erorii alias.
6.1.5. E[antionarea ideal\ a semnalelor analogice periodice
Se consider\ un semnal periodic, de perioad\ Tp, al c\rui spectru
se `ntinde pn\ la a N-a armonic\ (cea mai mare frecven]\ din spectrul
semnalului periodic este NF0, unde F0=1/T este frecven]a fundamental\).
Un astfel de spectru este prezentat `n figura 6.17a. Dac\ se e[antioneaz\
semnalul cu frecven]a Fs, aflat\ `n rela]ie armonic\ cu fundamentala,
Fs=(M/K)F0, M , K N , semnalul e[antionat r\mne periodic. Aceast\
e[antionare se nume[te de tip "corelat".
Dac\ xp(t)=xp(t+T), x p (t ) {c k } , k=-N,,0,N, atunci
X p (F ) =

2c ( F kF )

k = N

(6.58)

Dup\ e[antionarea corelat\ cu frecven]a Fs=1/T, se ob]ine semnalul


discret
(6.59)
x p [n] = x p (t ) T (t )
al c\rui spectru este

218

F
X p = F{x p (t ) T (t )} = X p ( F ) F{ T (t )} =
Fs
(6.60)
1
M
= ( F kF0 n F0 )
T k =
K
Din rela]ia (6.60) se constat\ c\ spectrul semnalului discret ob]inut prin
e[antionarea semnalului periodic este o repetare periodic\, cu perioada
(M/K)F0 a spectrului de linii {ck } al semnalului periodic, scalat
corespunz\tor. ~n figura 6.17b este prezentat spectrul semnalului periodic
e[antionat.

Figura 6.17. Spectrul unui semnal periodic (a), spectrul semnalului peridic e[antionat
corelat (b)

Lobul spectral corespunz\tor lui n=0 se `ntinde pe semiaxa


pozitiv\ a frecven]elor pn\ la NF0, c\reia `i corespunde termenul
2c N ( F NF0 ) . Cea mai mic\ frecven]\ a unei componente spectrale
din lobul corespunz\tor lui n=1 este (((M/K)-N)F0, c\reia `i corespunde
termenul 2c N ( F + NF0 ( M / K ) F0 ) . Lobii spectrali corespunz\tori
lui n=0 [i n=1 nu se suprapun dac\
M
M

NF0 < N F0 ;
> 2N
(6.61)
K
K

Dac\ toate e[antioanele se prelucreaz\ `n aceea[i perioad\ a semnalului


(K=1), condi]ia (6.61) devine M>2N, adic\ num\rul de e[antioane
prelevate trebuie s\ fie un `ntreg mai mare dect 2N; M 2 N + 1 .

219

Teorema e[antion\rii semnalelor periodice (1)


Dac\ semnalul xp(t), periodic, de perioad\ Tp, F0=1/Tp, are spectrul limitat
la a N-a armonic\, atunci semnalul poate fi unic determinat din
e[antioanele sale {x p (kTs ) 0 k M 1} , prelevate `n decursul unei
singure perioade Tp a fundamentalei, dac\ M 2 N + 1 . La limit\, din
fundamental\ se preleveaz\ trei e[antioane. Dac\ cea mai mare frecven]\
din spectrul semnalului este B=NF0, atunci condi]ia de e[antionare f\r\
erori devine
Fs = MF0 2 B + F0 = (2 N + 1) F0
(6.62)
Se observ\ ca Fs este un multiplu `ntreg al lui F0. Diagrama spectral\ din
figura 6.17 ilustreaz\ e[antionarea corelat\ (Fs=MF0) a unui semnal
periodic cu spectrul limitat. Pentru ca grupurile spectrale ale coeficien]ilor
s\ nu se suprapun\, este necesar ca Fs s\ fie la limit\ (2N+1)F0. ~n rest,
frecven]a de e[antionare poate fi mai mare, dar, pentru p\strarea
periodicit\]ii [i dup\ e[antionare, este necesar ca Fs s\ fie `n rela]ie
armonic\ cu F0.
Dac\ Fs [i F0 sunt `n rela]ie armonic\,
M
Fs =
F0 , K 1 , teorema e[antion\rii poate fi reformulat\.
K
Teorema e[antion\rii semnalelor periodice (2)
Dac\ semnalul xp(t), periodic, de perioad\ Tp, F0=1/Tp, are spectrul
limitat la a N-a armonic\, atunci semnalul poate fi unic determinat din
e[antioanele sale {x p (kTs ) 0 k [M / K ] 1} , prelevate `n decursul unei
singure perioade Tp a fundamentalei, dac\ M>2KN. Din fundamental\ se
preleveaz\ cel pu]in dou\ e[antioane distan]ate la mai pu]in de T/2, altfel
ajungndu-se la cazul e[antion\rii zerourilor fundamentalei.
E[antionarea semnalelor periodice de spectru limitat poate fi
realizat\ [i `n urm\torul mod: `n loc de a se re]ine cte un e[antion la
Tp/M secunde `ntr-o perioad\ fundamental\, se pot preleva e[antioane
adiacente din perioade succesive, situa]ie ilustrat\ `n figura 6.18, unde
incrementul pasului de e[antionare s-a considerat Tp/M.
T = Tp + Tp / M
(6.63)

Figura 6.18. E[antionarea unui semnal periodic de perioad\ Tp cu pasul T = T p + t ,


unde t = T p / M , M N .

220

~n acest caz prelucrarea dureaz\ M perioade. Este posibil\ [i o e[antionare


mai rar\, prin prelevarea e[antioanelor adiacente la un interval de K
perioade Tp plus incrementul Tp/M, adic\
(6.64)
T = KT p + T p / M
~n acest caz prelevarea celor M e[antioane dureaz\ KMTp secunde, adic\
KM perioade. Semnalul rezultat prin e[antionare are componente
spectrale foarte apropiate de zero, cu att mai apropiate cu ct K este mai
mare. Principiul de e[antionare prezentat este folosit `n osciloscoapele cu
e[antionare, unde frecven]a semnalului este cobort\ prin e[antionare
dup\ rela]ia (6.64) la valori la care se pot utiliza amplificatoare obi[nuite
(cele de band\ foarte larg\ sunt mai dificil de construit).

Figura 6.19. Spectrul unui semnal periodic (a), spectrul ob]inut prin e[antionarea unui
semnal periodic cu respectarea teoremei e[antion\rii (b) [i (c) [i f\r\ respectarea
teoremei e[antion\rii (d), (e), (f).

221

~n figura 6.19 sunt prezentate spectrele ob]inute prin e[antionarea


unui cosinusoide de frecven]\ F0. S-a considerat numai cazul e[antion\rii
corelate, cu Fs=(M/K)F0. ~n figura 6.19b [i c sunt date spectrele ob]inute
pentru Fs=4F0>2F0 [i Fs=3F0>2F0. ~n banda de trecere a filtrului de
reconstruc]ie, cu frecven]a de t\iere Fc, F0 Fc Fs / 2 intr\ numai o
pereche de linii spectrale, astfel c\ semnalul ini]ial poate fi reconstruit din
e[antioanele sale. Componenta din stnga s-a figurat cu linie `ntrerupt\.
Dac\ se e[antioneaz\ cu Fs=2F0, far\ a respecta teorema e[antion\rii
semnalelor periodice, care cere strict inegalitatea Fs>2F0 ([i nu admite
egalitatea ca `n cazul semnalelor aperiodice) apare fenomenul de
suprapunere a lobilor spectrului, adic\ o linie din stnga este suprapus\
peste o linie din dreapta. Pentru frecven]e Fs<2F0, se prezint\ dou\ cazuri.
Din figura 6.19e se observ\ c\ pentru Fs=(3/2)F0 lobii spectrali de diverse
ordine se `ntrep\trund. Pentru Fs=F0 (figura 6.19f) se produce din nou
supapunerea liniilor spectrale. ~n concluzie, refacerea semnalului periodic
ini]ial din e[antioanele sale, folosind un FTJ ideal, se poate realiza numai
dac\ Fs>2F0.

6.2. E[antionarea semnalelor discrete


6.2.1. Spectrul semnalului discret e[antionat
~n prelucrarea numeric\ a semnalelor exist\ situa]ii `n care, pentru
a cre[te viteza de lucru, se impune reducerea frecven]ei semnalelor. Acest
lucru se realizeaz\ prin e[antionarea semnalelor discrete, care const\ `n
re]inerea e[antioanelor acestuia la intervale care sunt un multiplu al unui
`ntreg pozitiv M.
Fie semnalul M [n] , care este un tren de impulsuri cu perioada M.
M [ n] =

[n kM ]

(6.65)

k =

~n spa]iul semnalelor discrete acesta este echivalent distribu]iei Dirac


periodice din spa]iul semnalelor analogice, dar, spre deosebire de aceasta,
este o func]ie obi[nuit\.
Dac\ x[n] este un semnal discret, atunci semnalul discret e[antionat
x1[n] este
x[n], n = 0; M ; 2 M ...
x1[n] =
(6.66)
0 in rest

Acesta se ob]ine prin produsul

222

Figura 6.20. Semnalul discret x[n] (a), semnalul M [n] (b), semnalul discret
e[antionat (c) [i semnalul discret e[antionat [i decimat (d)

k =

k =

x1[n] = x[n] M [n] = x[n] [n kM ] = x[kM ] [n kM ]

(6.67)

~n figura 6.20a este prezentat semnalul discret x[n] , `n figura 20b semnalul periodic M [n] , iar `n figura 6.20c - semnalul discret x1[n]
e[antionat cu pasul M=3. Acesta con]ine cte dou\ valori nule (`n general
M-1) `ntre dou\ valori prelevate din x[n] . Se presupune c\ restric]ia la
perioada principal\ a spectrului X() are suportul [ M , M ] , cu
M < . Spectrul semnalului M [n] este
2
(6.68)
M
k =
Aplicnd teorema produsului semnalelor (teorema convolu]iei circulare `n
domeniul spectrului) rezult\ spectrul semnalului e[antionat, X 1 ( ) .
1
2
2
X 1 ( ) = F{x[n] M [n]} =
X ( )
( k s ); s =
(6.69)

2
M k =
M
Convolu]ia circular\ se efectueaz\ pe o perioad\ de lungime 2 .
Suportul distribu]iei Dirac ( k s ) din acest interval se reduce la un
F { M [n]} = s

( ),
s

223

s =

2k
< 2 , adic\
M
0 k < M . Drept urmare, indicele k din (6.69) se limiteaz\ la
0 k M 1 [i spectrul semnalului discret e[antionat X 1 ( ) reprezint\
prelungirea prin periodicitate a restric]iei la perioada principal\
M 1
1
1 M 1
2
X 1r ( ) =
X r ( ) ( k s ) =
X r ( k s ); s =
(6.70)

M
M k =0
M
k =0
unde X r ( ) reprezint\ restric]ia la aceea[i perioad\ principal\ a lui
X ( ) .
Deoarece X ( ) este periodic de perioad\ 2 , din (6.70) se observ\ c\
prelungirea prin periodicitate cu perioada 2 a lui X r ( ) este [i
periodic\ de perioad\ s , X 1 ( + s ) = X 1 ( ) .
~n figura 6.21a s-a reprezentat spectrul semnalului discret X ( ) ,
periodic de perioad\ 2 , iar `n figura 6.21b, spectrul semnalului
e[antionat, periodic de perioad\ 2 / M , pentru M=3. Lobul spectral k=0
se `ntinde pe semiaxa > 0 pn\ la M . Primul lob vecin axat pe s are
frecven]a minim\ s M . Condi]ia de evitare a suprapunerii lobilor
spectrali este M s M adic\
s 2 M , s = 2 / M
(6.71)
Rela]ia (6.71) este asem\n\toare cu (6.19) stabilit\ pentru semnale
analogice.
punct = k s , `n condi]ia 0 k s < 2 sau 0

Figura 6.21. Spectrul unui semnal discret (a) [i spectrul semnalului discret e[antionat
pentru M=3 (b) cu respectarea rela]iei (6.71)

224

Cum M = T M , unde M = 2B este pulsa]ia maxim\ a semnalului


analogic din care provine x[n] , iar T pasul de e[antionare a semnalului
analogic, rezult\

MT
; T '
; T ' = MT
(6.72)
M
M
Aceasta `nseamn\ c\ semnalul discret x[n] poate fi e[antionat cu pasul M
f\r\ s\ apar\ suprapunerea lobilor spectrali dac\ semnalul analogic ar fi
putut s\ fie e[antionat cu perioada T'=MT, respectndu-se teorema WKS.
~n aceste condi]ii, semnalul analogic a fost, ini]ial, suprae[antionat. Dac\
(6.71) nu este satisf\cut\, apare suprapunerea lobilor spectrali vecini,
situa]ie prezentat\ `n figura 6.22. Erorile care apar sunt de tip alias [i
semnalul discret ini]ial nu mai poate fi recuperat din spectrul semnalului
discret e[antionat.

Figura 6.22. Spectrul unui semnal discret (a) [i spectrul semnalului discret e[antionat
f\r\ respectarea rela]iei (6.71), adic\

s M < M

(b)

6.2.2. Reconstruirea semnalului discret din e[antioanele


sale
Dac\, dup\ e[antionare, lobii spectrali ai semnalului e[antionat nu
se suprapun, este posibil\ reconstruirea semnalului ini]ial din e[antioanele
sale x1[n] prin filtrare trece jos ideal\, efectuat\ cu un filtru de
reconstruc]ie H r ( ) , dup\ cum rezult\ din figura 6.23.

225

Figura 6.23. Reconstruirea semnalului discret din semnalul discret e[antionat prin filtrare
trece jos ideal\ cu c = s / 2

R\spunsul `n frecven]\ al filtrului este periodic de perioad\ 2 [i are


expresia
N , | 2k | c
H r ( ) =
; M c s M
(6.73)
0, in rest
R\spunsul la impuls al filtrului de reconstruc]ie este
sin n c

hr [n] =
;c = s =
(6.74)
n c
2 M
Semnalul filtrat X r ( ) este
X r ( ) = H r ( ) X 1 ( )
(6.75)
Semnalul ref\cut este

sin[( / M )n k ]
xr [n] = hr [n] x1[n] = x1[k ]hr [n k ] = x[kM ]
( / M )n k
k =
k =
(6.76)
deoarece x1[k ] = 0 pentru k Mn [i x1[kM ] = x[kM ] .

226

6.2.3. Decimarea unui semnal discret


Dup\ e[antionarea unui semnal discret rezult\ un semnal `n care,
`ntre dou\ valori re]inute, sunt intercalate M-1 zerouri care nu aduc nici o
informa]ie despre semnalul x[n] care a fost e[antionat. Acestea pot fi
omise, rezultnd un nou semnal, denumit "decimatul" semnalului
e[antionat, notat x D [n] . Din semnalul decimat se poate reconstrui
semnalul nedecimat prin inserarea a M-1 zerouri `ntre dou\ valori
consecutive. ~n figura 6.20d este reprezentat semnalul x D [n] rezultat prin
decimarea semnalului discret e[antionat x1[n] . ~ntre semnalul discret
decimat [i cel e[antionat exist\ rela]ia
x D [n] = x1[nM ]
(6.77)
unde M este factorul de decimare, num\r natural. Factorul de decimare
poate fi [i ra]ional pozitiv, dar acest lucru nu face obiectul paragrafului de
fa]\, ci al domeniului referitor la prelucrarea multirate a semnalelor.
Spectrul semnalului decimat se determin\ aplicnd transformata Fourier
`n timp discret
X D ( ) = F{x D [n]} =

n =

[n]e jn =

(6.78)

= x1 [nM ]e
= x1 [n]e
= X1
M
n =
n =
}innd seama de (6.70), rela]ia (6.78) conduce la restric]ia lui X D ( ) la
perioada principal\
1 M 1 k 2
X Dr ( ) =
(6.79)

Xr
M k =0 M

jn

jn / M

Se observ\ periodicitatea de perioad\ 2

a spectrului semnalului
1
X se anuleaz\ la
e[antionat [i decimat. Pentru k=0, lobul central
M M

= M , deci = M M . Prin urmare, lobii spectrali ai lui


argumentul
M
X D ( ) au `ntinderea de M ori mai mare dect a lobilor semnalului ini]ial.
~n figura 6.24 sunt ilustrate spectrul semnalului ini]ial X ( ) , spectrul
semnalului e[antionat cu M=2, X 1 ( ) , [i spectrul semnalului ob]inut
dup\ decimarea semnalului e[antionat X D ( ) .

227

Figura 6.24. Spectrul semnalului discret (a), spectrul semnalului discret e[antionat (b) [i
spectrul semnalului decimat (c).

6.3. E[antionarea spectrului unui semnal analogic


aperiodic de durat\ finit\
A[a cum s-a specificat `n paragraful 4.1.2, semnalele analogice
aperiodice, de energie finit\ au spectrul continuu. ~n cele ce urmeaz\, se
consider\ e[antionarea periodic\ a spectrului unui astfel de semnal,
urm\rindu-se apoi refacerea semnalului din e[antioanele prelevate
echidistant din spectrul s\u.
Fie xa(t) semnalul analogic aperiodic al c\rui spectru continuu este
Xa(F). Se presupune c\ se preleveaz\ e[antioane din Xa(F) distan]ate la F
Hertzi, ca n figura 6.25. Se pune apoi problema refacerii lui Xa(F) sau,
echivalent, xa(t) din e[antioanele Xa(kF); k Z .
Din punct de vedere matematic, aceast\ problem\ este dual\
e[antion\rii unui semnal continuu n domeniul timp. ~n urma e[antion\rii
spectrului continuu

X a (F ) =

x (t )e

j 2Ft

dt

(6.80)

se ob]ine
X a (kF ) =

x (t )e

j 2kFt

228

dt

(6.81)

Se define[te

perioada de e[antionare
1
TS =
F
Cu (6.82), rela]ia (6.81) devine
X a (kF ) =

x (t )e

j 2k

t
TS

(6.82)

dt

(6.83)

care este analog\ rela]iei (6.7) pentru e[antionarea n domeniul timp. Ca [i


n cazul men]ionat, domeniul de integrare se mparte ntr-o sum\ infinit\
de domenii de integrare, de l\]ime TS, [i se efectueaz\ schimbarea de
variabil\, astfel nct fiecare interval s\ fie translat n domeniul
T
T
fundamental S t S . Aceasta conduce la
2
2
t

TS / 2


j 2k TS
X a (kF ) = x a (t nTS )e
dt
n
=

TS / 2
care este dual\ rela]iei (6.14).

(6.84)

Xa(F)
Xa(kF)

kF

F
F

Fig 6.25. E[antionarea uniform\ a spectrului unui semnal analogic aperiodic

Semnalul
x p (t ) =
este periodic, de perioad\ TS =
Fourier
x p (t ) =

x (t nT )

n =

(6.85)

1
[i, deci, poate fi descompus n serie
F

c e

k =

j 2kFt

229

(6.86)

ck =

unde

1
TS

TS / 2

x (t )e

j 2kFt

(6.87)

TS / 2

Comparnd (6.87) cu (6.84), rezult\


1
ck =
X a (kF ) = FX a (kF )
k Z
(6.88)
TS
Din (6.88) se observ\ c\ e[antioanele spectrului Xa(F) corespund
(pn\ la un factor de scal\, F) coeficien]ilor Fourier ai semnalului
1
periodic xp(t), de perioad\ TS =
, dat de (6.85) [i reprezentat n figura
F
6.26.
xa(t)

(a)
xp(t)

-Ts

Ts>2

Ts

(b)
xp(t)

-Ts

Ts<2

Ts

Fig 6.26. (a) Semnal aperiodic de durat\


(c) finit\, (b) semnalul periodic rezultat din
e[antionarea spectrului unui semnal de energie finit\ limitat `n timp; (c) ilustrarea
aliasingului `n domeniul timp

Din figur\ se observ\ c\ refacerea semnalului xa(t) din xp(t) este


posibil\ dac\ xa(t) este limitat n timp la t (adic\ xa(t) = 0 pentru
T
TS
). Dac\ > S , nu este posibil\ refacerea exact\ a lui
2
2
xa(t), datorit\ suprapunerilor semnalului n domeniul timp.
t > , unde <

230

Dac\ semnalul analogic xa(t) este limitat n timp la Ts / 2 [i


e[antionarea spectrului se realizeaz\ cu o perioad\ TS > 2 , nu exist\
eroare alias, iar spectrul semnalului poate fi ref\cut f\r\ pierderi din
e[antioanele Xa(kF), utiliznd formula de interpolare

sin (F kF )

X a (F ) = X a (kF )
(6.89)

k =

(F kF )
F
care este dual\ rela]iei (6.22).
Cele prezentate n acest paragraf au n primul rnd importan]\
teoretic\, deoarece n cazurile practice, semnalul analogic este
transformat n semnal discret, iar e[antionarea n domeniul frecven]\ se
efectueaz\ asupra spectrului semnalului discretizat. Acest lucru face
obiectul paragrafului urm\tor.

6.4. E[antionarea spectrului unui semnal discret de


durat\ finit\
Semnalele discrete aperiodice, de energie finit\ au spectrul
continuu [i periodic. Fie un astfel de semnal x[n] cu transformata
Fourier:
X ( ) =

x[n]e

jn

(6.37)

n =

X()
X(k)

Fig. 6.27. E[antionarea spectrului unui semnal discret aperiodic

Se e[antioneaz\ X() la intervale echidistante, egale cu radiani ntre


dou\ e[antioane succesive. Deoarece X() este periodic de perioad\ 2,
sunt necesare numai e[antioanele din intervalul fundamental de frecven]\.

231

Se consider\ N e[antioane echidistante n intervalul fundamental


2
0 < 2 spa]iate la =
, ca n figura 6.27.
N
k
Se evalueaz\ (6.90) la = 2 , ob]inndu-se
N
n

j 2k
2
N
k = 0,1N-1
(6.91)
X
k = x[n]e
N n =
Suma din (6.91) se mparte ntr-un num\r infinit de sume, fiecare
con]innd N termeni
n
n
n
N 1
2 N 1
1
j 2k
j 2k
j 2k
2
N
N
N
+ x[n]e
+ x[n]e
+ .. =
X
k = .. + x[n]e
N
n= N
n =0
n= N
=

mN + N 1

x[n]e

j 2k

(6.92)

n
N

m = n = mN

Efectund schimbarea de variabil\ n=p+mN, schimbnd ordinea de


sumare [i apoi revenind la indicele k, rezult\
n
j 2k N
2 N 1
X
k = x[n mN ] e
N n=0 m=

(6.93)
Semnalul

x p [n] =

x[n mN ]

(6.94)

m =

ob]inut prin repetarea lui x[n] la fiecare N e[antioane este, evident,


periodic, de perioad\ N [i poate fi dezvoltat n serie Fourier
N 1

x p [n] = c k e

j 2k

n
N

n = 0,1,2N-1

(6.95)

k =0

cu coeficien]ii
n

j 2k
1 N 1
N
c k = x p [n]e
N n =0
Comparnd (6.96) cu (6.93), rezult\
1 2
ck = X
k
N N
[i, deci
n
1 N 1 2 j 2k N
x p [n] = X
k e
N k =0 N

232

k = 0,1N-1

(6.96)

k = 0,1N-1

(6.97)

(6.98)

Rela]ia (6.98) permite ob]inerea semnalului periodic

x p [n]

din

e[antioanele spectrului X(), dar nu implic\ refacerea lui X() sau x[n]
din e[antioanele spectrului. Pentru a ar\ta aceasta, trebuie considerat\
rela]ia ntre x[n] [i x p [n] . Dac\ x p [n] este repetarea periodic\ a lui x[n]
ca n rela]ia (6.94), atunci x[n] se poate reface din x p [n] dac\ nu exist\
suprapunere (eroare alias) n domeniul timp, adic\ dac\ x[n] este limitat
n timp la mai pu]in de perioada N a lui x p [n] .
Acest lucru este ilustrat n figura 6.28, unde s-a considerat
secven]a de durat\ finit\ x[n] , diferit\ de zero n intervalul 0 n L 1 .
Se observ\ c\, dac\ N L ,
x[n] = x p [n],
0 n N 1
(6.99)
astfel nct x[n] se poate reface din x p [n] f\r\ eroare.
x[n]

(a)


xp[n]

NL



N
L

(b)
N<L

xp[n]

n
N
(c)
Fig. 6.28.a) Secven]\ aperiodic\ de lungime L, b) repetarea sa periodic\ pentru NL
(f\r\ eroare alias, c) cazul N<L (eroare alias)
-N

Dac\ ns\, N<L, nu este posibil\ refacerea lui x[n] din x p [n]
datorit\ erorii alias n domeniul timp.

233

n concluzie, spectrul unui semnal discret aperiodic de durat\ L


poate fi exact ref\cut din e[antioanele sale prelevate la frecven]ele
2k
k =
, dac\ N L . Procedeul este urm\torul: nti se calculeaz\
N
x p [n] , n=0,1,N-1 din (6.98), apoi se define[te func]ia

x [n ] 0 n N 1
x[n] = p
(6.100)
in
rest
0
[i, n final, se calculeaz\ X() cu (6.90).
Ca [i n cazul semnalelor analogice, este posibil a se exprima
2k
spectrul X() direct n func]ie de e[antioanele sale X
, k =
N
0,1,N.
Se presupune N L [i
n
1 N 1 2 j 2k N
x[n] = X
k e
; 0 n N 1
(6.101)
N k =0 N
[i
n
N 1
1 N 1 2 j 2k N jn
=
X ( ) = X
k e
e
N
n = 0 N k =0

(6.102)

k
2

N 1
2 1 N 1 j N n
k e
= X

N N n =0
k =0

Suma din interior reprezint\ func]ia de interpolare de baz\, deplasat\ cu


2k/N n frecven]\. Dac\ se define[te
N
sin

( N 1)
jN
N 1
1
1 1 e
2 j 2

jn
(6.103)
P( ) = e
e
=
=
N n =0
N 1 e j

N sin
2
rela]ia (6.102) se scrie
N 1
2
2
X ( ) = X
k P
k
(6.104)
N
N
k =0
Func]ia P() are proprietatea c\
k =0
2 1, pentru
P
k =
(6.105)
N 0, pentru k = 1,2,..., N 1

234

2
Rela]ia (6.104) va da exact valorile e[antioanelor X
k pentru
N
2k
=
, iar la toate celelalte frecven]e va produce o combina]ie
N
ponderat\ a e[antioanelor spectrului original.

6.5. E[antionarea semnalelor trece band\


Spectrul semnalelor considerate pn\ acum era concentrat `n benzi
de frecven]\ care includeau [i frecven]a nul\. Aceste semnale sunt de tip
"trece jos". O alt\ categorie de semnale utilizate `n practic\ este cea a
semnalelor "trece band\", ale c\ror componente de frecven]\ sunt
cuprinse `ntr-un interval B1 F B2 . Un semnal analogic xa (t ) cu
con]inutul spectral concentrat `ntr-o band\ `ngust\ din jurul anumitei
frecven]e Fc poate fi reprezentat `n general sub forma
x a (t ) = A(t ) cos[2Fc t + (t )] ,
(6.106)
unde A(t) este amplitudinea sau anvelopa semnalului [i (t) este faza
semnalului.
Frecven]a Fc poate fi una dintre frecven]ele din banda ocupat\ de
semnal. ~n general se prefer\ frecven]a din centrul benzii semnalului [i `n
modula]ia de amplitudine aceasta se nume[te frecven]\ purt\toare. Din
rela]ia (6.106) rezult\
xa (t ) = A(t ) cos (t ) cos 2Fc t A(t ) sin (t ) sin 2Fc t =
(6.107)
u c (t ) cos 2Fc t u s (t ) sin 2Fc t
unde, prin defini]ie
u c (t ) = A(t ) cos (t ),
u s (t ) = A(t ) sin (t )
sunt componentele `n cuadratur\ ale semnalului xa (t ) . Se introduce
anvelopa complex\ u(t) a semnalului analogic trece-band\ xa (t ) , ca fiind
u (t ) = u c (t ) + ju s (t )
(6.108)
Rezult\ astfel
xa (t ) = Re u (t )e j 2Fct
(6.109)
~n continuare se va stabili leg\tura dintre spectrele semnalului trece band\
[i a anvelopei sale complexe. Transformata Fourier a semnalului analogic
este

235

X a (F ) =

(t )e j 2Ft dt

(6.110)

[i deoarece Re{u (t )e j 2Fct } = (1 / 2)[u (t )e j 2Fct + u (t )e j 2Fct ] , rezult\

X a (F ) =

1
[u (t )e j 2Fct + u (t )e j 2Fct ]e j 2Ft dt =

(6.111)

1
1
j 2 ( F Fc ) t
j 2 ( F + Fc ) t
= u (t )e
dt + u (t )e
dt
2
2
Dac\ se noteaz\ cu U(F) transformata Fourier a anvelopei complexe, din
(6.111) se ob]ine
1
X ( F ) = [U ( F Fc ) + U ( F Fc )]
(6.112)
2
Se poate ar\ta [PM] c\ dac\ semnalul xa (t ) este un semnal trece band\ [i
dac\ Fc este ales corespunz\tor, atunci semnalul anvelop\ complex\ are
spectrul `n jurul frecven]ei zero, motiv pentru care u(t) se mai nume[te
semnalul echivalent de joas\ frecven]\ asociat semnalului trece band\
xa(t). ~n general semnalul echivalent de joas\ frecven]\ u(t) este complex,
`n timp ce semnalul trece band\ xa (t ) este real. Ultimul se poate ob]ine
din primul `n domeniul timp cu rela]ia (6.110) sau `n domeniul frecven]\
cu rela]ia (6.112).

Figura 6.29. Spectrele semnalului analogic trece band\ [i al semnalului de joas\


frecven]\ corespunz\tor

S-a ar\tat c\ un semnal analogic cu frecven]a maxim\ B poate fi


reconstituit din e[antioanele sale dac\ frecven]a de e[antionare este mai
mare dect frecven]a Nyquist (dublul frecven]ei maxime din
spectru) FN = 2 B . Totu[i, dac\ semnalul este unul trece band\ avnd
componentele de frecven]\ `ntre B1 [i B2 , aplicarea direct\ a teoremei
e[antion\rii impune o frecven]\ de e[antionare de cel pu]in 2B2 . Dac\

236

semnalul este de band\ `ngust\, adic\ B2 > B1 >> B2 B1 , atunci este


avantajos s\ se transleze spectrul semnalului cu frecven]a
Fc = ( B1 + B2 ) / 2 [i apoi s\ se e[antioneze semnalul echivalent de joas\
frecven]\. Aceasta se poate face multiplicnd semnalul trece band\ cu
purt\toarele `n cuadratur\ [i filtrnd semnalele rezultate cu filtre trece-jos
avnd banda de trecere la 2 Fc , situa]ie prezentat\ `n figura 6.30.
Se presupune c\ frecven]a cea mai `nalt\ din spectru
B B1
B
B2 = Fc + 2
= Fc +
(6.113)
2
2
este un multiplu al benzii semnalului B, adic\
B
Fc + = kB ,
(6.114)
2
unde k N . Acest lucru este `ntotdeauna posibil, eventual prin l\rgirea
benzii semnalului de analizat. E[antionnd semnalul trece band\ xa (t ) cu
1
viteza de e[antionare 2 B = , rezult\
T
xa (nT ) = u c (nT ) cos 2Fc nT u s (nT ) sin 2Fc nT =
(6.115)
n(2k 1)
n(2k 1)
,
= u c (nT ) cos
u s (nT ) sin
2
2
1
1
deoarece T =
=
.
Fs 2 B

Figura 6.30. E[antionarea unui semnal analogic trece band\, prin transformarea lui `ntrun semnal de joas\ frecven]\ echivalent

237

1
. Se disting dou\ cazuri
B
a) n par, adic\ n=2m, m;
xa (2mT ) x a (mT1 ) = u c (mT1 ) cos m(2k 1) = (1) m u c (mT1 ) (6.116)
b) n impar, adic\ n=2m-1, m
T
T
(2m 1)(2k 1)
x a (2mT T ) x a (mT1 1 ) = u s (mT1 1 ) sin
=
2
2
2
T
= (1) m + k +1 u s (mT1 1 );
2
(6.117)
Prin urmare, e[antioanele pare ale lui xa (t ) , prelevate cu frecven]a de B
e[antioane pe secund\, vor produce e[antioanele componentei uc (t ) din
semnalul echivalent de joas\ frecven]\ u(t), `n timp ce e[antioanele
impare ale lui xa (t ) , prelevate tot cu frecven]a de B e[antioane pe
secund\, vor produce e[antioanele componentei u s (t ) din semnalul
echivalent de joas\ frecven]\ u(t). Aceste e[antioane pot fi utilizate la
reconstituirea semnalului echivalent de joas\ frecven]\. Pentru aceasta se
aplic\ teorema e[antion\rii p\r]ilor componente ale semnalului echivalent
de joas\ frecven]\

sin[ (t nT1 )]

T1
u c (t ) = B u c (mT1 )
(6.118)

m =
(t nT1 )
T1
Fie T1 = 2T =

T
sin (t mT1 + 1 )
2
T
T
u s (t ) = B u s (mT1 1 ) 1
T

2
m =
(t mT1 + 1 )
T1
2
~nlocuind (6.118) [i (6.119) `n (6.107), se ob]ine

238

(6.119)

x a (t ) = u c (t ) cos 2Fc t u s (t ) sin 2 Fc t =


= B cos 2Fc t

m =

(t mT1 )]
T1

(t mT1 )
T1

sin[

(mT1 )

T
sin (t mT1 + 1 )

2
T
T
B sin 2Fc t u s (mT1 1 ) 1
T

2
m =
(t mT1 + 1 )
T1
2
Regrupnd termenii din membrul drept, rezult\

sin[
(t nT1 )]

T1
cos 2Fc t
x a (t ) = B [u c (mT1 )

m =
(t nT1 )
T1
T

sin[ (t nT1 + 1 )]
T
T1
2
Bu s (mT1 1 )
sin 2Fc t ]
T1

2
(t nT1 + )
2
T1

239

(6.108)

(6.109)

CAPITOLUL 7

TRANSFORMATA FOURIER DISCRET|


Transformata Fourier discret\ joac\ un rol foarte important `n
multe aplica]ii ale prelucr\rii numerice de semnal, cum ar fi filtrarea
liniar\, analiza [i estimarea spectral\. Motivul esen]ial al importan]ei sale
rezid\ `n existen]a unor algoritmi eficien]i de calcul ai acestei
transformate.

7.1. Transformata Fourier discret\ pentru secven]e


de durat\ finit\
Transformata Fourier a unei secven]e x[n] de durat\ finit\ L se
calculeaz\ cu rela]ia
L 1

X ( ) = x[n]e jn

0 < 2

n =0

(7.1)

Se presupune c\ x[n] = 0 n afara domeniului 0 n L 1 . Dac\ se


2k
e[antioneaz\ X() la frecven]ele k =
, k = 0,1,2,,N-1, unde
N
N L , e[antioanele rezultate sunt
N 1
2k L 1
j 2kn / N
X [k ] = X
= x[n]e j 2kn / N ,k = 0,1,2,,N-1 (7.2)
= x[n]e
N n =0
n =0
Limita superioar\ a sumei s-a considerat N 1, deoarece x[n] = 0 pentru
n L.
Rela]ia (7.2) este cunoscut\ sub denumirea de transformata
Fourier discret\ (DFT) a semnalului x[n] .
1 N 1
x[n] = X [k ]e j 2kn / N , n = 0,1,2,,N-1
Rela]ia
(7.3)
N k =0
define[te transformat\ Fourier discret\ invers\ (IDFT) [i permite
refacerea semnalului x[n] din e[antioanele spectrului. Dac\ L < N, IDFT
n N puncte va determina x[n] = 0 pentru L n N 1 .

237

Transformata Fourier discret\ este definit\ pe o submul]ime a mul]imii


numerelor `ntregi cu valori `n mul]imea numerelor complexe.
X [k ] =| X [k ] | e jX [ k ]
(7.4)
unde | X [k ] | reprezint\ modulul transformatei Fourier discrete, iar
X [k ] , faza sa. Rela]iile (7.2) [i (7.4) pot fi considerate ca transform\ri
liniare ale secven]elor x[n] , respectiv, X [k ] .
Se definesc vectorii coloan\
x[0]
X [0]
X [1]
x[1]

XN =
xN = .
(7.5)
.

.
.

x[N 1]
X [ N 1]
[i matricea
1
1
. . .
1
1

1 w

2
N 1
wN
. . .
wN
N

1 wN2
wN4
. . . wN2( N 1)

WN = .
(7.6)
.
.
. . .
.

.
.
. . .
.

.
.
. . .
.
.

1 w N 1 w 2( N 1) . . . w ( N 1)( N 1)
N
N
N

NN
j

2
N

unde wN = e
este o r\d\cin\ de ordin N a unit\]ii, numit\ nucleul
transformatei Fourier discrete.
Cu aceste defini]ii, DFT n N puncte se exprim\ n form\
matriceal\
XN = WN xN
(7.7)
unde WN este matricea transform\rii liniare.
Se observ\ c\ WN este simetric\. Presupunnd c\ WN admite invers\, se
poate scrie
x N = WN1 X N
(7.8)
care este IDFT.
Cu (7.5) [i (7.6), rela]ia (7.3) poate fi scris\ compact sub forma
1
x N = W N* X N
(7.9)
N
unde W N* este conjugata lui WN. Comparnd (7.9) cu (7.8) rezult\

238

W N1 =
care implic\

1 *
WN
N

(7.10)

WN WN* = N I N
(7.11)
unde IN este matricea unitate de ordin N. Prin urmare, matricea WN din
W*
transformare este ortogonal\ [i, mai mult, inversa sa exist\ [i este N .
N
Se observ\ c\ pentru calculul DFT, `n fiecare punct sunt necesare N
multiplic\ri complexe [i (N-1) adun\ri complexe, astfel `nct pentru
calculul DFT `n N puncte sunt necesare N2 multiplic\ri complexe [i N(N1) adun\ri complexe. Datorit\ propriet\]ilor de simetrie [i periodicitate
ale DFT s-au putut dezvolta algoritmi rapizi de calcul, cunoscu]i ca
algoritmi pentru transformata Fourier rapid\ (FFT, Fast Fourier
Transform) utiliza]i n calculul DFT [i IDFT. Din acest motiv DFT [i
IDFT joac\ un rol foarte important n procesarea digital\ de semnal, cum
ar fi analiza de frecven]\, estimarea spectral\ [i filtrarea liniar\.
7.1.1. C teva propriet\]i ale DFT

[i
atunci

1) Periodicitatea Dac\ x[n] [i X [k ] sunt perechi DFT `n N


puncte, atunci
x[n + N ] = x[n] , n Z
(7.12)
X [k + N ] = X [k ] , k Z
(7.12')
2) Liniaritatea Dac\ x[n] [i X [k ] sunt perechi DFT `n N puncte,

in N puncte
x j [n] DFT

[k ]

(7.13)

Aceast\ proprietate decurge direct din defini]ia transformatei Fourier


discrete directe.
3) Deplasarea [i simetria circular\ `n timp
Datorit\ propriet\]ii de periodicitate, transformata Fourier discret\
`n N puncte a unei secven]e x[n] , de durat\ finit\, L N , este
echivalent\ cu transformata Fourier discret\ `n N puncte a unei secven]e
periodice x p [n] , de perioad\ N, ob]inut\ prin repetarea periodic\ a lui
x[n]

x p [n] =

x[n m N ]

m =

239

(7.14)

Prin deplasarea lui x p [n] cu k unit\]i spre dreapta (k>0), se ob]ine


secven]a periodic\
x p ' [n] = x p [n k ] =

x[n k m N ], k>0

(7.15)

m =

Secven]a aperiodic\ de lungime finit\


x ' [n], 0 n N 1;
x '[ n ] = p
0, n rest

(7.16)

se ob]ine din secven]a original\ x[n] prin deplasare circular\. Rela]ia


`ntre cele dou\ secven]e este ilustrat\ `n figura 7.1 pentru N=4 [i k=2.
Deplasarea circular\ cu k unit\]i a unei secven]ei poate fi reprezentat\ cu
indexul modulo N
x' [n] = x[(n k ) modulo N ] = x[(n k )N ]
(7.17)

Figura 7.1. Deplasarea circular\ a unei secven]e de lungime N=4. (a) secven]a aperiodic\
x[n] , (b) repetarea periodic\ a secven]ei x[n] , (c) deplasarea cu dou\ unit\]i spre
dreapta a secven]ei x p [n] , (d) deplasarea circular\ cu dou\ unit\]i spre dreapta a
secven]ei aperiodice x[n] , (e) deplasarea circular\ ilustrat\ prin plasarea e[antioanelor
secven]ei pe circumferin]a unui cerc.

Pentru exemplul considerat,

240

x'[0] = x[2, (mod 4)] = x[2] , x'[1] = x[1, (mod 4)] = x[3] ,
x'[2] = x[0, (mod 4)] = x[0] , x'[3] = x[1, (mod 4)] = x[1] . Se observ\ c\

x' [n] este chiar x[n] deplasat circular cu dou\ unit\]i de timp, unde

sensul trigonometric a fost ales arbitrar drept direc]ia pozitiv\ de


deplasare. Deplasarea circular\ a unei secven]e de lungime N este
echivalent\ cu deplasarea liniar\ a extensiei sale periodice, ob]inute prin
repetarea periodic\, cu perioada N, a secven]ei x[n], [i invers.
Periodicitatea ce rezult\ din aranjarea celor N puncte ale secven]ei pe
circumferin]a unui cerc determin\ defini]ii echivalente ale simetriei pare,
impare [i refect\rii `n timp a unei secven]e.
O secven]\ de lungime N este circular par\ dac\ este simetric\
fa]\ de punctul 0 de pe cerc, adic\ x[ N n] = x[n], 0 n N 1 .
O secven]\ de lungime N este circular impar\ dac\ este
antisimetric\
fa]\
de
punctul
0
de
pe
cerc,
adic\
x[ N n] = x[n], 0 n N 1 .
Reflectarea sau inversarea `n timp se realizeaz\ prin reflectarea
e[antioanelor fa]\ de punctul 0.
x[( n )N ] = x[N n] , 0 n N 1
(7.18)
4)Multiplicarea a dou\ DFT [i convolu]ia circular\ Se
presupun dou\ secven]e de durat\ finit\ N, x1 [n] [i x2 [n] ale c\ror
transformate Fourier discrete sunt
X 1[k ] = x1 [n]e

2 k n
N

N 1

2 k n
N

N 1

, k = 0, N 1

(7.19)

, k = 0, N 1

(7.20)

n =0

X 2 [k ] = x2 [n] e
n =0

Prin multiplicarea lor se ob]ine o secven]\ X 3 [k ] , al c\rei original este o


secven]\ x3 [n], tot de lungime N. ~n continuare, se va stabili o rela]ie `ntre
x1 [n] , x2 [n] [i x3 [n] .
X 3 [k ] = X 1[k ] X 2 [k ] , k = 0, N 1
Aplicnd IDFT rela]iei (7.21), se ob]ine
1 N 1
1 N 1
x3 [m] = X 3 [k ] e j 2 k m N = X 1[k ] X 2 [k ] e j 2 k m N
N k =0
N k =0
~nlocuind (7.19) [i (7.20) `n (7.22), rezult\

241

(7.21)
(7.22)

x3 [m] =

N 1
1 N 1 N 1

j 2 k n N
x
n
e
x2 [l ] e j 2 k l N e j 2 k m N =
[
]

N k = 0 n =0
l =0

N 1
1 N 1
N 1

= x1 [n] x2 [l ] e j 2 k (mnl ) N
N n =0
l =0
k =0

~n evaluarea rela]iei (7.23) se folose[te formula


dac a = 1
N ,
N 1

k
N
a = 1 a

, dac a 1.
k =0

1 a

(7.23)

(7.24)

j 2 k ( m n l ) N

e
Dac\ se noteaz\
(7.25)
=a
se observ\ c\ a = 1 cnd m n l este multiplu de N [i aN=1. Rezult\
atunci
N 1
N , pentru l = m n + p N = ((m n )N ) p intreg
e j 2k ( mnl ) / N =

k =0
0 , n rest .
(7.26)
~nlocuind (7.26) `n (7.23), se ob]ine
N 1

x3 [m] = x1 [n ] x2 ((m n )N ) , m = 0, 1,K N 1

(7.27)

n =0

Rela]ia (7.27) este o sum\ de convolu]ie, numit\ convolu]ie circular\,


datorit\ indexului (m n )N . Convolu]ia circular\ a dou\ secven]e de
lungime N se mai noteaz\ cu N
5) Transla]ia circular\ `n timp a unei secven]e Dac\ x[n] [i
X [k ] sunt perechi DFT `n N puncte, atunci
DFT in N puncte
x[(n m) N ]

e j 2 k m N X [k ]
(7.28)
Demonstra]ie
N 1

m 1

DFT {x[(n m) N ]} = x[(n m) N ]e j 2 k n N = x[(n m) N ]e j 2 k n N +


n =0

n =0

N 1

N m 1

n=m

p = m

p =0

+ x[(n m) N ]e j 2 k n N = x[ p]e j 2 k ( m+ p ) N +

x[ p]e

j 2 k ( m + p ) N

N m 1

N 1
= e j 2 k m N x[ p]e j 2 k p N + x[ p ]e j 2 k p / N = e j 2 k m N X [k ]
p =0

p= N m
6) Transla]ia circular\ `n frecven]\ a unei secven]e Dac\ x[n]
[i X [k ] sunt perechi DFT `n N puncte, atunci
DFT in N puncte
x[n]e j 2 n m N

X [(k m) N ]
(7.29)

242

Demonstra]ie

N 1

N 1

n =0

n =0

DFT x[n]e j 2 m n N = x[n]e j 2 m n N e j 2 k n N = x[n]e j 2 ( k m ) n N =X [(k m) N ]

7) Inversarea circular\ `n timp a unei secven]e Dac\ x[n] [i


X [k ] sunt perechi DFT `n N puncte, atunci
DFT in N puncte

X [(k ) N ] = X [ N k ]
(7.30)
x[n] = x[ N n]
Demonstra]ie
N 1

n =0

m= N

m =1

DFT {x[N n]} = x[N n]e j 2 k n N = x[m]e j 2k ( N m ) N = x[m]e j 2k ( N m ) N

dar e j 2 k ( N m) N = e j 2 k ( m) N = e j 2m ( k ) N = e j 2m ( N k ) N , astfel `nct


N

DFT {x[N n]} = x[m]e j 2k ( N k ) N = X [ N k ]


m =1

8) Conjugarea complex\ Dac\ x[n] [i X [k ] sunt perechi DFT


`n N puncte, atunci
DFT in N puncte
x * [n]

X * [(k ) N ] = X * [ N k ]
(7.31)
Demonstra]ie

N 1

DFT x [n] = x [n]e


*

n =0

j 2 k n N

N 1
N 1

= x[n]e j 2 k n N = x[n]e j 2 ( N k ) n N =
n =0
n =0

= X [(k ) N ]
*

9) Convolu]ia circular\ Dac\ x1 [n] [i X 1[k ] , x2 [n] [i X 2 [k ] ,


sunt perechi DFT `n N puncte, atunci
DFT in N puncte
x1[n] x2 [n]

X 1[k ] X 2 [k ]
(7.32)
Demonstra]ia acestei propriet\]i a fost dat\ `n paragraful 4.2.3, la
proprit\]ile seriei Fourier discrete.
10) Propriet\]i de simetrie Propriet\]ile de simetrie se ob]in
aplicnd metodologia folosit\ `n paragraful 4.2.9. Dac\ un semnal
prezint\ propriet\]i de simetrie n domeniul timp, este posibil\ deducerea
unor caracteristici ale semnalului n domeniul frecven]\.
Secven]a x[n] [i transformata sa Fourier discret\ X [k ] se presupun
complexe, adic\
(7.33)
x[n] = x R [n] + jx I [n] , 0 n N 1
X [k ] = X R [k ] + jX I [k ] , 0 k N 1
(7.34)
unde indicii R [i I specific\ partea real\, respectiv imaginar\.

243

nlocuind (7.33) [i e j 2kn / N = cos 2kn / N j sin 2kn / N n expresia DFT


dat\ de (7.2) [i separnd p\r]ile reale [i imaginare, se ob]ine
N 1

X R [k ] = [x R [n] cos 2kn / N + x I [n] sin 2kn / N ]

(7.35)

X I [n] = [x R [k ] sin 2kn / N x I [n] cos 2kn / N ]

(7.36)

n =0
N 1
n =0

Similar, `nlocuind (7.34) `n expresia IDFT dat\ de (7.3), se ob]ine


1 N 1
x R [n] = [ X R [k ] cos 2kn / N X I [k ] sin 2kn / N ]
(7.37)
N k =0
1 N 1
x I [n] = [ X R [k ] sin 2kn / N + X I [k ] cos 2kn / N ]
(7.38)
N k =0
~n continuare, se vor considera c`teva cazuri particulare:
a) Secven]e cu valori reale Dac\ x[n] este real, din (7.2) rezult\
X [ N k ] = X * [k ] = X [k ]
(7.39)
~n consecint\, | X [ N k ] |=| X [k ] | [i X [ N k ] = X [k ] . Deoarece
x I [n] = 0 , x R [n] = x[n] , (7.37) fiind o alt\ form\ pentru IDFT.
b) Secven]e reale pare
Dac\ x[n] este real [i par, adic\
x[ N n] = x[n], 0 n N 1 , din (7.36) rezult\ X I [k ] = 0 [i DFT
se reduce la rela]ia
N 1

X [k ] = x[n] cos 2kn / N , 0 k N 1

(7.40)

n =0

care este real\ [i par\. IDFT se reduce la


1 N 1
x[n] = X [k ] cos 2kn / N , 0 n N 1
(7.41)
N k =0
c) Secven]e reale impare Dac\ x[n] este real [i impar, adic\
x[n] = x[ N n], 0 n N 1 , din (7.35) rezult\ X R [k ] = 0 [i DFT
devine
N 1

X [k ] = j x[n] sin 2kn / N , 0 k N 1

(7.42)

n =0

care este pur imaginar\ [i impar\. IDFT se reduce la forma


1 N 1
x[n] = j X [k ] sin 2kn / N , 0 n N 1
(7.43)
N k =0
d) Secven]e pur imaginare ~n acest caz x[n] = jx I [n] [i rela]iile (7.35)
[i (7.36) devin

244

N 1

X R [k ] = x I [n] sin 2kn / N

(7.44)

X I [n] = x I [n] cos 2kn / N

(4.45)

n =0
N 1
n =0

Se observ\ c\ X R [k ] este func]ie par\ [i X I [k ] impar\. Dac\ x I [n] este


impar, atunci X I [k ] = 0 [i X [k ] este real. Dac\ x I [n] este par, atunci
X R [k ] = 0 [i X [k ] este pur imaginar.
Exemplul 7.1.
S\ se efectueze convolu]ia circular\ a secven]elor
x1 [n ] = {2, 1, 2, 1}[i x2 [n] = {1, 2, 3, 4}
Solu]ie.
Convolu]ia circular\ poate fi efectuat\ grafic, plasnd
e[antioanele secven]elor
pe un cerc. ~nlocuind N=4 `n (7.27) [i ]innd cont de figura 7.2 rezult\
x3 [0] = 14, x3 [1] = 16, x3 [2] = 14, x3 [3] = 16 , adic\

x3 [n] = {14, 16, 14, 16} .

Din exemplul considerat se observ\ c\ [i convolu]ia circular\


implic\ acelea[i opera]ii ca [i cea liniar\: reflectarea unei secven]e,
deplasarea, multiplicarea [i `n final sumarea produselor. Diferen]a fa]\ de
convolu]ia liniar\ const\ `n faptul c\ reflectarea [i deplasarea se
efectueaz\ circular, prin calcularea indexului uneia din secven]e modulo
N.
Convolu]ia circular\ este comutativ\, deci oricare din secven]e
poate fi reflectat\ [i deplasat\ modulo N fa]\ de cealalt\, f\r\ modificarea
rezultatului.
Exemplul 7.2.
S\ se determine convolu]ia circular\ x3 [n ] = x1 [n ] 4 x 2 [n] din
exemplul precedent, cu ajutorul DFT [i IDFT.
Solu]ie.
3

X 1[k ] = x1 [n] e j 2 k n / 4 = 2 + e j k / 2 + 2 e j k + e j 3 k / 2 , k = 0, 1, 2, 3 .
n =0

X 1[0] = 6 ;

X 1[1] = 0 ;

X 1[2] = 2 ;

X 1[3] = 0 .

X 2 [k ] = x 2 [n] e j 2 k n / 4 = 1 + 2 e j k / 2 + 3 e j k + 4 e j 3 k / 2 , k = 0, 1, 2, 3
n =0

. X 2 [0] = 10 ;

X 2 [1] = 2 + j 2 ;

X 2 [2] = 2 ;

245

X 2 [3] = 2 j 2 .

X 3 [k ] = X 1[k ] X 2 [k ] ,
X 3 [0] = 60 ; X 3 [1] = 0 ;

X 3 [2] = 4 ;

X 3 [3] = 0 .

Figura 7.2 Convolu]ia circular\ calculat\ grafic

246

1 3
1
X 3 [k ] e j 2 k n / 4 = 60 4 e j n ; n = 0, 1, 2, 3 .

4 k =0
4
x3 [0] = 14 ; x3 [1] = 16 ; x3 [2] = 14 ; x3 [3] = 16 sau
x3 [n] = {14, 16, 14, 16}, a[a cum era de a[teptat.
x3 [n] =

7.2. Rela]iile transformatei Fourier discrete cu alte


transformate
Transformata Fourier discret\ (DFT) [i inversa sa (IDFT)
reprezint\ mijloace importante utilizate `n diverse aplica]ii de prelucrarea
numeric\ a semnalelor. Importan]a lor este dat\ [i de multitudinea de
algoritmi eficien]i de calcul, cunoscu]i sub numele de transformate
Fourier rapide. Prin urmare, este important a se stabili rela]iile care exist\
`ntre transformata Fourier discret\ [i celelalte modalit\]i de prelucrare a
semnalelor numerice.

7.2.1. Rela]ia dintre transformata Fourier discret\ [i


seria Fourier a unei secven]e periodice
Pentru comoditate se reamintesc rela]iile pentru DFT [i IDFT, [i
anume
N 1

DFT: X [k ] = x[n]e

j 2kn
N

n =0

, k = 0, 1,K, N 1 ,

(7.46)

j 2kn

1 N 1
IDFT: x[n] = X [k ]e N , n = 0, 1,K, N 1 .
(7.47)
N k =0
Un semnal periodic x p [n] de perioad\ N poate fi descompus `n
serie Fourier
N 1

x[n] = ck e

j 2kn
N

k =0

, n = 0, 1,K, N 1 ,

(7.48)

unde coeficien]ii seriei Fourier sunt da]i de rela]ia


j 2kn

1 N 1
ck = x[n]e N , k = 0, 1,K, N 1
(7.49)
N n =0
Din compararea rela]iilor (7.46) [i (7.47) cu (7.48) [i (7.49) se
observ\ c\ rela]ia (7.49) care d\ coeficien]ii seriei Fourier are forma unei

247

DFT. De fapt, dac\ se define[te o secven]\ x[n] , identic\ cu x p [n] pe o


perioad\, DFT a acestei secven]e este
X [k ] = N c k
(7.50)
~n plus, (7.48) are forma unei IDFT. Astfel, DFT furnizeaz\ o leg\tur\
important\ `ntre caracterizarea `n domeniul frecven]\ a secven]elor
periodice [i secven]elor aperiodice de durat\ finit\. Rela]iile anterioare
sugereaz\ c\ DFT poate fi v\zut\ ca fiind spectrul discret al semnalului
periodic x p [n] . ~ntr-o astfel de interpretare, o secven]\ de durat\ finit\
x[n] de lungime N este v\zut\ ca o singur\ perioad\ a unei secven]e
periodice

x[n mN ]

x p [ n] =

(7.51)

m =

Spectrul discret al semnalului x p [n] este


N 1

X [k ] = x p [n]e

j 2kn
N

= Nc k , k = 0, 1,K, N 1

n =0

(7.52)

[i IDFT devine
x p [n] =

1 N 1
X [k ]e
N k =0

j 2kn
N

, n = 0, 1,K, N 1

(7.53)

7.2.2. Rela]ia dintre transformata Fourier discret\ [i


transformata Fourier a unei secven]e aperiodice
Fie x[n] o secven]\ aperiodic\, de energie finit\, cu transformata Fourier

X ( ) =

x[n]e

jn

(7.54)

n =

Aceasta este e[antionat\ `n N puncte echidistante din `ntreg intervalul


fundamental de pe axa frecven]ei [ , ] , ob]inndu-se e[antioanele
spectrului
X [k ] X ( )

2
k
N

x[n]e

n =

2nk
N

, k = 0, 1,K, N 1

(7.55)

Componentele spectrale X [k ], k = 0, 1,K, N 1 , sunt chiar


coeficien]ii transformatei Fourier discrete ai secven]ei periodice x p [n]
ob]inute prin repetarea periodic\ a lui x[n] , cu perioada N, adic\ (7.51).

248

Astfel, x p [n] se ob]ine din toate alias-urile lui x[n] adunate `n intervalul
de la 0 la N 1 .
Dac\ x[n] este de durat\ finit\ [i de lungime L N , atunci nu
exist\ eroare alias `n domeniul timp [i
x[n] = x p [n ] , 0 n N 1
(7.56)

~n aceast\ situa]ie se ob]ine, `ntr-adev\r, x[n] prin aplicarea transformatei


Fourier discrete inverse asupra e[antioanelor
X [k ] , unde
k = 0, 1,K, N 1 .

7.2.3. Rela]ia dintre transformata Fourier discret\ [i


transformata Z
Fie x[n] o secven]\ care are transformata Z
X ( z) =

x[n]z

(7.57)

n =

[i regiunea sa de convergen]\ include cercul unitate.


Dac\ X ( z ) este e[antionat\ `n puncte echidistante pe cercul
unitate, astfel `nct punctele
k = 0, 1,K, N 1 , atunci
X [k ] X ( z )

2
k
zk =e N
j

de

x[n]e

prelevare
2nk
N

n =

sunt

zk = e

, k = 0, 1,K, N 1

2
k
N

(7.58)

Membrul drept al ecua]iei (7.58) este chiar transformata Fourier X ( )


evaluat\ la cele N frecven]e echidistante din intervalul fundamental.
Prin urmare, dac\ x[n] are o durat\ N sau mai mic\, atunci
secven]a poate fi reconstituit\ cu ajutorul DFT `n N puncte. ~n aceast\
situa]ie favorabil\ se poate determina `n mod unic [i transformata sa Z,
exprimnd x[n] cu ajutorul IDFT
1
X ( z ) = x[n]z =
n =0 N
n =0
Schimbnd ordinea de sumare, rezult\
N 1

N 1

249

N 1

X [k ]e
k =0

2kn
N

n
z

(7.59)

2kn
j
n 1 N 1
N 1 j 2Nkn n
1 N 1 N 1
N
X ( z ) = X [k ]e
z =
z = X [k ] e
N n =0 k =0
N k =0

n =0

N 1 j 2k
1 N 1
= X [k ] e N z 1
N k =0
n =0

Astfel, X (z ) devine
X ( z) =

1 N 1
1 z N
= X [k ]
2k
j
N k =0

1 e N z 1

1 z N N 1

N
k =0

X [k ]
j

2k
N

(7.60)

(7.61)
1

1 e
z
Prin urmare, dac\ secven]a x[n] este de durat\ finit\, atunci transformata
sa Z poate fi calculat\ cu ajutorul e[antioanelor transformatei Z evaluate
pe cercul unitate. O formul\ analoag\ se poate ob]ine [i pentru
transformata Fourier discret\, prin evaluarea transformatei Z pe cercul
unitate
1 e jN N 1
X [k ]
(7.62)
X ( ) =

2k

j
N

k =0
1 e N
Egalit\]ile (7.61) [i (7.62) sunt formule de interpolare de tip
Lagrange [i ele exprim\ pe X ( ) `n func]ie de e[antioanele X [k ] ,
k = 0, 1,K, N 1 , egal distan]ate `n frecven]\.

7.2.4. Rela]ia dintre transformata Fourier discret\ [i


coeficien]ii seriei Fourier a unui semnal analogic periodic
Fie xa (t ) un semnal periodic definit `n timp continuu. Dac\
Tp =

1
este perioada sa, atunci semnalul se descompune `n serie Fourier
F0
xa (t ) =

c e

k =

j 2kF0t

(7.63)

unde
1
x a (t )e j 2kF0t dt
(7.64)

T
p
Tp
sunt coeficien]ii seriei Fourier.
E[antionnd xa (t ) cu o frecven]\ de e[antionare Fs de N ori mai
mare dect fundamentala semnalului periodic
ck =

250

N 1
=
Tp T

Fs =

(7.65)

se ob]ine semnalul discret

x[n] x a (nT ) =

c e
k

k =

j 2kF0 nT

c e

k =

2
kn
N

(7.66)

Dar
j

2
kn
N

2
( k mN )n
N

e
=e
,
(7.67)
pentru orice m Z .
Rela]ia (7.66) poate fi descompus\ `ntr-o sum\ infinit\ de sume de cte N
termini
x[n] =

ck e

k =

3 N 1

c e

k =2 N

2
kn
N

2
kn
N

= K+

+K =

N 1

ck e

2
kn
N

mN + N 1

k = mN

k = 2 N

m =

ck e

2
kn
N

k = N

ck e

2
kn
N

N 1

+ ck e
k =0

2
kn
N

2 N 1

ck e

2
kn
N

k=N

2
mN + N 1
j
n ( k mN )

N
= ck e

m = k = mN

(7.68)
~n membrul drept al ultimei egalit\]i se face schimbarea de variabil\
k mN = p , apoi se schimb\ ordinea de sumare [i, `n final, se revine la
indicele k. Rezult\ astfel
2
2
N 1
N 1
j ( p + mN )n

j N pn
=
=
x[n] = c p + mN e N
c
e
p + mN
m = p = 0
p
m
0
=
=

(7.69)
2

N 1

j
= c p + mN e N
p = 0 m =

N 1

pn

= ck e

2
kn
N

k =0

unde
ck =

m =

k mN

(7.70)

este spectrul ob]inut prin repetarea periodic\ a spectrului ck la fiecare N


e[antioane, adic\ este chiar o secven]\ alias a spectrului ck .
Pe de alt\ parte
2
j kn
1 N 1
x[n] = X [k ]e N , n = 0, 1,K, N 1
(7.71)
N k =0
~n consecin]\, aplicnd transformata Fourier invers\ se ob]ine
X [k ] = N

m =

k mN

251

= N ck ,

(7.72)

altfel spus, transformata Fourier discret\ furnizeaz\ liniile spectrale ale


spectrului, afectate `ns\ de efectul alias.

7.2.5. Rela]ia dintre transformata Fourier discret\ [i


transformata Fourier a unui semnal analogic aperiodic
Se consider\ un semnal aperiodic xa (t ) , de energie finit\, a c\rui
transformat\ Fourier este X a (F ) . Prin e[antionarea sa cu frecven]a de
e[antionare Fs , se ob]ine semnalul discret
x[n] xa (nT ) ,
(7.73)
a c\rui transformat\ Fourier este

F
X = Fs X a ( F mFs )
(7.74)
F
m
=

s
sau, echivalent
X ( f ) = Fs
X ( ) = Fs

(( f m) Fs ) ;

(7.75)

(( 2m) Fs )

(7.75')

m =

m =

Inevitabil, apar efecte alias care pot fi reduse prin prefiltrarea semnalului
analogic `nainte de e[antionare sau prin e[antionarea cu o frecven]\ mai
`nalt\. Dac\ spectrul X ( ) este la rndul s\u e[antionat la N intervale de
frecven]\ egal distan]ate
2k
k =
, k = 0, 1,K, N 1
(7.76)
N
atunci

2k

X [k ] X ( ) = 2k = Fs X a
2m Fs =
k
N

m =
N
(7.77)

kFs

= Fs X a
mFs ,
N

m =

pentru k = 0, 1,K, N 1 .
Prin urmare, e[antioanele {X [k ]}k =0,1,K, N 1 pot fi v\zute ca DFT a
unei secven]e periodice x p [n] , date de
x p [n] =

x[n mN ] =

m =

252

m =

(nT mNT )

(7.78)

Din rela]iile anterioare rezult\ c\ leg\tura dintre semnalul `n timp


discret ob]inut prin e[antionarea unui semnal analogic xa (t ) cu frecven]a
F
de e[antionare Fs [i spectrul corespunz\tor e[antionat cu s este o
N
transformat\ Fourier discret\ `n N puncta

kFs
DFT in N puncte

x
(
nT
mNT
)
F

a
s Xa
N mFs (7.79)

m =
m =

Aceast\ pereche DFT indic\ prezen]a efectelor alias att `n domeniul timp
ct [i `n domeniul frecven]\. De asemenea, sugereaz\ eventualele
dificult\]i ce pot ap\rea cnd se dore[te calcularea spectrul unui semnal
analogic cu ajutorul transformatei Fourier discrete, `n func]ie de alegerea
m\rimilor Fs [i N.

7.3. Metode de filtrare liniar\ bazate pe DFT


~n paragraful 7.1 s-a definit transformata Fourier discret\ ca
versiunea e[antionat\ a transformatei Fourier X() pentru secven]a de
durat\ finit\ x[n]. E[antionarea a fost realizat\ `n N frecven]e egal
distan]ate k = 2k N , k = 0, 1,K N 1 , rezultnd
X [k ] X ( )

k = 2k N

, k = 0, 1,K N 1

(7.80)

Pentru secven]a x[n] s-a ob]inut transformata Fourier discret\


N 1

DFT:

X [k ] = x[n] e j 2k n N , k = 0, 1,K N 1
n =0

(7.81)

din care se reface secven]a x[n] cu ajutorul transformatei Fourier discrete


inverse
1 N 1
x
n
=
X [k ] e j 2k n N , n = 0, 1,K N 1
[
]
(7.82)
IDFT:

N k =0
Deoarece DFT furnizeaz\ o reprezentare discret\ `n domeniul frecven]\ a
unei secven]e de durat\ finit\, datorit\ propriet\]ilor sale, ea este folosit\
ca un instrument de calcul `n analiza sistemelor liniare [i, `n special, `n
filtrarea liniar\.
S-a ar\tat c\, dac\ la intrarea unui sistem liniar al c\rui r\spuns `n
frecven]\ este H() se aplic\ un semnal al c\rui spectru este X(), el
produce o ie[ire cu spectrul
Y ( ) = X ( ) H ( )
(7.83)
din care, cu transformata Fourier invers\, se ob]ine

253

y[n] =

1
2

Y ( ) e

j n

(7.84)

~n aceast\ abordare a afl\rii r\spunsului intervin func]ii continue de . ~n


consecin]\, aceste calcule nu pot fi realizate cu ajutorul unui calculator
numeric, `ns\, datorit\ caracterului discret al DFT, aceast\ problem\
poate fi surmontat\. ~n multe aplica]ii se urm\re[te ob]inerea convolu]iei
liniare a dou\ secven]e, adic\ se dore[te implementarea unui SDLIT care
realizeaz\ opera]ia de filtrare liniar\ a secven]ei de intrare. Pentru a
ob]ine convolu]ia liniar\ a celor dou\ secven]e cu ajutorul DFT, trebuie
stabilite condi]iile `n care convolu]ia circular\ produce acela[i rezultat ca
[i cea liniar\. Odat\ stabilite aceste condi]ii, implementarea convolu]iei
liniare a dou\ secven]e x[n] [i h[n] cu ajutorul DFT se realizeaz\
parcurgnd urm\torii pa[i:
1) Se calculeaz\ DFT `n N puncte X [k ] [i H [k ] pentru cele dou\
secven]e;
2) Se calculeaz\ produsul Y [k ] = X [k ]H [k ] ; 0 k N 1 ;
3) Se calculeaz\ y[n] = x[n] h[n] ca IDFT a lui Y [k ] , `n N
puncte.

7.3.1. Folosirea DFT `n filtrarea liniar\


~n paragraful 7.1 s-a ar\tat c\ produsul a dou\ DFT este echivalent
cu convolu]ia circular\ a secven]elor corespunz\toare din domeniul timp.
Aceasta va fi egal\ cu convolu]ia liniar\ a celor dou\ secven]e de lungime
finit\, `n func]ie de rela]ia dintre num\rul de puncte `n care s-a calculat
DFT [i lungimile celor dou\ secven]e.
Se presupune c\ secven]a de intrare x[n] este de lungime finit\, L,
[i se aplic\ unui filtru FIR de lungime M, adic\
x[n] = 0 pentru n < 0 , n L
(7.85)
h[n] = 0 pentru n < 0 , n M
unde h[n] este r\spunsul la impuls al filtrului. Ie[irea filtrului, y[n] , poate
fi determinat\ `n domeniul timp cu ajutorul sumei de convolu]ie
M 1

y[n ] = h[k ] x[n k ]


k =0

(7.86)

Deoarece h[n] [i y[n] sunt de durat\ finit\, convolu]ia lor va fi, de


asemenea, o secven]\ de durat\ finit\, de lungime L + M 1 , produsul
h[k ] x[n k ] fiind egal cu zero pentru to]i k, dac\ n<0 [i n>M+L-2.

254

R\spunsul `n frecven]\ echivalent rela]iei (7.86) este


Y ( ) = X ( ) H ( )
(7.87)
Dac\ Y [k ] = Y ( ) =2 k / N = X ( ) H ( ) =2 k / N , k = 0, 1,K N 1 (7.88)
Atunci
Y [k ] = X [k ] H [k ] , k = 0, 1, K N 1
(7.89)
unde {X [k ]} [i {H [k ]} sunt transformatele Fourier discrete ale
secven]elor x[n], respectiv, h[n] . ~n paragraful 6.4 s-a ar\tat c\ dac\
transformat\ Fourier Y ( ) a unui semnal discret aperiodic este
e[antionat\ `n N puncte echidistante `n intervalul fundamental, secven]a
rezultat\ reprezint\ coeficien]ii seriei Fourier discrete a semnalului
periodic

y[n mN ], 0 n N 1
y p [n] = m
(7.90)
=

0, n rest
Din (7.89) rezult\
(7.91)
y p [n] = x[n] h[n]
Conform rela]iei (7.90), se observ\ cum convolu]ia circular\ a dou\
secven]e de lungime finit\ este echivalent\ cu convolu]ia liniar\ a
secven]elor `n condi]ii de suprapunere a e[antioanelor (eroare alias) `n
domeniul timp, datorit\ periodicit\]ii. De notat c\ dac\ N este mai mare
dect L [i M, X [k ] [i H [k ] reprezint\ exact pe x[n] [i h[n] , `n schimb
y p [n] va fi egal cu y[n] pentru to]i n, numai dac\ N este mai mare sau
egal cu lungimea secven]ei y[n] , adic\ L+M-1.
Dac\ secven]a y[n] poate fi reprezentat\ unic `n domeniul
frecven]\ prin e[antionarea spectrului Y ( ) `ntr-un set de frecven]e
discrete, num\rul e[antioanelor distincte trebuie s\ fie egal sau s\
dep\[easc\ L + M 1 . A[adar, pentru a reprezenta y[n] `n domeniul
frecven]\, este necesar ca DFT s\ fie de dimensiune N L + M 1 .
Deoarece secven]ele x[n] [i h[n] au durata mai mic\ dect N, ele
se completeaz\ cu e[antioane egale cu zero pn\ la N. Aceast\ cre[tere a
lungimii secven]elor nu modific\ spectrele X() [i H(), care sunt
continue pentru secven]e aperiodice. Prin e[antionarea spectrului `n N
puncte echidistante, s-a crescut num\rul de e[antioane ce reprezint\
secven]ele `n domeniul frecven]\ fa]\ de num\rul minim L sau M.
Deoarece num\rul N = L + M 1 `n care se calculeaz\
transformata Fourier discret\ a ie[irii este suficient pentru a reprezenta
y[n] `n domeniul frecven]\, rezult\ c\ multiplicarea, conform rela]iei

255

(7.89), a transformatelor Fourier discrete X [k ] [i H [k ] , calculate `n N


puncte, urmat\ de transformata Fourier discret\ invers\ trebuie s\ aib\
drept rezultat secven]a y[n] . Acest lucru implic\ echivalen]a dintre
convolu]ia circular\ `n N puncte a secven]elor x[n] [i h[n] [i convolu]ia
liniar\ a secven]elor x[n] [i h[n] .
Cu alte cuvinte, crescnd lungimile secven]elor x[n] [i h[n] la N
puncte (prin completarea cu zerouri), efectund convolu]ia circular\ a
secven]elor rezultate, [i apoi transformarea invers\, se ob]ine acela[i
rezultat ca `n cazul convolu]iei liniare. ~n aceste condi]ii, transformata
Fourier discret\ poate fi folosit\ `n realizarea filtr\rii liniare.
Exemplul 7.3.
Folosind DFT [i IDFT s\ se determine r\spunsul filtrului FIR,
caracterizat de r\spunsul la impuls h[n] = {1, 2, 3} la intrarea
x[n] = {1, 2, 2, 1}.
Solu]ie. L = 4, M = 3 . Convolu]ia liniar\ conduce la o secven]\ de
lungime N = L+M 1= 6, ceea ce `nseamn\ c\ m\rimea DFT-urilor trebuie
s\ fie de cel pu]in 6. ~n practic\, metodele numerice folosite `n calculul
DFT impun ca N s\ fie o putere `ntreag\ a lui 2 (cerin]\ impus\ de
algoritmii FFT de calcul ai DFT). Cea mai mic\ putere `ntreag\ a lui 2
mai mare sau egal\ cu 6 este N = 8 .
7

X [k ] = x[n] e j 2 k n / 8 = 1+ 2 e j k / 4 + 2 e j k / 2 + e j 3 k / 4 , k = 0,7
n =0

de unde
X [0] = 6 ;
X [3] =

X [1] =

2+ 2
4+3 2
j
;
2
2

X [2] = 1 j ;

2+ 2
43 2
j
;
2
2

X [6] = 1 + j ;

2 2
43 2
j
2
2

X [4] = 0 ;

X [5] =

2+ 2
4+3 2
+j
2
2
pentru H [k ] se ob]ine
X [7 ] =

H [k ] = h[n]e j 2kn / 8 = 1 + 2e jk / 4 + 3e jk / 2
n =0

256

de unde
H [0] = 6 ;

H [1] = 1 + 2 j (3 + 2) ;

H [2] = 2 j 2 ;

H [3] = 1 2 + j (3 2)
H [4] = 2 ;

H [5] = 1 2 j (3 2) ;

H [6] = 2 + j 2 ;

H [7] = 1 + 2 + j (3 + 2)
Efectund produsul Y [k ] = H [k ] X [k ] , rezult\
Y [0] = 36 ; Y [1] = 14,07 j17,48 ; Y [2] = j 4 ; Y [3] = 0,07 + j 0,515
Y [4] = 0 ; Y [5] = 0,07 j 0,515 ; Y [6] = j 4 ; Y [7] = 14,07 + j17,48
Cu ajutorul IDFT, se ob]ine
1 7
y[n] = Y [k ]e j 2kn / 8 , n = 0,7
8 n =0
adic\, y[n] = {1,4,9,11,8,3,0,0}.
De[i multiplicarea a dou\ DFT corespunde convolu]iei circulare `n
domeniul timp, se observ\ c\ prin completarea secven]elor x[n] [i h[n]
cu un num\r suficient de zerouri, convolu]ia circular\ conduce la acela[i
rezultat ca [i convolu]ia liniar\.
Dac\ `n exemplul anterior se efectueaz\ convolu]ia circular\ dintre
h[n] = {1,2,3,0,0,0} [i
x[n] = {1,2,2,1,0,0}
5

se ob]ine y[n] = h[k ]x[(n k ), mod 6 ]


k =0

adic\, y[n] = {1,4,9,11,8,3} .


Dac\ N L + M 1 , nu apare suprapunere (eroare alias) `n domeniul
timp, `n caz contrar, secven]a rezultat\ va con]ine suprapuneri ale unor
componente.
Exemplul 7.4.
S\ se repete exemplul 1, pentru N=4.
3

H [k ] = h[n]e j 2kn / 4 = 1 + 2e jk / 2 + 3e jk , k = 0,3


n =0

de unde
H [0] = 6 ; H [1] = 2 j 2 ; H [2] = 2 ; H [3] = 2 + j 2 .
3

X [k ] = x[n] e j 2 k n / 4 = 1 + 2 e j k / 2 + 2 e j k + e j 3 k / 2
n =0

X [0] = 6 ; X [1] = 1 j ; X [2] = 0 ; X [3] = 1 + j .

257

Y[k ] = X [k ]H [k ] , de unde
Y[0] = 36 ; Y[1] = j 4 ; Y[2] = 0 ; Y[3] = j 4
Aplicnd IDFT, se ob]ine
1 3
1
y[n] = Y[k ]e j 2kn / 4 = 36 + j 4e jn / 2 j 4e j 3n / 2
4 k =0
4
adic\, y[n] = {9,7,9,11} .

Se verific\ faptul c\ h[n] 4 x[n] = h[k ]x[(n k ) mod 4 ] = {9,7,9,11} .


k =0

Dac\ se compar\ rezultatul y[n] ob]inut prin folosirea DFT [i IDFT `n 4


puncte cu y[n] ob]inut prin folosirea DFT [i IDFT `n 8 puncte se observ\
diferen]e datorit\ suprapunerilor sau interferen]ei componentelor.
y[0] = y[0] + y[4] = 9
y[1] = y[1] + y[5] = 7
y[2] = y[2] = 9
y[3] = y[3] = 11
Se observ\ c\ numai primele dou\ componente sunt afectate de eroare
alias, adic\ min{L, M } 1 componente.

7.3.2. Filtrarea secven]elor lungi de date


~n paragraful precedent s-a prezentat procedura de ob]inere a
r\spunsului unui sistem cu r\spuns finit la impuls la o intrare de lungime
finit\, adic\ a convolu]iei liniare cu ajutorul DFT. ~n aplica]iile practice
care implic\ filtrarea liniar\, secven]ele de intrare x[n] sunt de obicei
foarte lungi. Chiar dac\ teoretic s-ar putea stoca aceste secven]e, folosirea
metodei descrise anterior ar implica calculul DFT `ntr-un num\r foarte
mare de puncte, ceea ce nu este de obicei practicabil, datorit\ algoritmilor
FFT folosi]i `n calculul DFT. Un alt motiv pentru care metoda anterioar\
nu este folosit\ este acela c\ prin recep]ionarea `ntregii secven]e de intrare
se intoduc `ntrzieri mari `n r\spuns, lucru care, `n general, este de evitat.
Solu]ia la aceste probleme este oferit\ de convolu]ia bloc, `n care
semnalul ce trebuie prelucrat este `np\r]it `n blocuri de lungime fix\, `n
func]ie de disponibilit\]ile procesorului. Blocurile succesive sunt
prelucrate cu ajutorul DFT, iar ie[irile sunt "al\turate" pentru a forma
secven]a total\ de ie[ire.
Exist\ dou\ metode de filtrare liniar\ a secven]elor lungi, bloc cu
bloc, cu ajutorul DFT:

258

- metoda cu suprapunere [i sumare;


- metoda cu suprapunere [i salvare.
Pentru ambele metode se presupune c\ sistemul c\ruia se aplic\
datele este cauzal, cu r\spuns finit la impuls, de lungime M, iar secven]a
de intrare cauzal\ este `mp\r]it\ `n blocuri de lungime L, cu L >> M .
Metoda cu suprapunere [i sumare
Secven]a de intrare poate fi reprezentat\ ca o sum\ de secven]e, fiecare de
lungime L

x[n] = xr [n rL]

(7.92)

r =0

x[n + rL], 0 n L 1,
x r [ n] =
(7.93)
0, n rest.

Deoarece convolu]ia este o opera]ie liniar\, invariant\ `n timp, rezult\ c\


unde

y[n] = x[n] h[n] = y r [n rL] ,

(7.94)

r =0

unde
y r [n] = xr [n] h[n]
(7.95)
Fiecare din termenii y r [n] are lungimea (L+M-1), ceea ce `nseamn\ c\,
pentru a calcula convolu]ia liniar\ xr [n] h[n] cu ajutorul DFT `n N
puncte, este necesar ca N L + M 1 . Pentru aceasta, r\spunsul la
impuls se completeaz\ cu L-1 zerouri, iar blocurile de date cu M-1
zerouri, ob]inndu-se
x'1 [n] = {x[0], x[1], x[2],..., x[ L 1], 01
(7.96)
,02
,...
30 }
M 1 zerouri

,02
,...
x' 2 [n] = {x[ L], x[ L + 1], x[ L + 2],..., x[2 L 1], 01
30 }

(7.97)

,02
,...
x'3 [n] = {x[2 L], x[2 L + 1], x[2 L + 2],..., x[3L 1], 01
30 }

(7.98)

M 1 zerouri

M 1 zerouri

[i a[a mai departe. ~mp\r]irea datelor de intrare `n blocuri [i combinarea


blocurilor de date de ie[ire este ilustrat\ `n figura 7.3.
Cele dou\ transformate Fourier discrete ale secven]elor x[n] [i h[n] ,
completate cu zerouri pn\ la N, se multiplic\ pentru a forma
(7.99)
Ym [k ] = H [k ] X ' m [k ], k = 0,1,..., N 1
Transformata Fourier discret\ invers\ a lui Ym [k ] produce blocul y m [n]
de lungime N, f\r\ eroare alias, deoarece fiecare din secven]e a fost
crescut\ pn\ la N puncte prin ad\ugarea de zerouri.

259

Deoarece fiecare bloc de date se termin\ cu M-1 zerouri, ultimele M-1


puncte din fiecare bloc de ie[ire trebuie suprapuse [i sumate cu primele
M-1 puncte ale blocului urm\tor pentru a ob]ine suma din (7.94), de unde
[i numele metodei. Secven]a de ie[ire va fi
y[n] = { y1[0], y1[1],..., y1[ L 1], y1[ L] + y 2 [0], y1[ L + 1] + y 2 [1],...
(7.100)
y1[ N 1] + y 2 [ M 1], y 2 [ M ], y 2 [ M + 1],...}

Figura 7.3. Filtrare liniar\ prin metoda cu suprapunere [i sumare

Medoda cu suprapunere [i salvare


{i `n aceast\ metod\ DFT [i IDFT se calculeaz\ `n N = L + M 1
puncte. M\rimea blocului de date de intrare se cre[te pn\ la
N = L + M 1 . Fiecare bloc de date con]ine ultimele M-1 e[antioane ale
blocului precedent de date, urmate de L e[antioane noi de date, pentru a
forma secven]a de lungime N=L+M-1.
Se calculeaz\ DFT `n N puncte pentru fiecare bloc de date.
R\spunsul la impuls al filtrului FIR este crescut `n lungime prin
ad\ugarea a L-1 zerouri [i apoi se calculeaz\ DFT, iar secven]a ob]inut\
este stocat\.
Multiplicarea a dou\ DFT `n N puncte {H [k ]} [i { X m [k ]} pentru
blocul m de date are ca rezultat

260

Ym [k ] = H [k ] X m [k ], k = 0,1,2,..., N 1
(7.101)
Apoi, prin calcularea IDFT `n N puncte, rezult\
y m [n] = { y m [0], y m [1],..., y m [ M 1], y m [ M ],..., y m [ N 1]} (7.102)
Acesta corespunde convolu]iei circulare a lui xm [n] [i h[n] . Deoarece
datele au lungimea N, iar r\spunsul la impuls, lungimea M, primele
min{N , M } 1 = M 1 puncte y m [n] sunt afectate de eroare alias [i nu
trebuie considerate. Ultimele L puncte ale lui y m [ n ] sunt exact cele
rezultate din convolu]ia liniar\ [i, `n consecin]\,
(7.103)
y m [n] = y m [n], pentru n = M , M + 1,..., N 1
Ultimele M-1 puncte ale fiec\rei secven]e de intrare sunt salvate [i
acestea devin primele M-1 puncte ale secven]ei urm\toare. La `nceperea
proces\rii, primele M-1 puncte ale primului bloc de date sunt considerate
zero. Astfel, blocurile de date sunt de forma
x1[n] = {01
(7.104)
,02
,...,
30, x[0], x[1],..., x[ L 1]}
M 1 puncte

x2 [n] = {1
x[ L44
M4
+2
x[4
L4
3
x[ L4
x[ 4
L4
+ 12
x[24
L4
3
1],...,
1], 1
],4
],...,
1]}
4
44
44
M 1 puncte din datele
sec ventei x1 [ n ]

date

(7.105)

noi

1],..., x[2 L 1], x[2 L], x[ L + 1],..., x[3L 1]} (7.106)


x3 [n] = {1
x[24
L4
M
+2
44
44443 14444244443
M 1 puncte din datele
sec ventei x2 [ n ]

L date noi

[i a[a mai departe.


Secven]ele de date rezultate prin IDFT sunt date de (7.102), unde
primele M-1 puncte nu sunt luate `n calcul datorit\ erorii alias produse de
acestea, iar cele L puncte r\mase constituie rezultatul dorit din convolu]ia
liniar\. Opera]iile de segmentare a datelor de intrare [i concatenare a
blocurilor ob]inute la ie[ire pentru ob]inerea secven]ei de ie[ire sunt
ilustrate `n figura 7.4.
Din descrierea metodelor anterioare de filtrare a secven]elor lungi
de date ar putea p\rea c\ folosirea DFT nu este numai o metod\ indirect\,
ci [i una care presupune efectuarea multor calcule, deoarece datele de
intrare trebuie transformate `n domeniul frecven]\ cu ajutorul DFT, apoi
multiplicate cu DFT a r\spunsului la impuls al filtrului, iar `n final
rezultatul trebuie transformat `n domeniul timp cu ajutorul IDFT.
Utiliznd `ns\ algoritmi rapizi de calcul ai DFT [i IDFT, efortul de
calcul este inferior celui necesar calcul\rii secven]ei de ie[ire prin
realizarea direct\ a sistemului FIR `n domeniul timp (suma de
convolu]ie). Dup\ cum s-a mai men]ionat, dac\ wN = e j 2 / N , atunci

261

N 1

X [k ] = x[n]wNkn , 0 k N 1
[i

n =0

(7.107)
1 N 1
kn
x[n] = X [k ]wN , 0 n N 1
N k =0
Calcularea direct\ a lui X [k ] necesit\ N multiplic\ri complexe (4N
reale), N-1 adun\ri complexe (4N-2 reale), `n total fiind necesare N2
multiplic\ri complexe [i N2 - N adun\ri complexe. Exist\ dou\ propriet\]i
de simetrie [i periodicitate care reduc substan]ial complexitatea
calculelor. Acestea sunt:
wNk + N / 2 = wNk
(7.108)
wNk + N = wNk
(7.109)
Transformata Fourier rapid\ este un algoritm rapid de calcul pentru DFT,
care folose[te aceste propriet\]i.

Figura 7.4. Filtrare liniar\ prin metoda cu suprapunere [i salvare

7.4. Probleme propuse


7.1. S\ se calculeze transformata Fourier discret\ `n N puncte pentru
semnalele:

262

a) x[n ] = [n ] ;
b) x[n] = [n n0 ] ,

0 < n0 < N ;

c) x[n] = a n , 0 n N 1 ;
1 , 0 n 7
d) x[n] =
;
0 , 8 n 15

e) x[n] = e j (2 / N )k0 n , 0 n N 1 ;

2
k0 n , 0 n N 1 ;
N
2
k0 n , 0 n N 1 .
g) x[n] = sin
N
f)

x[n] = cos

7.2.Se consider\ semnalul cu durat\ finit\ x[n] = {1, 2, 3, 1}


a) s\ se calculeze transformata Fourier discret\ `n patru puncte prin
rezolvarea sistemului de 4 ecua]ii liniare cu 4 necunoscute definit de
formula transformatei Fourier discrete inverse;
b) s\ se verifice rezultatul de la punctul a) prin calcularea DFT `n 4
puncte, conform defini]iei.
7.3. a) S\ se calculeze transformata Fourier X ( ) a semnalului

x[n] = {1, 2, 3, 2, 1, 0}

b) S\ se calculeze DFT `n 6 puncte , V (k ) , a semnalului

v[n] = {3, 2, 1, 0, 1, 2}

c) Care este leg\tura dintre X () [i V (k ) ? S\ se explice.


7.4. Primele 5 valori ale transformatei Fourier discrete `n 8 puncte a
secven]e
reale
sunt
{0.25, 0.125 j 0.3018, 0, 0.125 j 0.0518, 0}. S\ se determine
celelalte 3 valori.

unei

7.5. S\ se calculeze convolu]ia circular\ `n 8 puncte pentru secven]ele


urm\toare:
a) x1 [n] = {1, 1, 1, 1, 0, 0, 0, 0}

x2 [n] = sin

3
n , 0 n 7;
8

263

1
b) x1 [n] = , 0 n 7
4
3
x2 [n] = cos n , 0 n 7
8
7.6.

2
x1 [n] = cos
n
N

Se

dau

2
x 2 [n] = sin
n
N

secven]ele:

0 n N 1.

S\ se determine, `n N puncte:
a) convolu]ia circular\ x1 [n]
Nx 2 [n ];
b) corela]ia circular\ dintre x1 [n] [i x2 [n];
c) autocorela]ia circular\ a lui x1 [n] ;

d) autocorela]ia circular\ a lui x2 [n] .


N 1

7.7. S\ se calculeze expresia

x [n] x [n]
n =0

pentru urm\toarele perechi de secven]e:

2
n , 0 n N 1;
N
2
2
b) x1 [n] = cos
n , x 2 [n] = sin
n , 0 n N 1;
N
N
c) x1 [n] = [n] + [n 8] , x 2 [n] = u[n] u[n N ] .

a) x1 [n] = x 2 [n ] = cos

7.8. S\ se determine DFT-ul `n N puncte pentru secven]ele:

xc [n] = x[n]cos

2kn
, 0 n N 1
N
[i

x s [n] = x[n]sin
7.9.

S\

2kn
, 0 n N 1.
N

determine convolu]ia circular\ a


x1 [n] = {1, 2, 3, 1} [i x2 [n] = {1, 2, 3, 1} `n domeniul timp.

se

264
N

secven]elor

7.10. S\ se determine x3 [n] = x1 [n] x2 [ n] cu ajutorul DFT [i


IDFT `n patru puncte, unde x1 [n] [i x2 [n] sunt secven]ele din problema
anterioar\.
7.11. Cunoscnd DFT-ul `n opt puncte a secven]ei

1 , 0 n 3
x[n] =
0, 4 n 7
s\ se calculeze DFT pentru urm\toarelor secven]e:

1 , n = 0

a) x1 [n] = 0, 1 n 4 ;
1 , 5 n 7

0, 0 n 1

b) x1 [n] = 1 , 2 n 5 .
0, 6 n 7

7.12. Fie X [k ] transformata Fourier discret\ `n N puncte a secven]ei


x[n] , 0 n N 1 . Care este DFT `n N puncte a secven]ei
s[n] = X [n] , 0 n N 1 ?
7.13. Unui sistem liniar invariant `n timp cu r\spunsul `n frecven]\

H () i se aplic\ la intrare semnalul periodic x[n] =

[n kN ]. Se

k =

calculeaz\ DFT-ul Y [k ] din e[antioanele y[n ], 0 n N 1 , ale


secven]ei de ie[ire. Care este leg\tura dintre Y [k ] [i H () .

265

CAPITOLUL 4

STRUCTURI PENTRU IMPLEMENTAREA


SISTEMELOR DISCRETE
Acest capitol este dedicat implementrii sistemelor discrete,
liniare, invariante n timp. Exist diferite configuraii de structuri pentru
implementarea sistemelor discrete cu rspuns finit (FIR) i infinit la
impuls (IIR), dintre care se vor prezenta formele directe, structurile n
cascad, n paralel i cele lattice, ce prezint robustee la implementarea
cu aritmetic finit. De asemenea, este descris n acest capitol
implementarea n domeniul frecven a unui sistem FIR, care are
avantajul de a fi eficient din punct de vedere al calculelor, fa de alte
implementri pentru sistemele FIR.
O parte semnificativ a acestui capitol se refer la descrierea
sistemelor discrete, liniare, invariante n timp, n spaiul strilor. Este
prezentat, de asemenea, o analiz a sistemelor caracterizate cu ajutorul
variabilelor de stare.

4.1. Consideraii asupra implementrii sistemelor


discrete
Sistemele discrete, liniare, invariante n timp sunt caracterizate
de ecuaia cu diferene cu coeficieni constani descris de relaia
N

k =1

k =0

y[n] = ak y[n k ] + bk x[n k ]

(4.1)

O astfel de clas de sisteme liniare invariante n timp sunt


caracterizate de funcia de sistem
M

H (z ) =

b z
k =0
N

1 + ak z
k =1

183

(4.2)
k

Cu ajutorul ultimei caracterizri, se obin zerourile i polii funciei de


transfer, care depind de alegerea parametrilor sistemului {ak} i {bk} i
care determin rspunsul n frecven al sistemului.
n acest capitol se vor prezenta diferite metode de implementare a
relaiilor (4.1) sau (4.2), care depind de forma n care aceste dou
caracterizri sunt aranjate. n general, relaia (4.1) poate fi privit ca o
procedur de calcul (un algoritm) pentru determinarea secvenei de ieire
y[n] a sistemului, cunoscnd secvena de intrare la momentele n, n-1, n-M
i condiiile iniiale pentru sistem [63]. Relaia (4.1) poate fi aranjat ntrun set echivalent de ecuaii cu diferene. Fiecare set de ecuaii definete o
procedur de calcul sau un algoritm pentru implementarea sistemului.
Pentru fiecare set de ecuaii se poate construi o diagram bloc constnd
din interconexiuni de elemente de ntrziere, elemente de multiplicare i
sumare. Avnd n vedere cele prezentate, ar putea aprea ntrebarea de ce
nu sunt implementate direct cele dou relaii i ce beneficii decurg din
rearanjarea acestora n diverse moduri. n acest capitol se urmrete a se
rspunde la aceast ntrebare, innd cont c factorii importani care
determin alegerea unei structuri particulare sunt complexitatea
calculului, necesarul de memorie i efectele lungimii finite a cuvintelor
asupra performanelor sistemului.
Complexitatea calculului se refer la numrul de operaii
aritmetice (n general, multiplicri i sumri) necesare pentru a calcula o
valoare de ieire y[n] a sistemului.
Memoria necesar se refer la numrul de locaii de memorie
necesare pentru a stoca parametrii de sistem, intrri anterioare, ieiri
anterioare i orice valori intermediare necesare.
Efectele lungimii finite a cuvintelor sau efectele de precizie
finit se refer la efectele de cuantizare ce sunt prezente n orice
implementare digital a sistemului, fie ea hardware sau software.
Parametri unui sistem trebuie reprezentai cu precizie finit. Calculele
care sunt executate n procesul de obinere a valorii unei ieiri din sistem
trebuie neaprat rotunjite sau trunchiate adecvat n limita preciziei date de
calculator. Un alt considerent ce trebuie avut n vedere este tipul de
aritmetic folosit, virgul fix sau mobil. Toate aceste probleme sunt
uzual denumite efectele lungimii finite a cuvintelor i sunt extrem de
importante, avnd influen n alegerea modului de implementare a unui
sistem. Se va observa c diferite structuri de sistem, care sunt echivalente
pentru precizie infinit, prezint o comportare diferit, cnd mrimile care
caracterizeaz sistemul sunt reprezentate cu precizie finit. Prin urmare,
184

este foarte important n practic a selecta o implementare care nu este


foarte sensibil la efectele lungimii finite a cuvintelor.
Dei factorii majori prezentai mai sus influeneaz alegerea
modului de implementare a unui sistem, mai exist i ali factori, destul de
importani, cum ar fi timpul necesar furnizrii mrimii de interes. n acest
sens, posibilitatea de procesare n paralel sau pipeline, poate juca un rol
important n alegerea modului de implementare a sistemului.
n analiza de fa a structurilor de implementare a sistemelor
discrete, se vor avea n vedere cei trei factori importani prezentai mai
sus. Ocazional, vor fi inclui unii factori suplimentari care pot fi de o
importan major n unele implementri. n particular, n acest capitol se
va urmri complexitatea calculelor i memoria necesar.

4.2. Implementarea sistemelor cu rspuns finit la


impuls
Pentru a pstra unitatea de notaii cu Capitolul 2, se consider c,
n general, un sistem FIR este descris de ecuaia cu diferene
y[n] =

M 1

b x[n k ]
k =0

(4.3)

sau, echivalent, prin funcia de sistem

H (z ) =

M 1

h[k ]z

(4.4)

k =0

Rspunsul la impuls al unui sistem FIR este identic cu coeficienii


bn, prin urmare, se poate scrie
0 n M 1
b
(4.5)
h[n] = n
n rest
0
n continuare se vor prezenta diferite metode de implementare a
unui sistem FIR, ncepnd cu cea mai simpl structur, numit forma
direct. O a doua structur este forma n cascad. A treia structur care va
fi prezentat este cea cu eantionare n frecven. n final, se va prezenta
structura lattice.

4.2.1. Implementarea n forma direct


Aceasta implementare rezult imediat din ecuaia cu diferene
nerecursiv dat de relaia (4.3) sau, echivalent, prin suma de convoluie
185

y[n] =

M 1

h[k ]x[n k ]

(4.6)

k =0

Structura care implementeaz aceast relaie este ilustrat n figura


4.1. Se observ c aceast structur necesit M-1 locaii de memorie
pentru cele M-1 intrri anterioare, i are o complexitate de M multiplicri
i M-1 sumri pentru fiecare ieire. Deoarece ieirea const dintr-o
combinaie liniar a intrrii curente i a M-1 valori anterioare ale acesteia,
structura din figura 4.1. reprezint o linie de ntrziere sau un sistem
transversal. Din aceast cauz forma direct de implementare este adesea
numit filtru transversal sau filtru cu linie de ntrziere.

Fig. 4.1. Forma direct de implementare a sistemului FIR

n Capitolul 2 s-a artat c rspunsul la impuls al unui sistem FIR


de faz liniar satisface condiia
h[n] = h[ M 1 n]
(4.7)
Pentru un astfel de sistem numrul de multiplicri este redus de la
M la M/2 pentru M par i la (M+1)/2 pentru M impar. n figura 4.2 este
ilustrat posibilitatea de implementare a unui sistem FIR cu faz liniar
pentru M impar.

Figura 4.2. Forma direct de implementare a unui sistem FIR de faz liniar cu M impar

186

4.2.2. Implementarea n cascad


Implementarea n cascad presupune scrierea funciei de sistem
dat de relaia (4.4) sub forma unui produs de factori H k (z ) , k = 1, K .
K

H (z ) = G H k (z )

(4.8)

k =1

unde, n cazul implementrii cu module de ordinul doi,


(4.9)
H k (z ) = 1 + bk1 z 1 + bk 2 z 2 , k = 1,2,,K
K fiind partea ntreag a lui (M+1)/2. Factorul de ctig G ar putea fi egal
distribuit ntre cele K seciuni ale filtrului, astfel c G = G1G2GK.
Zerourile lui H ( z ) sunt grupate n perechi ce produc sistemele de ordin
doi de tip FIR caracterizate de relaia (4.9). ntotdeauna se dorete a grupa
perechi de rdcini complex-conjugate astfel nct coeficienii
{bki }, i = 1,2 , din relaia (4.9) s fie reali. Rdcinile reale pot fi
mperecheate n orice manier. Implementarea n cascad cu seciunea de
baz de ordin doi este indicat n figura 4.3.

Figura 4.3. a) Realizarea n cascad a unui sistem FIR, b) o seciune de filtru FIR de
ordinul doi

n cazul filtrelor FIR de faz liniar, simetria n h[n] implic


faptul c zerourile lui H(z) prezint, de asemenea, o form de simetrie. n
particular, dac zk i zk* sunt o pereche de zerouri complex-conjugate
atunci 1/zk i 1/zk* sunt, de asemenea, o pereche de zerouri complexconjugate. Prin urmare, se obin cteva simplificri formnd seciuni de
ordinul patru pentru un sistem FIR, dup cum urmeaz:
*
*
H k (z ) = (1 z k z 1 ) 1 z k z 1 (1 z 1 / z k ) 1 z 1 / z k
(4.10)
= 1 + ck1z-1 + ck2z-2 + ck1z-3 + z-4

187

unde coeficienii {c k1 } i {c k 2 } sunt funcii de zerourile zk. Astfel,


combinnd cele dou perechi de zerouri, pentru a forma o seciune de
ordin patru, numrul de multiplicri se reduce de la patru la dou (cu un
factor de 50%). Figura 4.4 ilustreaz structura de baz a filtrului FIR cu
funcia de sistem (4.10).

Figura 4.4. Seciune de ordinul 4 n realizarea n cascad a unui filtru FIR de faz liniar

4.2.3. Implementarea structurii cu eantionare n


frecven
n implementarea cu eantionare n frecven a unui filtru FIR,
parametrii ce caracterizeaz filtrul sunt valori ale rspunsului n frecven
dorit, n loc de rspunsul la impuls h[n]. Pentru a prezenta acest tip de
structur se reamintete c rspunsul n frecven dorit poate fi specificat
pentru un anumit set de frecvene egal deprtate, i anume:
2
(k + ), = 0 sau 1
k =
M
2
M 1
k = 0,1,.....,
, M impar
2
M
k = 0,1,....., 1, M par
2
Se alege = 12 cnd rspunsul n frecven n origine nu poate fi
specificat, din motivele prezentate n paragraful 2.5. Dac rspunsul n
frecven se exprim sub forma
H ( ) =

M 1

h[n]e
n =0

jn

atunci valorile lui H ( ) la frecvenele k = (2 / M )(k + ) sunt


188

M 1
2
(k + ) = h[n]e j 2 (k + )n / M , k = 0,1,..., M 1 (4.11)
H (k + ) = H
M
n =0
Cazul = 0 corespunde transformatei Fourier discrete (DFT) n
M puncte a secvenei {h[n]}.
Din relaia (4.11) rezult
1 M 1
h[n] =
H (k + )e j 2 (k + )n / M n = 0, 1, . . ., M-1 (4.12)
M k =0
Pentru = 0 , {h[n]} reprezint transformata Fourier discret
invers (IDFT) a lui {H (k )}. Dac se nlocuiete expresia lui h[n] n
expresia funciei de sistem, se obine
M 1
M 1

1 M 1
H (z ) = h[n]z n = H (k + )e j 2 (k + )n / M z n
(4.13)
n =0
n =0 M k =0

Schimbnd ordinea de sumare n (4.13), se obine


M 1
n
1 M 1
H (z ) = H (k + ) e j 2 (k + ) / M z 1 =
k =0
M n =0

(4.14)
M j 2 M 1
1 z e
H (k + )
=

j 2 ( k + ) / M 1
M
z
k =0 1 e
Astfel, funcia de sistem, H (z ) , este caracterizat de setul de
eantioane n frecven {H (k + )}. Acest filtru FIR poate fi privit ca o
cascad de dou filtre, H(z) = H1(z)H2(z). Unul dintre ele este un filtru
pieptene, cu funcia de sistem
1
H1 ( z) =
(1 z M e j 2 )
(4.15)
M
Zerourile sale sunt poziionate n puncte egal deprtate pe cercul
unitate
z k = e j 2 (k + ) / M
k = 0, 1, , M-1.
Al doilea filtru, cu funcia de sistem
M 1
H (k + )
H 2 (z ) =
(4.16)
j 2 ( k + ) / M 1
z
k =0 1 e
const dintr-un banc paralel de filtre cu un singur pol
p k = e j 2 (k + ) / M
k = 0, 1, . . .,M-1
Se observ c poziionarea polilor este identic cu poziionarea
zerourilor i ambele apar la k = 2 (k + ) / M , care sunt frecvenele la

189

care este specificat rspunsul n frecven dorit. Acest tip de implementare


este ilustrat n figura 4.5.

Figura 4.5. Implementarea cu eantionare n frecven pentru filtre FIR

Cnd caracteristica rspunsului n frecven a filtrului FIR este de


band ngust, muli dintre factorii de ctig {H (k + )} vor fi zero. Prin
urmare, filtrele rezonante corespunztoare pot fi eliminate, reinndu-se
doar filtrele cu ctig nenul. Rezultatul este un filtru care necesit un
numr mai mic de calcule (multiplicri i adunri) fa de implementarea
n form direct, obinndu-se astfel o implementare mult mai eficient. n
cazul cnd rspunsul la impuls este real, structura cu eantionare n
frecven poate fi simplificat i mai mult, innd seama de simetria n
H (k + ) , i anume, H (k ) = H * (M k ) pentru = 0 i
1
1
1

H k + = H * M k pentru = . Aceste relaii se deduc uor


2
2
2

din relaia (4.11). Ca rezultat al acestei simetrii, o pereche de filtre cu un


singur pol pot fi combinate pentru a forma un filtru cu doi poli cu
coeficieni reali. Astfel, pentru = 0 funcia de transfer H 2 ( z ) se reduce la
H 2 (z ) =

H (0) ( M 1) / 2
A(k ) + B(k ) z 1
+
, M impar (4.17)

1
1 z 1
+ z 2
k =1 1 2 cos(2 k / M ) z
190

H 2 (z ) =

H (0) H ( M / 2) ( M / 2 ) 1
A(k ) + B (k ) z 1
+
+
, M par

1
1 z 1
1 + z 1
+ z 2
k =1 1 2 cos(2 k / M ) z
(4.17)

unde

A(k ) = H (k ) + H ( M k )
B (k ) = H (k )e j 2k / M + H ( M k )e j 2k / M
Expresii similare se pot obine i pentru = .

(4.18)

Exemplul 4.1.
S se deseneze diagrama bloc pentru implementarea formei
directe i cea cu eantionare n frecven, pentru M = 32 i = 0 , pentru
filtrul FIR de faz liniar, cu funcia de transfer
k = 0,1,2
1,

2 k 1
H
k =3
= ,
32 2
k = 4,5,....,15
0,

S se compare complexitatea calculului pentru aceste dou structuri.


Soluie. Deoarece filtrul este de faz liniar, rspunsul su la
impuls prezint o form de simetrie care va conduce, n cazul
implementrii n forma direct, la reducerea numrului de multiplicri cu
un factor de 2, adic de la 32 la 16. Numrul de sumatoare este 31.
Diagrama bloc a formei directe de implementare este ilustrat n figura
4.6.

Figura 4.6. Implementarea n forma direct a filtrului FIR de faz liniar pentru M=32

n implementarea filtrului prin structura cu eantionare n


frecven s-au folosit relaiile (4.15) i (4.17), n care s-au eliminat toi
191

termenii care au coeficienii cu ctig zero {H (k )}. Coeficienii cu ctig


nenul sunt H (k ) i perechile corespunztoare H ( M k ), pentru
k = 0,1, 2, 3. Diagrama bloc pentru acest tip de implementare este
indicat n figura 4.7. Deoarece H(0) = 1, filtrul cu un singur pol nu
necesit operaii de multiplicare. Cele trei filtre cu doi poli necesit trei
multiplicri fiecare, deci, n total, nou multiplicri. Numrul total de
sumri este 14. Prin urmare, implementarea cu eantionare n frecven a
filtrului FIR, este, din punct de vedere al calculului, mult mai eficient
dect forma direct de implementare.

Figura 4.7. Implementarea cu eantionare n frecven a filtrului FIR din exemplul 4.1.

4.2.4. Structura lattice


n acest paragraf se introduce o alt structur de filtru FIR, numit
lattice, des utilizat n implementarea filtrelor adaptive.
Se consider o succesiune de filtre FIR cu funciile de transfer
192

H m ( z ) = Am ( z )

m = 0, 1, 2, ..., M-1

(4.19)

unde, prin definiie Am (z ) este un polinom


m

Am ( z ) = 1 + m [k ]z k

m 1,

(4.20)

k =1

i A0 ( z ) = 1. Rspunsul la impuls al filtrului de ordin m este hm [0] = 1 i


hm [k ] = m [k ] , k = 1, 2, .,m. Din considerente matematice se definete
m [0] = 1 . Dac x[n] este secvena de intrare n filtrul Am (z ) i y[n]
secvena de ieire, se poate scrie
m

y[n] = x[n] + m [k ]x[n k ]

(4.21)

k =1

Dou structuri de realizare a filtrelor FIR n forma direct sunt date


n figura 4.8.

Figura 4.8. Forma direct de realizare pentru (a) un filtru FIR, (b) un filtru FIR predictor

Structurile din figura 4.8 sunt n strns legtur cu predicia


liniar [16], unde
m

x[n] = m [k ]x[n k ]

(4.22)

k =1

este valoarea prezis a lui x[n] pe baza a m intrri anterioare, x[n-1],


x[n-2], , x[n-m], iar y[n] = x[n] x[n] , dat de (4.21), reprezint eroarea
de predicie. Astfel, ieirea filtrului FIR dat de relaia (4.21) poate fi
vzut ca eroarea ntre valoarea adevrat a semnalului x[n] i valoarea
prezis x[n].
193

Se consider un filtru de ordinul m = 1. Ieirea unui astfel de filtru


este

y[n] = x[n] + 1[1]x[n 1]


(4.23)
n figura 4.9 se prezint un filtru lattice de ordinul nti sau un
filtru lattice cu o singur treapt. Dac n aceast structur se excit
ambele intrri cu x[n] i se selecteaz ieirea de pe ramura de sus, se
obine exact semnalul dat de relaia (4.23), dac se alege K1=1[1].
Parametrul K1 din structura lattice este denumit coeficient de reflexie.

Figura 4.9. Filtru lattice cu o treapta

Pentru aceast structur se pot scrie relaiile:


f 0 [n] = g 0 [n] = x[n]
f1[n] = f 0 [n] + K1 g 0 [n 1] = x[n] + K1 x[n 1]

(4.24)

g1[n] = K1 f 0 [n] + g 0 [n 1] = K1 x[n] + x[n 1]


n continuare, se consider un filtru FIR pentru care m = 2. n acest
caz ieirea structurii n form direct este
y[n] = x[n] + 2 [1]x[n 1] + 2 [2]x[n 2]
(4.25)
Conectnd n cascad dou trepte de structuri lattice ca n figura
4.10, este posibil a se obine ieirea ca n relaia (4.25).

Figura 4.10. Filtru lattice cu dou trepte

Ieirea din prima treapt este dat de relaia (4.24), iar ieirea din
treapta a doua este
f 2 [n] = f1[n] + K 2 g1[n 1]
(4.26)
g 2 [n] = K 2 f1[n] + g1[n 1]
194

nlocuind f1[n] i g1[n] din relaia (4.24) n relaia (4.26) se obine


f 2 [n] = x[n] + K1 x[n 1] + K 2 [K1 x[n 1] + x[n 2]]
(4.27)
= x[n] + K1 (1 + K 2 ) x[n 1] + K 2 x[n 2]
Relaia (4.27) este identic cu ieirea filtrului FIR n forma direct
dat de (4.25), dac ntre coeficieni exist relaiile
2 [ 2] = K 2
2 [1] = K1 (1 + K 2 )
(4.28)
sau, echivalent
2 [1]
K 2 = 2 [2]
K1 =
(4.29)
1 + 2 [2]
Astfel, coeficienii de reflexie ai structurii lattice, K1 i K2, pot fi
obinui din coeficienii { m [k ]} ai formei directe de implementare.
Continund procedeul de cascadare a structurilor lattice, se poate
demonstra prin inducie echivalena dintre filtrul FIR de ordin m
implementat n forma direct i filtrul lattice de ordin m sau cu m trepte.
Filtrul lattice este descris, n general, de urmtorul sistem de
ecuaii recursive:
f 0 [n] = g 0 [n] = x[n]
(4.30)
f m [n] = f m 1 [n] + K m g m 1 [n 1]
m = 1,2,..., M 1 (4.31)
g m [n] = K m f m 1 [n] + g m 1 [n 1]
m = 1,2,..., M 1 (4.32)
Ieirea filtrului cu (M-1) trepte corespunde ieirii filtrului FIR de
ordin (M-1). Prin urmare
y[n] = f M 1[n] .

Figura 4.11. (a) Filtru lattice cu M-1 trepte, (b) Structura unei trepte
195

Figura 4.11 ilustreaz un filtru lattice cu M-1 trepte ntr-o


diagram bloc, mpreun cu structura unei trepte, caracterizat de
relaiile (4.31) i (4.32).
Ca urmare a echivalenei ntre un filtru FIR n form direct i un
filtru lattice, ieirea fm[n] a unui filtru lattice de ordin m poate fi exprimat
sub forma
m

f m [n] = m [k ]x[n k ]
k =0

m [0] = 1

(4.33)

Deoarece relaia (4.33) este o sum de convoluie, transformata sa Z este


(4.34)
Fm ( z ) = Am ( z ) X ( z ) , unde Am ( z ) = Z { m [n]}
sau, echivalent
F ( z ) Fm ( z )
=
(4.34)
Am ( z ) = m
X ( z)
F0 ( z )
Cealalt ieire a structurii lattice, g m [n] , ar putea fi, de asemenea,
exprimat sub forma unei sume de convoluie ca n relaia (4.33), utiliznd
un alt set de coeficieni, notai { m [k ]}. Din relaia (4.24) se observ cum
coeficienii filtrului care produce ieirea f1[n] sunt {1, K1 } = {1, 1[1]} n
timp ce coeficienii filtrului cu ieirea g1[n] , sunt {K1 , 1} = {1[1], 1}. Se
observ c aceste dou seturi de coeficieni sunt n ordine invers. Dac se
consider filtrul cu dou trepte, cu ieirea dat de relaia (4.27), atunci
g 2 [n] ar putea fi exprimat sub forma
g 2 [ n] = K 2 f1[ n] + g1[ n 1]

= K 2 [x[n] + K1 x[n 1]] + K1 x[n 1] + x[n 2]


= K 2 x[n] + K1 (1 + K 2 ) x[n 1] + x[n 2]
= 2 [2] x[n] + 2 [1]x[n 1] + x[n 2]

n consecin, coeficienii filtrului sunt { 2 [2], 2 [1], 1}, iar pentru


filtrul ce produce ieirea f 2 [n] sunt {1, 2 [1], 2 [2]} . Aici, din nou, cele
dou seturi de coeficieni sunt n ordine invers.
Din dezvoltarea de mai sus se observ c ieirea g m [n] a filtrului
lattice de ordin m ar putea fi exprimat cu ajutorul sumei de convoluie
m

g m [n] = m [k ]x[n k ]
k =0

(4.35)

unde coeficienii filtrului, { m [k ]}, sunt asociai cu ai filtrului care


produce ieirea f m [n] = y[n] , dar care opereaz n ordine invers.
196

Se presupune n continuare c valorile x[n], x[n-1], . . . ,x[n-m+1],


sunt utilizate pentru predicia liniar a eantionului de semnal x[n-m] [47].
Valoarea prezis este
m 1

x[n m] = m [k ]x[n k ]

(4.36)

k =0

unde coeficienii m [k ] ai filtrului predictor sunt chiar coeficienii


{ m [k ]} luai n ordine invers, prin urmare
m [k ] = m [m k ]
k = 0, 1, . . . ,m
(4.37)
Predicia efectuat pe baza relaiei (4.36) se numete predicie
invers sau napoi, adic datele circul n sens invers prin predictorul cu
coeficienii { m [k ]}. Fa de acesta, filtrul cu funcia de transfer Am (z ) ,
dat de (4.34) efectueaz o predicie direct sau nainte.
n domeniul transformatei Z, relaia (4.35) devine
Gm ( z ) = Bm ( z ) X ( z ) , unde Bm ( z ) = Z { m [n]}
(4.38)
Rezult atunci
G ( z)
(4.39)
Bm ( z ) = m
X ( z)
Bm (z ) reprezint funcia de sistem a filtrului FIR cu coeficienii { m (k )} ,
care se poate scrie
m

Bm ( z ) = m [ k ] z k

(4.40)

k =0

nlocuind (4.37) n (4.40) se obine


m

Bm ( z ) = m [ m k ] z k
k =0

= m [l ]z

l m

=z

l =0

(4.41)

l =0

[l ]z = z
l

Am ( z )

Din relaia (4.41) rezult c zerourile filtrului FIR cu funcia de


transfer Bm (z ) sunt reciproce zerourilor lui Am (z ) . Din acest motiv
Bm (z ) este numit polinom reciproc sau invers al lui Am (z ) .
Aplicnd transformata Z relaiilor recursive (4.30) (4.32), se obine
F0 ( z ) = G0 ( z ) = X ( z )
(4.42)
Fm ( z ) = Fm 1 ( z ) + K m z 1Gm 1 ( z ) m = 1, 2, . . . , M-1
1

Gm ( z ) = K m Fm 1 ( z ) + z Gm 1 ( z )
197

m = 1, 2, . . . , M-1

(4.43)
(4.44)

mprind fiecare ecuaie prin X (z ) , se obin rezultatele dorite, sub forma


A0 ( z ) = B0 ( z ) = 1
(4.45)

Am ( z ) = Am1 ( z ) + K m z 1 Bm 1 ( z )

m = 1, 2, . . ., M-1

(4.46)

Bm ( z ) = K m Am1 ( z ) + z Bm 1 ( z ) m = 1, 2, . . . , M-1
(4.47)
Astfel, o treapt lattice, este descris n domeniul Z de o ecuaie
matriceal de forma
Am ( z ) 1 K m Am 1 ( z )
(4.48)
B ( z ) = K
1 z 1 Bm 1 ( z )
m m
4.2.4.1. Conversia coeficienilor structurii lattice n coeficieni
ai filtrului n form direct

Coeficienii filtrului FIR realizat n form direct { m [k ]} pot fi


obinui din coeficienii {K i } ai structurii lattice, folosind urmtoarele
relaii:
A0 ( z ) = B0 ( z ) = 1
(4.49)

Am ( z ) = Am 1 ( z ) + K m z 1 Bm 1 ( z ) ,
m

m = 1, 2, . . . , M-1

(4.50)

Bm ( z ) = z Am ( z ) ,
m = 1, 2, . . . , M-1 (4.51)
Soluia este obinut recursiv, ncepnd cu rangul m = 1. Astfel se
obine o succesiune de (M-1) filtre FIR, fiecare din ele pentru o valoare a
lui m. Procedura este ilustrat n exemplul urmtor.
Exemplul 4.2.
Se d un filtru lattice cu trei trepte avnd coeficienii K1 = ,
K2 = , K 3 = 1 3 . S se determine coeficienii filtrului FIR n form
direct.
Soluie. Problema se rezolv recursiv, utiliznd relaia (4.50)
ncepnd cu m = 1.
1
Astfel, A1 ( z ) = A0 ( z ) + K 1 z 1 B0 ( z ) = 1 + K 1 z 1 = 1 + z 1 .
4
Prin urmare, coeficienii filtrului FIR corespunztori structurii
lattice cu o singur treapt, sunt 1[0] = 1, 1[1] = K1 = 1 / 4.
1
Deoarece Bm ( z ) este reciprocul lui Am ( z ) , rezult B1 ( z ) = + z 1 .
4
198

Se adaug a doua treapt structurii lattice. Pentru m=2, din (4.50)


rezult
3
1
A2 ( z ) = A1 ( z ) + K 2 z 1 B1 ( z ) = 1 + z 1 + z 2
8
2
Parametrii filtrului FIR corespunztori structurii lattice cu dou
trepte sunt 2 [0] = 1, 2 [1] = 3 / 8, 2 [ 2] = 1 / 2. Din (4.51) rezult atunci
1 3
B2 ( z ) = + z 1 + z 2
2 8
n final, prin adugarea celei de-a treia trepte n structura lattice,
rezult polinomul
13 1 5 2 1 3
A3 ( z ) = A2 ( z ) + K 3 z 1 B2 ( z ) = 1 +
z + z + z
24
8
3
i, ca urmare, filtrul FIR n form direct este caracterizat de coeficienii
1
5
13
3 [0] = 1, 3 [1] = , 3 [2] = , 3 [3] =
3
8
24
n general, structura lattice cu parametrii K1 , K 2 , ..., K m ,
corespunde unei clase de m filtre FIR n forma direct cu funciile de
sistem A1 ( z ), A2 ( z ),..., Am ( z ). Este interesant de observat c o caracterizare
a acestei clase de filtre FIR n form direct necesit m(m+1)/2 coeficieni,
n timp ce o caracterizare lattice necesit doar m coeficieni de reflexie
{K i }. Motivul pentru care structura lattice produce o reprezentare mult
mai compact pentru clasa de filtre FIR de ordin m se datorez faptului c
adugarea treptelor la structura lattice nu modific parametrii treptelor
anterioare, n timp ce coeficienii funciei de sistem Am ( z ) sunt total
diferii de coeficienii unui filtru FIR de ordin inferior, cu funcia de
sistem Am 1 ( z ).
O formul pentru determinarea recursiv a coeficienilor { m [k ]}
ai filtrului poate fi obinut din polinoamele date n relaiile (4.49)(4.51).
Din relaia (4.50) se obine
Am ( z ) = Am 1 ( z ) + K m z 1 Bm 1 ( z )
m
m 1
m 1
(4.52)
m [k ]z k = m1[k ]z k + K m m1[m 1 k ]z ( k +1)
k =0

k =0

k =0

Prin egalarea coeficienilor de puteri egale a lui z 1 i reamintind


c m [0] = 1 pentru m = 1,2,...,M-1, se obin ecuaiile recursive dorite
pentru coeficienii filtrului FIR sub forma
199

m [0] = 1
(4.53)
m [ m] = K m
(4.54)
m [k ] = m1 [k ] + K m m 1 [m k ] = m 1 [k ] + m [m] m 1 [m k ]
1 k m 1, m = 1, 2, ... M 1.

(4.55)

4.2.4.2. Conversia coeficienilor filtrului FIR din forma direct


n coeficieni ai structurii lattice

Dac se cunosc coeficienii filtrului FIR pentru implementarea n


form direct sau, echivalent, polinomul Am ( z ) i se dorete determinarea
coeficienilor corespunztori structurii lattice, de ordin m, atunci
K m = m [m]. Pentru a obine coeficientul K m 1 sunt necesare polinoamele
Am1 ( z ) deoarece, n general, K m este obinut din polinomul Am ( z )
pentru m=M-1, M-2,..,1. Prin urmare, trebuie calculate succesiv
polinoamele Am ( z ) , ncepnd de la m = M-1 pn la m = 1.
Relaia recursiv dorit pentru polinoame se determin uor din
(4.46) i (4.47).
Am ( z ) = Am 1 ( z ) + K m z 1 Bm 1 ( z )
= Am1 ( z ) + K m [Bm ( z ) K m Am 1 ( z )]

de unde rezult

Am ( z ) K m Bm ( z )
m = M 1, M 2,...,1
(4.56)
1 K m2
Astfel se calculeaz toate polinoamele de grad inferior Am ( z )
ncepnd cu AM 1 ( z ) i se obin coeficienii dorii ai structurii lattice din
relaia K m = m [m]. Se observ c procedura prezentat este operaional
Am 1 ( z ) =

att timp ct K m 1 pentru m = 1, 2, ...,M-1.


Din ecuaia recursiv (4.56), se poate obine o formul pentru
calculul recursiv al coeficienilor K m , ncepnd cu m = M-1 pn la m=1.
Pentru m = M-1, M-2,...,1 se obine
K m = m [m ]
m 1 [ 0 ] = 1
(4.57)
[k ] K m m [k ] m [k ] m [m] m [m k ]
m 1 [k ] = m
=
, 1 k m 1 (4.58)
1 K m2
1 m2 [m]
de asemenea, recursiv.
200

Ecuaia recursiv (4.58) nu poate fi folosit, dac K m = 1. Dac


aceasta se ntmpl, nseamn c polinomul Am 1 ( z ) are o rdcin pe
cercul unitate. Aceasta poate fi factorizat n polinomul Am 1 ( z ) i
procesul iterativ dat de relaia (4.58) se reia pentru sistemul de ordin redus.
Exemplul 4.3.
S se determine coeficienii structurii lattice corespunztoare
filtrului FIR cu funcia de sistem
13 1 5 2 1 3
H ( z ) = A3 ( z ) = 1 +
z + z + z
24
8
3
1
Soluie. Mai nti se observ c K 3 = 3 [3] = . Mai departe,
3
1 5
13 2
B3 ( z ) = + z 1 +
z + z 3
3 8
24
Relaia de decrementare din (4.56), cu m =3, conduce la
A ( z ) K 3 B3 ( z )
3
1
A2 ( z ) = 3
= 1 + z 1 + z 2
2
8
2
1 K3

Prin urmare, K 2 = 2 [2] = 1 / 2 i B2 ( z ) = 1 / 2 + (3 / 8) z 1 + z 1 . Repetnd


decrementarea recursiv, se obine
A ( z ) K 2 B2 ( z )
1
= 1 + z 1
A1 ( z ) = 2
2
4
1 K2
1
Astfel, K1 = 1[1] = .
4

4. 3. Implementarea sistemelor cu rspuns infinit la


impuls
n aceast seciune se consider diferite structuri de sisteme de tip
IIR descrise prin ecuaia cu diferene (4.1) sau, echivalent, prin funcia de
sistem (4.2). Ca i n cazul sistemelor FIR, exist mai multe tipuri de
structuri de implementare, incluznd structura n form direct, structura
n cascad, n paralel, structura lattice numai cu poli i structura lattice cu
poli i zerouri.

201

4.3.1. Implementarea n form direct


Funcia de sistem dat n relaia (4.2) ce caracterizeaz un sistem
IIR, poate fi vzut ca o cascad de dou sisteme, astfel nct
H ( z) = H1 ( z)H 2 ( z)
(4.59)
unde H 1 ( z ) conine toate zerourile lui H (z ) iar H 2 ( z ) conine toi polii
lui H (z ) , adic
M

H 1 ( z ) = bk z k

(4.60)

k =0

H 2 ( z) =

1
N

1 + ak z

(4.61)
k

k =1

Figura 4.12. Implementarea sistemului IIR n forma direct I

H 1 ( z ) este un sistem FIR, iar implementarea sa n form direct a


fost prezentat n figura 4.1. Conectnd sistemul numai cu poli H 2 ( z ) n
cascad cu H 1 ( z ) , se obine implementarea n forma direct I, ilustrat n
figura 4.12. Aceast implementare necesit M+N+1 multiplicatoare, M+N
sumatoare, i M+N locaii de memorie.
202

Dac filtrul numai cu poli, H 2 ( z ) , este plasat naintea filtrului


numai cu zerouri, H 1 ( z ) , se obine o structur mai compact. Se
reamintete c ecuaia cu diferene pentru un filtru numai cu poli este
N

w[n] = a k w[n k ] + x[n]

(4.62)

k =1

Dac w[n] este intrarea sistemului numai cu zerouri, atunci ieirea sa este
M

y[n] = bk w[n k ]

(4.63)

k =0

Se observ c ambele relaii (4.62) i (4.63) implic versiuni


ntrziate ale secvenei {w[n]}. Prin urmare, este necesar doar o singur
linie de ntrziere sau un singur set de locaii de memorie pentru a stoca
valori trecute ale lui {w[n]}. Structura rezultat care implementeaz (4.62)
i (4.63) este numit implementarea n forma direct II i este ilustrat n
figura 4.13.

Figura 4.13. Implementarea sistemului IIR n forma direct II

Aceast structur necesit M+N+1 multiplicatoare, M+N sumatoare, i


maximul dintre {M , N } de locaii de memorie. Implementarea care
203

minimizeaz numrul de locaii de memorie se numete canonic. Forma


direct II este canonic.
Structurile din figurile 4.12 i 4.13 sunt amndou numite
implementri n form direct deoarece ele se obin direct din funcia de
sistem H (z ) fr nici o rearanjare a acesteia. Din nefericire, ambele
implementri sunt, n general, extrem de senzitive la cuantizarea
parametrilor i nu sunt recomandate n aplicaii practice, problem
detaliat n Capitolul 5.

4.3.2. Grafuri de semnal i structuri transpuse


Un graf de semnal ofer o alternativ echivalent de reprezentare a
structurilor de diagram bloc i este utilizat pentru a ilustra diferite
implementri ale sistemelor. Elementele de baz ale unui graf sunt
nodurile i ramurile. Un graf de semnal are la baz un set de ramuri
orientate ce se conecteaz la noduri. Prin definiie, semnalul care iese
dintr-o ramur este egal cu semnalul care intr n ramur nmulit cu
ctigul ramurii. Suma algebric a semnalelor din toate ramurile conectate
la un nod al unui graf de semnal este egal cu zero.
Pentru fixarea ideilor, se va considera un sistem cu dou zerouri i
cu doi poli ca n diagrama bloc din figura 4.14a, structur echivalent cu
cea din figura 4.14.b. Diagrama bloc a sistemului poate fi convertit ntrun graf de semnal ca n figura 4.14c. Se observ c graful de semnal
conine cinci noduri etichetate de la 1 la 5. Nodurile care conin sumatoare
se numesc de sumare, iar cele n care se conecteaz dou sau mai multe
ramuri i nu conin sumatoare, se numesc de branare. Ambele tipuri de
noduri se reprezint la fel n graf. Dou dintre noduri, (1,3), sunt noduri de
sumare, n timp ce celelalte noduri reprezint puncte de branare din graf.
Transmitanele ramurilor sunt indicate pe ramurile grafului. Se observ c
o ntrziere este indicat prin transmitana z-1. Cnd transmitana ramurii
este unitar, ea rmne neetichetat. Nodul corespunztor intrrii n sistem
se numete nod surs iar nodul corespunztor semnalului de ieire, nod
receptor. Se mai observ c graful de semnal conine aceleai informaii
de baz ca i implementarea diagramei bloc a unui sistem. Singura
diferen aparent este c att sumatoarele ct i punctele de branare a
ramurilor sunt reprezentate prin noduri n graf.

204

Figura 4.14. (a), (b) Filtru de ordinul 2 i (c) graful de semnal corespunztor

Un rezultat de baz din teoria grafurilor se refer la transformarea


unui graf de semnal n altul fr a modifica relaiile funcionale intrareieire. O tehnic utilizat n obinerea structurilor echivalente pentru
sisteme IIR i FIR este dat de teorema reversibilitii grafului [48].
Aceast teorem enun faptul c dac se inverseaz direciile tuturor
transmitanelor ramurilor, nodurile de sumare se schimb n noduri de
branare, i invers, i se inverseaz intrarea cu ieirea n graful de semnal,
funcia de sistem rmne neschimbat. Structura rezultat este denumit
structur sau form transpus.
De exemplu, transpunerea grafului de semnal din figura 4.14c, este
ilustrat n figura 4.15a. Implementarea diagramei bloc corespunztoare a
formei transpuse este ilustrat n figura 4.15b.

Figura 4.15. (a) Graful de semnal al structurii transpuse i (b) implementarea sa

n continuare se va aplica teorema de transpunere formei directe II,


inversndu-se toate direciile ramurilor din figura 4.13, schimbnd
nodurile de branare cu sumatoare i sumatoarele cu noduri de branare, i
n final, interschimbnd intrarea i ieirea. Aceste operaii duc la structura
formei directe II transpuse, ca n figura 4.16a. Aceast figur poate fi
205

redesenat ca n figura 4.16b n care se indic intrarea la stnga i ieirea


la dreapta.

Figura 4.16 a, b, Structura n forma direct II transpus

Aceast form transpus a structurii n forma direct II poate fi


descris de urmtorul sistem de ecuaii cu diferene, pentru N>M:
y[n] = w1[n 1] + b0 x[n]
(4.64)
wk [n] = wk +1 [n 1] a k y[n] + bk x[n] k = 1,2,...., M
(4.65)
wk [n] = wk +1 [n 1] a k y[n], k = M + 1,..., N 1
(4.66)
wN [n] = a N y[n]
Sistemul de ecuaii cu diferene (4.64) (4.66) este echivalent cu o
singur ecuaie cu diferene:
N

k =1

k =0

y[n] = a k y[n k ] + bk x[n k ]

(4.67)

Se observ c transpusa structurii n form direct II necesit un


numr de multiplicatoare, sumatoare i locaii de memorie egal cu cel al
structurii n forma direct original. Performanele structurilor directe i
transpuse pot fi diferite n implementarea cu precizie finit, acestea
depinznd de valorile particulare ale parametrilor, dup cum se va arta n
Capitolul 5.
206

Un sistem FIR se obine din relaia (4.67) impunnd


a k = 0, k = 1,2,..., N . Acesta poate fi implementat n forma direct
transpus prezentat n figura 4.17, ce se obine din figura 4.16b impunnd
a k = 0, k = 1,2,...., N . Implementare n form transpus poate fi descris
prin sistemul de ecuaii cu diferene
wM [n] = bM x[n]
(4.68)
wk [n] = wk +1 [n 1] + bk x[n] k = M 1, M 2,...,1
(4.69)
y[n] = w1[n 1] + b0 x[n]
(4.70)

Figura 4.17. Structura transpusa pentru un filtru FIR

n tabelul 4.1 sunt prezentate cteva structuri n form direct i


ecuaiile cu diferene corespunztoare pentru un sistem IIR cu doi poli i
dou zerouri avnd funcia de sistem
b + b z 1 + b2 z 2
H ( z ) = 0 1 1
(4.71)
1 + a1 z + a 2 z 2
Acesta este blocul de baz n implementarea sistemelor IIR de
ordin nalt. Din cele trei structuri n form direct prezentate n Tabelul
4.1, structura n form direct II este cea mai utilizat datorit numrului
mic de locaii de memorie necesar pentru implementare.
Tabelul 4.1
Structuri

Ecuaii de implementare
y[n] = b0 x[n] + b1 x[n 1]

Forma diect I

+ b2 x[n 2] a1 y[n 1]
a 2 y[n 2]

207

w[n] = a1 w[n 1]
Forma direct II

a 2 w[n 2] + x[n]
y[n] = b0 w[n] + b1 w[n 1]

Forma direct II transpus

+ b2 w[n 2]

y[n] = b0 x[n] + w1[n 1]


w1[n] = b1 x[n] a1 y ]n]
+ w2 [n 1]
w2 [n] = b2 x[n] a 2 y[n]

4.3.3. Implementarea n cascad a sistemelor IIR


Se consider un sistem IIR cu funcia de sistem dat de relaia
(4.2). De asemenea, se consider c N M . Sistemul poate fi divizat
ntr-o cascad de subsisteme de ordin doi, astfel nct H (z ) poate fi
exprimat ca
K

H ( z ) = b0 H k ( z )

(4.72)

k =1

unde K este partea ntreag a lui (N+1)/2. H k (z ) are forma general


1 + bk1 z 1 + bk 2 z 2
(4.73)
H k ( z) =
1 + a k1 z 1 + a k 2 z 2
iar b0 este factorul de ctig.
Ca i n cazul sistemelor FIR implementate n cascad, factorul de
ctig poate fi egal distribuit celor K seciuni ale filtrului astfel c se poate
scrie
b0 = b10 b20 b30 ,..., bK 0 .
208

Coeficienii {a ki } i {bki } din subsistemele de ordinul al doilea


sunt reali. Aceasta implic faptul c n formarea subsistemelor de ordinul
al doilea din (4.73), trebuie grupate perechile de poli i zerouri complex
conjugate. Cu toate acestea, mperecherea a doi poli complex conjugai
sau reali cu o pereche de zerouri complex-conjugate sau reale, pentru a
forma un subsistem poate fi fcut arbitrar. Prin urmare, factorul ptratic
de la numrtorul sau numitorul relaiei (4.73), ar putea conine o pereche
de rdcini reale sau o pereche de rdcini complex-conjugate. Dac
N > M , unele subsisteme de ordinul al doilea ar putea avea unii
coeficieni de la numrtor nuli. Dac N este impar, unul dintre
subsisteme, s zicem H k (z ) , trebuie s aib a k 2 = 0 , astfel c
subsistemul este de ordinul nti. Pentru a pstra o anumit modularitate
n implementarea lui H (z ) , este preferabil de utilizat subsistemele de
ordinul al doilea n structurile cascad i de a avea coeficieni nuli n
unele dintre subsisteme.
Fiecare din subsistemele de ordinul al doilea cu funcia de sistem
(4.73), poate fi implementat fie n forma direct I, fie n forma direct II
sau n forma direct II transpus. Deoarece exist mai multe moduri de
mperechere a polilor i zerourilor lui H (z ) ntr-o cascad de seciuni de
ordinul al doilea, i mai multe moduri de ordonare a subsistemelor
rezultate, este posibil a se obine o varietate de implementri n cascad.
Dei toate implementrile n cascad sunt echivalente pentru precizie
infinit, diferitele tipuri de implementri pot diferi semnificativ cnd sunt
implementate cu aritmetic de precizie finit.
Forma general a unei structuri n cascad este ilustrat n figura
4.18. Folosind structura n forma direct II pentru fiecare subsistem,
algoritmul de calcul pentru implementarea sistemului IIR cu funcia de
sistem H (z ) , este descris de urmtorul sistem de ecuaii
y 0 [n] = x[n]
(4.74)
wk [n] = a k1 wk [n 1] a k 2 wk [n 2] + y k 1[n] k = 1,2,....., K (4.75)
y k [n] = wk [n] + bk1 wk [n 1] + bk 2 wk [n 2] k = 1,2,...., K
(4.76)
y[n] = b0 y K [n]
(4.77)
Acest sistem de ecuaii d o descriere complet a unei structuri n
cascad implementat cu module n forma direct II.

209

Figura 4.18. Structura n cascad cu seciuni de ordinul II i realizarea n forma direct II


a fiecrei seciuni

4.3.4. Implementarea n paralel


Implementarea n paralel a unui sistem IIR poate fi obinut
efectund o dezvoltare n fracii simple a lui H (z ) . Din nou se presupune
c N M i c polii sunt distinci. Prin aceast dezvoltare n fracii
simple, se obine
N
Ak
H ( z) = C +
(4.78)
1
k =1 1 p k z
unde {p k } sunt polii, {Ak } sunt coeficienii (reziduurile) n dezvoltarea n
fracii simple, constanta C este C = bN / a N dac N=M i nul dac N>M.
Implementarea relaiei (4.78) se realizeaz cu un banc paralel de
filtre cu un singur pol. Unii dintre polii lui H (z ) pot avea valori
complexe. n acest caz, coeficienii Ak corespunztori au, de asemenea,
valori complexe. Pentru a evita operaiile cu numere complexe, se pot
combina perechi de poli complex conjugai pentru a forma subsisteme de
ordinul al doilea cu coeficieni reali. Fiecare din aceste subsisteme are
funcia de sistem
bk 0 + bk1 z 1
H k ( z) =
(4.79)
1 + a k1 z 1 + a k 2 z 2

210

unde coeficienii {bki } i {a ki } sunt reali. Funcia general H (z ) poate fi


scris sub forma
K

H ( z) = C + H k ( z)

(4.80)

k =1

unde K este partea ntreag a lui (N+1)/2. Cnd N este impar unul dintre
sistemele H k (z ) este cu un singur pol ( bk1 = a k 2 = 0 ). Relaia (4.80)
conduce la structura din figura 4.19a.

Figura 4. 19. (a) Structura n paralel pentru un sistem IIR, (b) Seciune de ordinul al
doilea pentru realizarea n paralel a sistemelor IIR

Seciunile de ordinul doi individuale, care sunt blocurile


constructive de baz pentru H (z ) , pot fi implementate n oricare din
formele directe sau transpuse. Structura n form direct II este ilustrat n
figura 4.19b. Cu aceast structur ca bloc constructiv de baz,
implementarea n paralel a sistemului IIR este descris de urmtorul
sistem de ecuaii:
(4.81)
wk [n] = a k1 wk [n 1] a k 2 wk [n 2] + x[n] k = 1,2,..., K
y k [n] = bk 0 wk [n] + bk1 wk [n 1], k = 1,2,..., K
(4.82)
K

y[n] = Cx[n] + y k [n]

(4.83)

k =1

Exemplul 4.4.
S se determine implementrile n cascad i
sistemul descris de funcia de sistem
1
2

101 z 1 1 z 1 1 + 2 z 1
2
3

H ( z) =
1 1 1
3 1 1 1 1
1 z 1 z 1 + j z 1
2 2
4
8
2

211

n paralel pentru

1
j z 1
2

Soluie. Implementarea n cascad se obine uor din aceast


form a funciei de sistem. O posibil mperechere de poli i zerouri este
urmtoarea
2
3
1 z 1
1 + z 1 z 2
3
2
H1 ( z) =
, H 2 ( z) =
7 1 3 2
1
z
1 z +
1 z 1 + z 2
8
32
2
i, prin urmare,
H ( z ) = 10 H 1 ( z ) H 2 ( z )
Implementarea n cascad este ilustrat n Fig. 9.20a.

Figura 4.20. Realizrile (a) n cascad i (b) n paralel pentru exemplul 4.4.
212

Pentru a obine implementarea n paralel, H (z ) trebuie dezvoltat


n fracii pariale. Astfel,
A3
A3*
A1
A2
H ( z) =
+
+
+
3
1
1
1
1
1
1 z 1 1 z 1 1 + j z 1 1 j z 1
4
8
2
2
2
2
*
unde A1, A2, A3, i A3 rezult A1 = 2,93, A2 = - 17,68, A3 = 12,25 j14,57, A3* = 12,25 + j14,57. Recombinnd perechile de poli, se obine
14,75 12,90 z 1 24,50 + 26,82 z 1
H ( z) =
+
1
7
3 2
z
1 z 1 + z 2
1 z 1 +
2
8
32
Implementarea n paralel este ilustrat n figura 4.20b.

4.3.5. Structuri lattice numai


implementarea sistemelor IIR

cu

poli

pentru

n paragraful 4.2.4 s-a dezvoltat o structur de filtru lattice,


echivalent cu un filtru FIR, iar n aceast seciune se extinde dezvoltarea
la sistemele IIR.
Fie un sistem numai cu poli cu funcia de sistem
1
1
H ( z) =
=
(4.84)
N
AN ( z )
k
1 + a N [k ]z
k =1

Implementarea n form direct a acestui sistem este ilustrat n


figura 4.21. Ecuaia cu diferene pentru acest sistem IIR este
N

y[n] = a N [k ] y[n k ] + x[n]

(4.85)

k =1

Figura 4.21. Implementarea n form direct a unui sistem numai cu poli

Dac n relaia (4.85) se inverseaz intrarea cu ieirea, se obine

213

x[n] = a N [k ]x[n k ] + y[n]

(4.86)

k =1

sau, echivalent,
N

y[n] = x[n] + a N [k ]x[n k ]

(4.86)

k =1

Se observ c ecuaia (4.86) descrie un sistem FIR cu funcia de


sistem H ( z ) = AN ( z ) . Aadar, un sistem poate fi obinut din cellalt
interschimbnd intrarea cu ieirea. Pe baza acestei observaii, se poate
folosi structura lattice numai cu zerouri descris n paragraful 4.2.4,
pentru a obine o structur lattice pentru un sistem IIR numai cu poli,
interschimbnd rolul intrrii cu cel al ieirii. Mai nti, pentru filtrul
lattice numai cu zerouri ilustrat n figura 4.11 se redefinete intrarea ca
fiind
(4.87)
x[n] = f N [n]
y[n] = f 0 [n] ,
(4.88)
iar ieirea ca
invers dect pentru un filtrul lattice numai cu zerouri. Aceste definiii
impun ca valorile { f m [n]} s se calculeze n ordine descresctoare
[ f N [n], f N 1[n],... ]. Calculul poate fi realizat rearanjnd ecuaia recursiv
din (4.31) de unde se determin soluia pentru f m1[n] n funcie de
f m [n] , adic
f m 1 [n] = f m [n] K m g m 1 [n 1]
m = N , N 1, ..., 1
Ecuaia (4.32) pentru g m [n] rmne neschimbat.
Rezultatul acestor schimbri este urmtorul sistem de ecuaii:
f N [n] = x[n]
(4.89)
f m 1 [n] = f m [n] K m g m 1 [n 1], m = N , N 1, ...,1
(4.90)
g m [n] = K m f m 1 [n] + g m 1 [n 1], m = N , N 1, ...,1
(4.91)
y[n] = f 0 [n] = g 0 [n]
(4.92)
care corespunde structurii ilustrate n figura 4.22.
Pentru a demonstra c setul de ecuaii (4.89) (4.92) descrie un
sistem IIR numai cu poli, se consider, pentru nceput, cazul n care N =
1. Ecuaiile (4.89) (4.92) se reduc la
x[n] = f1[n]
f 0 [n] = f1[n] K1 g 0 [n 1]
214

g1 [n] = K 1 f 0 [n] + g 0 [n 1]
y[n] = f 0 [n] = x[n] K 1 y[n 1]

(4.93)

Figura 4.22. Structura lattice pentru un filtru IIR numai cu poli

Ecuaia pentru g1[n] poate fi exprimat ca


g1[n] = K1 y[n] + y[n 1]
(4.94)
Se observ c ultima relaie din sistemul (4.93) reprezint un
sistem IIR numai cu poli, de ordinul nti, n timp ce (4.94) reprezint un
sistem FIR de ordinul nti. Polul este rezultatul reaciei ce a fost
introduse de soluionarea lui { f m [n]} n ordine descendent. Aceast
reacie este artat n figura 4.23a.
n continuare, se consider cazul N=2, care corespunde structurii
din figura 4.23b.

Figura 4.23. Implementarea structurii lattice pentru un sistem IIR a) cu un pol i


b) cu doi poli
215

Ecuaiile corespunztoare acestei structuri sunt


f 2 [n] = x[n]

f1[n] = f 2 [n] K 2 g1[n 1]


g 2 [n] = K 2 f1[n] + g1[n 1]
f 0 [n] = f1[n] K1 g 0 [n 1]

(4.95)

g1[n] = K1 f 0 [n] + g 0 [n 1]
y[n] = f 0 [n] = g 0 [n]
Dup cteva substituii simple, se obine
y[n] = K1 (1 + K 2 ) y[n 1] K 2 y[n 2] + x[n]
(4.96)
g 2 [n] = K 2 y[n] + K1 (1 + K 2 ) y[n 1] + y[n 2]
(4.97)
Ecuaia cu diferene (4.96) reprezint un sistem IIR cu doi poli iar
relaia (4.97) este ecuaia intrare-ieire pentru un sistem FIR cu dou
zerouri. Se observ cum coeficienii pentru sistemul FIR sunt identici cu
cei din sistemul IIR, cu excepia faptului c apar n ordine invers.
Concluziile de mai sus sunt valabile pentru orice N. ntr-adevr, cu
definiia lui Am (z ) dat n (4.34), funcia de sistem pentru sistemul numai
cu poli este
Y ( z ) F0 ( z )
1
=
=
H a ( z) =
(4.98)
X ( z ) Fm ( z ) Am ( z )
Similar, funcia de sistem pentru sistemul numai cu zerouri (FIR) este
G ( z ) Gm ( z )
H b ( z) = m
=
= Bm ( z ) = z m Am ( z 1 )
(4.99)
Y ( z)
G0 ( z )
unde s-au folosit relaiile (4.38) (4.44). Astfel, coeficienii lui H b (z )
care caracterizeaz sistemul FIR sunt identici cu coeficienii lui Am (z ) ,
exceptnd faptul c apar n ordine invers.
Este interesant de observat c structura lattice numai cu poli are o
cale numai cu zerouri cu intrarea g 0 [n] i ieirea g N [n] , identic cu
calea corespunztoare numai cu zerouri n structura lattice numai cu
zerouri. Polinomul Bm (z ), reprezint funcia de sistem pentru calea
numai cu zerouri comun ambelor structuri lattice, numit obinuit funcie
de sistem napoi sau invers. Structurile lattice numai cu zerouri i numai
cu poli sunt caracterizate de aceiai parametri lattice K1, K2, ,KN . Cele
dou structuri lattice difer doar prin interconexiunile grafurilor de
semnal. n consecin, algoritmii pentru conversia coeficienilor { m [k ]}
216

ai implementrii n form direct a unui sistem FIR n parametri lattice, i


invers, se aplic la fel i structurii numai cu poli. Se reamintete c
rdcinile polinomului AN (z ) sunt localizate n interiorul cercului unitate,
dac i numai dac coeficienii lattice Km ndeplinesc condiia K m < 1 ,
pentru toi m=1,...N.
n aplicaiile practice structura lattice numai cu poli a fost utilizat
pentru a modela tractul vocal uman i stratificarea pmntului. n astfel de
cazuri coeficienii lattice {K m }, au semnificaia fizic de a fi identici cu
coeficienii reflectai n mediul fizic. Acesta este motivul pentru care
coeficienii lattice sunt adeseori numii coeficieni de reflexie. n astfel de
aplicaii, un model stabil pentru un mediu necesit coeficieni de reflexie
subunitari obinui din msurtori asupra semnalelor de ieire din mediu.

4.3.6. Structuri lattice cu poli i zerouri pentru


implementarea sistemelor IIR
Structura lattice numai cu poli reprezint blocul constructiv de
baz pentru structuri de tip lattice care implementeaz sistemele IIR care
conin att poli ct i zerouri. Se consider n continuare un sistem IIR cu
funcia de sistem
M

H ( z) =

c
k =0
N

[k ]z k

1 + a N [k ]z

=
k

CM ( z)
,
AN ( z )

(4.100)

k =1

unde notaia pentru numrtor a fost modificat pentru a evita confuzia cu


polinomul B(z) prezentat anterior. De asemenea se consider c N M .
n structura n form direct II, sistemul din (4.100) este descris de
ecuaiile cu diferene
N

w[n] = a N [k ]w[n k ] + x[n]

(4.101)

k =1
M

y[n] = c M [k ]w[n k ]

(4.102)

k =0

Se observ c relaia (4.101) reprezint relaia funcional intrare


ieire a unui sistem IIR numai cu poli, iar (4.102) reprezint relaia
funcional intrare ieire a unui sistem numai cu zerouri. De asemenea,
ieirea sistemului numai cu zerouri este o combinaie liniar de ieiri
217

ntrziate ale sistemului numai cu poli. Acest lucru se observ uor la


structura n form direct II redesenat n figura 4.24 pentru N=M.

Figura 4.24. Forma direct II de implementare a unui sistem IIR pentru N=M

Deoarece zerourile rezult prin formarea unor combinaii liniare


din ieirile anterioare, se poate construi un sistem IIR cu poli i zerouri
utiliznd structura lattice numai cu poli ca bloc constructiv de baz. S-a
specificat deja c g m [n] este o combinaie liniar a ieirii curente i a
celor anterioare. Sistemul
G ( z)
H b ( z) = m
= Bm ( z )
Y ( z)
este un sistem numai cu zerouri. Orice combinaie liniar de {g m [n]} este,
de asemenea, un sistem numai cu zerouri. Astfel, o structur lattice numai
cu poli cu parametrii K m ,1 m N , creia i se adug o scar care
realizeaz o combinaie liniar de {g m [n]} cu ponderile v m are ca rezultat
un sistem IIR cu poli i zerouri, a crui structur lattice-scar este indicat
n figura 4.25, pentru M = N . Ieirea sa este
M

y[n] = vm g m [n]
m =0

(4.103)

unde {v m } sunt coeficienii ce determin zerourile sistemului. innd


seama de (4.103), funcia de sistem corespunztoare sistemului cu poli i
zerouri este
M
G ( z)
Y ( z)
H ( z) =
= vm m
(4.104)
X ( z ) m =0
X ( z)
Dac X ( z ) = FN ( z ) i F0 ( z ) = G0 ( z ), relaia (4.104) poate fi scris ca

218

B ( z)
Gm ( z ) F0 ( z )
Bm ( z ) m = 0 m m
= vm
=
H ( z ) = vm
G0 ( z ) FN ( z ) m =0 AN ( z )
AN ( z )
m=0
Dac se compar (4.100) cu (4.105), rezult c
M

(4.105)

C M ( z ) = v m Bm ( z )

(4.106)

m =0

Din aceast relaie se pot determina coeficienii {v m } . Astfel s-a


demonstrat cum coeficienii numrtorului polinomial C M ( z ) determin
coeficienii scrii {v m } , avnd n vedere c numitorului polinomial
AN (z ) , prin coeficienii si, determin coeficienii lattice {K m }.

Figura 4.25. Structura lattice scar pentru realizarea unui sistem cu poli si zerouri

Cunoscndu-se polinoamele C M (z ) i AN (z ), cu N M , sunt


determinai mai nti parametrii structurii lattice numai cu poli, aa cum a
fost descris mai nainte, cu algoritmul de conversie prezentat n paragraful
4.2.4, ce convertete coeficienii formei directe de implementare n
coeficieni lattice. Cu ajutorul relaiei recursive de decrementare date de
(4.56) se obin coeficienii lattice {K m } i apoi polinoamele
B m ( z ), m = 1,2,..., N .
Coeficienii scrii sunt determinai din relaia (4.106), dup cum
urmeaz:
m 1

C m ( z ) = v k Bk ( z ) + v m Bm ( z )

(4.107)

k =0

sau, echivalent,
C m ( z ) = C m 1 ( z ) + v m Bm ( z )
219

(4.108)

Astfel, C m (z ) poate fi calculat recursiv din polinoamele inverse


Bm ( z ), m = 1,2,...., M . Deoarece m [m] = 1 pentru toi m, coeficienii
v m , m = 0,1,...., M pot fi determinai observnd c
v m = c m [ m]
m = 0,1,...., M
(4.109)
Rescriind (4.108) n forma
C m 1 ( z ) = C m ( z ) v m Bm ( z )
(4.110)
i calculnd aceast relaie recursiv n sens invers pentru m
( m = M , M 1,....,2 ), se obin coeficienii c m [m] i, prin urmare,
parametrii scrii, conform relaiei (4.109).
Structurile filtrelor lattice-scar prezentate mai sus, necesit un
minimum de memorie dar nu i un numr minim de multiplicri. Dei
exist structuri lattice cu un singur multiplicator pe treapt, structurile
lattice cu dou multiplicatoare pe fiecare treapt, descrise anterior sunt
cele mai folosite n aplicaiile practice. n concluzie, modularitatea,
stabilitatea structurii datorat coeficienilor {K m } i robusteea n ceea ce
privete efectele lungimii finite a cuvintelor, fac structurile lattice foarte
atractive n multe aplicaii practice, care includ sistemele de procesare a
vocii, filtrarea adaptiv i procesarea semnalelor geofizice.

4. 4. Implementarea i analiza sistemelor discrete,


liniare, invariante n timp pe baza variabilelor de
stare
Pn acum, analiza sistemelor liniare, invariante n timp a fost
limitat la o descriere extern cu ajutorul unei relaii funcionale intrareieire. Cu alte cuvinte, sistemul a fost caracterizat de ecuaii matematice
ce leag semnalul de intrare de semnalul de ieire. n aceast seciune se
introduc conceptele de baz despre descrierea intern a sistemelor
discrete, liniare, invariante n timp, cauzale. Descrierea intern a
sistemului implic o legtur ntre semnalele de intrare i de ieire i, de
asemenea, un set adiional de variabile numite variabile de stare. Astfel,
ecuaiile matematice ce descriu un sistem, sunt uzual divizate n dou
pri:
1. Un set de ecuaii matematice ce pun n eviden relaia dintre
variabilele de stare ale sistemului i semnalul de intrare;
220

2. Un al doilea set de ecuaii matematice ce stabilesc legtura ntre


variabilele de stare i intrarea curent cu semnalul de ieire.
Variabilele de stare dau informaii despre toate semnalele interne
ale sistemului. Ca urmare, descrierea intern d informaii mai detaliate
despre sistem n comparaie cu descrierea intrare-ieire. Cu toate c
analiza intern este aplicat n special la sisteme discrete, liniare,
invariante n timp, cauzale cu o singur intrare i o singur ieire,
tehnicile de analiz pot fi aplicate i sistemelor neliniare, sistemelor
variante n timp i sistemelor cu intrri i ieiri multiple.
Descrierea aleas (prin relaie funcional intrare ieire sau
descrierea cu ajutorul variabilelor de stare) depinde de problem, de
informaiile disponibile, precum i de ntrebrile crora trebuie date
rspunsuri. n continuare se prezint tehnici de analiz a sistemelor n
spaiul strilor i sunt dezvoltate structuri pe baza variabilelor de stare
destinate implementrii sistemelor discrete, liniare, invariante n timp.

4.4.1. Conceptul de stare


Dup cum s-a observat deja, determinarea ieirii unui sistem
necesit prezena semnalului de intrare i un set de condiii iniiale. Dac
un sistem nu este relaxat la un moment iniial, fie acesta n0 , cunoaterea
semnalului de intrare x[n] pentru n n0 , nu este suficient pentru a
determina n mod unic ieirea y[n] , pentru n n0 . Acest lucru este
posibil numai dac sunt cunoscute condiiile iniiale ale sistemului la
n = n0 . Setul de condiii iniiale este denumit starea sistemului la
momentul n = n0 .
Definiie. Starea unui sistem la momentul n0 este cantitatea de
informaie ce trebuie furnizat la momentul n0 , care, mpreun cu
semnalul de intrare x[n] pentru n n0 , determin n mod unic ieirea
pentru toi n n0 .
Cu aceast definiie, conceptul de stare conduce la o
descompunere a sistemului n dou pri, o parte cu memorie i o parte
fr memorie. Informaia stocat n locaiile de memorie constituie setul
de condiii iniiale i este denumit starea sistemului. Ieirea curent a
sistemului devine o funcie de valoarea curent a intrrii i de starea
curent. Dac valoarea curent a intrrii este cunoscut, este necesar un
221

mecanism pentru a actualiza starea sistemului. Prin urmare, starea


sistemului la momentul n0 + 1 trebuie s depind de starea sistemului la
momentul n0 i de valoarea semnalului de intrare x[n] , la n = n0 .
Urmtorul exemplu ilustreaz abordarea descrierii interne a unui
sistem. Fie un sistem discret, liniar, invariant n timp, cauzal descris de
ecuaia cu diferene
1
y[n] = y[n 1] + x[n] + 2 x[n 1]
(4.111)
2
Figura 4.26a arat implementarea n form direct II a acestui
sistem. Se observ c sistemul conine doar un singur element de
ntrziere, care este, de fapt, o celul de memorie. Ieirea [n] a
elementului de ntrziere reprezint valoarea prezent a memoriei, avnd
n vedere c intrarea [n + 1] a elementului de ntrziere semnific
valoarea urmtoare ce trebuie memorat. De fapt, aceast celul de
memorare include toat memoria necesar pentru calculul ieirii curente
y[n]. Pentru a verifica acest lucru, se scriu ecuaiile pentru
implementarea indicat n figura 4.26a. Acestea sunt
1
[n + 1] = [n] + x[n]
(4.112)
2
y[n] = [n + 1] + 2[n]
(4.113)

Figura 4.26. a) Implementarea n forma direct II, b) o implementare pe baza variabilelor


de stare a sistemului descris de relaia (4.111)
222

nlocuind (4.112) n (4.113), se obine


5
y[n] = [n] + x[n]
2
care este o ecuaie care descrie un sistem fr memorie. Din alt
perspectiv, (4.112) reprezint un mecanism pentru reactualizarea
coninutului celulei de memorie, utiliznd coninutul curent al acesteia i
valoarea curent a intrrii.
Perechea de ecuaii
1
[n + 1] = [n] + x[n]
(4.114)
2
5
y[n] = [n] + x[n]
(4.115)
2
furnizeaz o descriere complet a sistemului. Variabila [n] , care include
toat informaia anterioar este denumit variabil de stare i reprezint
chiar starea sistemului. Dac exist doar o singur variabil de stare,
ecuaia de stare este unidimensional iar valoarea sa la orice moment de
timp este reprezentat ca un punct ntr-un spaiu unidimensional. Se mai
observ, de asemenea, c ecuaiile (4.114) i (4.115) mpart sistemul n
dou pri componente: un subsistem dinamic (cu memorie) i un
subsistem static (fr memorie), care furnizeaz o descriere intern a
acestui sistem. Aceast descriere produce o implementare alternativ
echivalent a sistemului, aa cum este indicat n figura 4.26b.
Descrierea intern se poate aplica i sistemelor cauzale ce sunt
variante n timp i/sau neliniare. Pentru a ilustra acest lucru, se consider
un sistem care calculeaz dispersia unui semnal. Sistemul poate fi descris
de ecuaia
1 n 1
2
y[n] = [x[k ] [n]]
(4.116)
n k =0
unde
1 n 1
[n] = x[k ]
(4.117)
n k =0
este valoarea sa medie. Dezvoltnd ptratul din relaia (4.116) i utiliznd
relaia (4.117), se obine
1 n 1
y[n] = x 2 [k ] 2 [n]
(4.118)
n k =0
Pentru a obine o descriere intern, se definesc urmtoarele
variabile:
223

n 1

1[n] = x[k ]

(4.119)

2 [ n] = x 2 [ k ]

(4.120)

k =0
n 1

k =0

Apoi, combinnd aceste relaii cu (4.118) i (4.117), se obine


1
1
y[n] = 2 12 [n] + 2 [n]
(4.121)
n
n
care descrie un sistem fr memorie, ce exprim ieirea n funcie de
variabilele de stare curente. Reactualizarea acestor variabile poate fi
fcut cu ajutorul ecuaiilor
1 [n + 1] = 1 [n] + x[n]
(4.122)

2 [n + 1] = 2 [n] + x 2 [n]

(4.123)
Ecuaia (4.123) este neliniar, iar (4.121) este neliniar i variant
n timp.
n general, descrierea intern a sistemelor cauzale conine dou
seturi de ecuaii matematice:
un set de ecuaii, denumit ecuaii de stare, ce exprim variabilele
de stare de la momentul n+1 n funcie de variabilele de stare i
intrarea la momentul n;
o ecuaie, denumit ecuaie de ieire, ce exprim ieirea la
momentul n n funcie de variabilele de stare i intrarea la acelai
moment de timp.
n particular, pentru un sistem cauzal cu N variabile de stare
1[n], 2 [n],.., N [n], descrierea intern poate fi exprimat prin
urmtoarele dou seturi de ecuaii:
Ecuaiile de stare
i [n + 1] = f i [1 [n], 2 [n],..., N [n], x[n]] , i=1,2,,N (4.124)
Ecuaia de ieire
y[n] = g [1[n], 2 [n],...., N [n], x[n]]
(4.125)
Cele N variabile de stare i [n] , i = 1,2,3,,N, pot fi considerate
componentele unui vector de dimensiune N, iar vrful acestui vector la un
moment n poate fi vzut ca un punct n spaiul N-dimensional denumit
spaiu de stare. Locul geometric al vrfului vectorului la diferite momente
de timp determin o traiectorie a vectorului variabilelor de stare. Figura
4.27 ilustreaz o traiectorie pentru starea unui sistem de ordinul al doilea,
ntr-un spaiu bidimensional. n general, ecuaiile de stare descriu partea
224

dinamic a sistemului, n timp ce ecuaia de ieire descrie partea static


(fr memorie) a acestuia. Numrul N al variabilelor de stare exprim
ordinul sistemului.
Cu toate c descrierea intern poate fi uor generalizat la sisteme
cu intrri i ieiri multiple (Multiple Input Multiple Output, MIMO),
abordarea acestei probleme se va limita doar la sisteme cu o singur
intrare i o singur ieire (Single Input Single Output, SISO).

Figura 4.27. Traiectoria strii unui sistem de ordinul al doilea

4.4.2. Descrierea n spaiul strilor a sistemelor


caracterizate de ecuaii cu diferene
n aceast seciune se vor obine ecuaiile de stare pentru sistemele
discrete descrise de ecuaii liniare cu diferene cu coeficieni constani, cu
o intrare i o ieire. Pentru aceasta se consider un sistem de ordinul al
treilea, rezultatul fiind uor de generalizat pentru sistemele de orice ordin
N arbitrar, finit.
Fie un sistem discret, liniar, invariant n timp, cauzal, caracterizat
de ecuaia cu diferene
3

k =1

k =0

y[n] = a k y[n k ] + bk x[n k ]

(4.126)

Implementarea sistemului n form direct II este indicat n


figura 4.28a. Ca variabile de stare, se vor utiliza ieirile celulelor de
memorie ale sistemului. Ieirea elementului de ntrziere reprezint
valoarea prezent memorat n celul, iar intrarea reprezint valoarea
225

urmtoare ce urmeaz a fi memorat. n consecin, cu ajutorul figurii


4.28a, se poate scrie
1 [n + 1] = 2 [n]

2 [n + 1] = 3 [n]
3 [n + 1] = a31 [n] a 2 2 [n] a1 3 [n] + x[n]

(4.127)

Se observ c expresiile variabilelor de stare pentru sistemul de


ordinul al treilea descris de ecuaia (4.126), implic trei ecuaii cu
diferene de ordinul nti date de (4.127). n general, un sistem de ordin N
poate fi descris de N ecuaii cu diferene de ordinul nti.

Figura 4.28 Realizarea (a) n forma direct II i (b) n spaiul strilor a sistemului descris
de relaia (4.126)

Ecuaia de ieire, ce exprim pe y[n] n funcie de variabilele de


stare i de valoarea prezent a intrrii, x[n] , poate fi, de asemenea,
obinut din figura 4.28a.
y[n] = b0 3 [n + 1] + b3 1 [n] + b2 2 [n] + b1 3 [n]
(4.128)
nlocuind 3 [n + 1] din (4.127) n (4.128), ecuaia de ieire devine
y[n] = (b3 b0 a3 )1 [n] + (b2 b0 a 2 ) 2 [n] +
(4.128)
+ (b1 b0 a1 ) 3 [n] + b0 x[n]
Pe baza relaiilor (4.127) i (4.128) rezult implementarea
sistemului n spaiul strilor din figura 4.28b.
O descriere alternativ n spaiul strilor pentru sistemul
caracterizat de relaia (4.126) poate fi obinut folosind structura n form
direct II transpus, desenat n figura 4.29a.
226

Dac se utilizeaz variabilele de stare indicate din aceast figur,


se obine
1 [n + 1] = b3 x[n] a 3 y[n]

2 [n + 1] = 1 [n] + b2 x[n] a 2 y[n]


3 [n + 1] = 2 [n] + b1 x[n] a1 y[n]
Ecuaia de ieire este

y[n] = b0 x[n] + 3 [n]

(4.129)

(4.130)

Figura 4.29 Realizarea (a) n forma direct II transpus i (b) n spaiul strilor a
sistemului descris de relaia (4.126)

Eliminnd y[n] dat de (4.130) din (4.129), rezult urmtorul


sistem de ecuaii:
1 [n + 1] = a 3 3 [n] + (b3 b0 a 3 ) x[n]

2 [n + 1] = 1 [n] a 2 3 [n] + (b2 b0 a 2 ) x[n]


3 [n + 1] = 2 [n] a1 3 [n] + (b1 b0 a1 ) x[n]

(4.131)

Pe baza relaiilor (4.130) i (4.131) rezult implementarea n


spaiul starilor din figura 4.29b.
Dac ordinul sistemului crete, atunci i descrierea n spaiul
strilor devine mai complex. Cu toate acestea, introducnd notaii
matriceale, se pot exprima ecuaiile de stare ntr-o form mult mai
compact, care simplific manipularea lor i permite utilizarea algebrei
matriceale pentru analiza n spaiul strilor.
Matriceal, relaiile (4.127) i (4.128) se scriu sub forma
227

1 [n + 1] 0
[n + 1] = 0
2

3 [n + 1] a 3

1
0
a2

0 1 [n] 0
1 2 [n] + 0 x[n]
a1 3 [n] 1

(4.132)

1 [n]
(4.133)
y[n] = [(b3 b0 a3 )(b2 b0 a 2 )(b1 b0 a1 )] 2 [n] + b0 x[n]
3 [n]
Aceste ecuaii sunt cunoscute sub denumirea de implementarea n
spaiul strilor de tipul 1.
Similar, relaiile (4.131) i (4.130) pot fi exprimate n form
matriceal, astfel:
1 [n + 1] 0 0 a 3 1 [n] (b3 b0 a 3 )
[n + 1] = 1 0 a [n] + (b b a ) x[n]
(4.134)
2 2
0 2
2

2
3 [n + 1] 0 1 a1 3 [n] (b1 b0 a1 )
1 [n]
y[n] = [0 0 1] 2 [n] + b0 x[n]
(4.135)
3 [n]
Aceast descriere se numete implementare n spaiul strilor de tipul 2.
Cele dou seturi de ecuaii (4.132), (4.133) i (4.134), (4.135)
descriu complet sistemul, mprindu-l, aa cum s-a precizat, n dou pri
componente, una cu memorie i una fr.
Generaliznd exemplul anterior, se poate observa uor faptul c
sistemul descris de ecuaia
N

k =1

k =0

y[n] = a k y[n k ] + bk x[n k ]

(4.136)

poate fi descris n form matriceal, de o realizare n spaiul strilor,


liniar, invariant n timp.
Pentru un sistem cu N variabile de stare 1 [n], 2 [n],...., N [n] , se
definete starea v[n] sub forma unui vector coloan N-dimensional
1 [ n]
[ n ]
v[n] = 2
(4.137)
#

N [n]
228

De asemenea, fie
dimensionali, definii ca
f 11 f 12
f
f 22
F = 21
#
#

f N1 f N 2

F o matrice N N , q i g vectori coloan N-

f 1N
q1
g1

g
q2
" f 2N

q=
g= 2
(4.138)
#
#
% #



" f NN
q N
g N
unde {f ij }, {q k }, {g k } sunt constante i, fie d, o constant scalar.
"

Cu aceste notaii ecuaia de stare i cea de ieire pot fi scrise, dup cum
urmeaz:
Ecuaia de stare
v[n+1] = Fv[n] + qx[n]
(4.139)
Ecuaia de ieire
y[n] = gtv[n] + dx[n]
(4.140)
Orice sistem discret ale crui intrare x[n] , ieire y[n] i stare v[n],
pentru toi n n0 , sunt relaionate cu ecuaiile de mai sus, este liniar i
invariant n timp. Dac cel puin una din mrimile F, q, g i d depinde de
timp, sistemul este variant n timp.
Implementarea de tipul 1 liniar i invariant n timp se obine,
alegnd

1
0
0
0
0
0

0
1 0
0


F= #
#
# # #
#
# q = #



0
0
1
0
0
a N a N 1 a 2 a1
1
bN b0 a N
b b a
g = N 1 0 N 1
d = b0
(4.141)

b1 b0 a1

iar implementarea de tipul 2 se obine cu alegerea:

229

0
1

F = #

0
0

aN
"
0 a N 1
#

" 0 1 0 a2
" 0 1 a1
0
0

g = #
d = b0

0
1

0 "
0
#
0
0

bN b0 a N
b b a
N 1 0 N 1

q=
#

b2 b0 a 2
b1 b0 a1

(4.142)

Relaiile (4.139)-(4.140) descriu un model n spaiul strilor liniar


i invariant n timp, care poate fi reprezentat printr-o diagram bloc
matriceal ca n figura 4.30. n aceast figur liniile duble reprezint
mrimi vectoriale iar blocurile, coeficieni ai mrimilor vectoriale sau
matriceale.

Figura 4.30. Descrierea general n spaiul strilor a unui sistem liniar, invariant n timp

Exist mai multe variante de selectare a variabilelor de stare i de


structuri n spaiul strilor care sunt echivalente pentru acelai sistem.
Motivul pentru care se studiaz o varietate de modele i, prin urmare, de
structuri, este de a le gsi pe acelea care sunt cel mai puin senzitive la
aritmetica lungimii finite a cuvintelor sau necesit o implementare mai
puin complex, problem tratat n Capitolul 5.
230

Exemplul 4.5.
S se determine implementarea diagramei bloc a sistemului
descris de urmtorul model n spaiul strilor:
1 [n + 1] 1,35 0,55 1 [n] 0,5
[n + 1] = 0,45 0,35 [n] + 0,5 x[n]
2
2

[n]
y[n] = [3 1] 1 + x[n]
2 [n]
Soluie. Scriind ecuaia de mai sus explicit, rezult
1 [n + 1] = 1,351 [n] + 0,55 2 [n] + 0,5 x[n]

2 [n + 1] = 0,451 [n] + 0,35 2 [n] + 0,5 x[n]


y[n] = 31 [n] + 2 [n] + x[n]
Ecuaiile conduc la diagrama bloc din figura 4.31.

Figura 4.31. Implementarea sistemului din exemplul 4.5

Exemplul 4.6.
S se determine forma direct II, forma direct II transpus,
realizrile n spaiul strilor de tipul 1 i 2 pentru sistemul descris de
ecuaia cu diferene
y[n] = 3 y[n 1] 2 y[n 2] + x[n] + x[n 1]
Soluie. Comparnd aceast ecuaie cu (4.136), se obin urmtorii
parametrii
N = 2 a1 = -3 a 2 = 2 b0 = 1 b1 = 1 b2 = 0
231

Modificnd corespunztor figurile 4.28 i 4.29 se obin


implementrile n forma direct II i forma direct II transpus din figura
4.32a i b.
Pentru a obine structurile interne, mai nti se observ c din
(4.141) rezult pentru structura de tip 1
0 1
0
2
F=
q=
g= d=1

2 3
1
4
Apoi, din (4.139) i (4.140) rezult
1[n + 1] = 2 [n]

2 [n + 1] = 21[n] + 3 2 [n] + x[n]


y[n] = 21[n] + 4 2 [n] + x[n]
Aceste ecuaii conduc la implementarea din figura 4.34c.

Figura 4.32. Realizarea (a) n forma direct II, (b) n forma direct II transpus, (c) n
spaiul strilor de tipul 1, (d) n spaiul strilor de tipul 2, pentru sistemul din exemplul
4.6.

Similar, pentru structura de tipul 2, rezult


0 2
2
0
F=
q= g=

1 3
4
1
sau
232

d=1

1 [n + 1] = 2 2 [n] 2 x[n]
2 [n + 1] = 1 [n] + 3 2 [n] + 4 x[n]
y[n] = 2 [n] + x[n]
care conduc la implementarea din figura 2.32d. Se observ c toate
implementrile sunt diferite.

4.4.3. Soluia ecuaiilor cu diferene n spaiul strilor


Exist diverse metode pentru rezolvarea ecuaiilor cu diferene n
spaiul strilor. n cele ce urmeaz se va obine o soluie recursiv care
face uz de faptul c ecuaiile n spaiul strilor reprezint un sistem de
ecuaii cu diferene de ordinul nti.
Pentru un model intern uni-dimensional ecuaiile de stare sunt
[n + 1] = f[n] + qx[n]
(4.143)
y[n] = g[n] + dx[n]
(4.144)
unde f, q, g i d sunt coeficienii scalari, fici ai sistemului. Problema este
de a determina ieirea y[n] pentru n n0 , cunoscndu-se intrarea x[n] ,
n n0 i starea iniial [n 0 ] . Rezolvnd ecuaia (4.143) recursiv,
rezult:
[n0 + 1] = f[n0 ] + qx[n0 ]

[n0 + 2] = f[n0 + 1] + qx[n0 + 1] = f 2[n0 ] + fqx[n0 ] + qx[n0 + 1]


[n0 + 3] = f[n0 + 2] + qx[n0 + 2] =
= f 3[n0 ] + f 2 qx[n0 ] + fqx[n0 + 1] + qx[n0 + 2]
....

[n0 + m] = f m[n0 ] + f m 1 qx[n0 ] + f m 2 qx[n0 + 1] + ....


+ fqx[n0 + m 2] + qx[n0 + m 1]
Cu notaia n = n0 + m , rezult m = n n0 , iar ecuaia de mai sus devine

[n] = f n n0 [n0 ] + f n n0 1 qx[n0 ] + f n n0 2 qx[n0 + 1] + ...


+ fqx[n 2] + qx[n 1]
Astfel, pentru orice n > n0 , se obine

[ n] = f

n n0

n 1

[n 0 ] + f
k = n0

233

n 1 k

qx[k ]

(4.145)

Ecuaia de ieire se obine nlocuind (4.145) n (4.144). Aceasta


conduce la

y[n] = gf

n n0

n 1

[n 0 ] + gf

n 1 k

qx[k ] + dx[n]

(4.146)

k = n0

care reprezint rspunsul total al sistemului.


Dac se alege starea iniial [n 0 ] = 0, din (4.146) se obine
rspunsul de stare zero al sistemului [63]

y zs [n] =

n 1

gf

n 1 k

qx[k ] + dx[n]

(4.147)

k = n0

Dac, ns, se impune x[n] = 0 n (4.146) pentru n n0 , se obine


rspunsul de intrare zero [63]

y zi [n] = gf n n0 [n 0 ]
(4.148)
Rspunsul total dat de (4.146) este suma rspunsurilor date de
(4.147) i (4.148)
y[n] = y zi [n] + y zs [n]
(4.149)
Aceste rezultate pot fi uor generalizate pentru modelul Ndimensional [48]
v[n + 1] = Fv[n] + qx[n]
(4.150)
y[n] = gtv[n] + dx[n]
(4.151)
ntr-adevr, cunoscndu-se v[n0], pentru n > n0 se poate scrie
v[n0 + 1] = Fv[n0] + qx[n]
v[n0 + 2] = Fv[n0 + 1] + qx[n0 + 1]
=F2v[n0] + Fqx[n0] + qx[n0 + 1]
Dac se continu ca n cazul unidimensional, se obine pentru
n>n0
v[n] = F n n0 v[n0] +

n 1

Fn-1-kqx[k]

(4.152)

k = n0

Matricea F0 este definit ca matricea unitate de


dimensiune N N . Matricea Fi-j este adesea notat (i-j), adic
(i-j) = Fi-j
(4.153)
pentru orice i j ntregi. Aceast matrice este numit matricea de
tranziie a sistemului.
Ieirea sistemului se obine substituind (4.152) n (4.151) i innd
cont de (4.153). Rezultatul acestei substituii este
234

y[n] = gtF n n0 v[n0] +

n 1

gtFn-1-kqx[k] + dx[n]

k = n0

= gt[n-n0]v[n0] +

n 1

gt[n-1-k]qx[k] + dx[n]

(4.154)

k = n0

Cu acest rezultat general, se poate determina ieirea pentru dou


cazuri particulare. Rspunsul de intrare zero al sistemului este
yzi[n] = gtF n n0 v[n0] = gt[n-n0]v[n0]
(4.155)
Rspunsul de stare zero al sistemului este
n 1

yzs[n]= gt[n-1-k]qx[k]+dx[n]

(4.156)

k = n0

Exemplul 4.7.
S se calculeze rspunsul de stare zero pentru sistemul descris de
0 1
0
v[n + 1] =
v[n] + x[n]

2 3
1
y[n] = [-2 4] v[n] + x[n]
dac la intrare se aplic semnalul treapt unitate.
1, n 0
Soluie. Semnalul de intrare este x[n] = u[n] =
0, n < 0
Deoarece se dorete obinerea rspunsului de stare zero, se va
impune vectorul de stare iniial egal cu zero
0
v[0] =
0
Atunci
0
y[0] = [ 2 4] + x[0] = 1
0
0 1 0 0
0
v[1] =
+ x[0] =

2 3 0 1
1
0
y[1] = [ 2 4] + x[1] = 5
1
0 1 0 0
1
v[2] =
+ x[1] =

2 3 1 1
4
235

1
y[2] = [ 2 4] + x[2] = 15
4
Continund aceast procedur iterativ, se obine
y[3] = 47, y[4] = 113 i aa mai departe.
Exemplul 4.8.
S se calculeze rspunsul sistemului FIR
y[n] = x[n] + 2 x[n 1] + x[n 2]
la un semnal oarecare x[n], n 0.
Soluie. Descrierea intern a sistemului este
0 1
0
v[n + 1] =
v[n] + x[n]

0 0
1

y[n] = [1 2]v[n] + x[n]


unde 1 [n] = x[n-2] i 2 [n] = x[n 1]. Din ecuaia de stare rezult
0 1
0
v[1] =
v[0] + x[0]

0 0
1
1
0
0 1
0
0 0
v[2] =
v[1] + x[1] =
v[0] + x[0] + x[1]

1
0 0
1
0 0
0
n
Se obine F = 0 pentru n 2 . Prin urmare starea iniial v[0] nu mai
afecteaz starea sistemului dup doi pai. Acest lucru este evident
deoarece sistemul FIR are o memorie finit egal cu 2. n consecin,
influena strii iniiale asupra strilor viitoare i asupra ieirilor dispare
dup doi pai. Pentru n0 = 0 , ecuaia (4.154) conduce la ieirea

y[n] = g t F n v[0] + g t F n 1qx[0] + ..... + g t Fqx[n 2] + g t qx[n 1] + dx[n]


Deoarece F n = 0 pentru n 2, ieirea devine
y[n] = g t Fqx[n 2] + g t qx[n 1] + dx[n]
n2
Substituind valorile pentru g, F, q i d, ecuaia de mai sus se reduce la
y[n] = x[n] + 2 x[n 1] + x[n 2]
n2
care este descrierea intrare-ieire a sistemului FIR.
Ca o generalizare a rezultatelor din exemplul de mai sus, pentru un
sistem FIR de ordinul N, F n = 0 pentru n N i, n consecin, starea
iniial a sistemului afecteaz doar primele N ieiri.
236

Exemplul 4.9.
S se calculeze rspunsul la treapta unitate a sistemului
1

2 0
1
v[n + 1] =
v[n] + x[n]

1
1
0

y[n] = [1 1]v[n] + 2 x[n]


Soluie. Cele dou componente din ecuaia de stare sunt
1
1
1 [n + 1] = 1 [n] + x[n] ,
2 [n + 1] = 2 [n] + x[n]
2
3
Acesta este un sistem de dou ecuaii independente care pot fi uor
rezolvate recursiv, ca n cazul uni-dimensional. ntr-adevr, din (4.145)
pentru n > 0, rezult
n

n 1
1
1
1 [n] = 1 [0] +
2
k =0 2

1
3
Ieirea sistemului este
y[n] = 1 [n] + 2 [n] + 2 x[n] =

n 1

n 1 k

1
k =0 3

2 [n] = 2 [0] +

n 1
1
1
1
= 1 [ 0 ] + 2 [ 0] +
2
3
k =0 2
care se mai poate scrie sub forma

n 1 k

x[k ]
n 1 k

n 1

x[k ]

1
+
k =0 3

n 1 k

+2

n>0

3
1
1
y[n] = 1 [0] + 2 [0] + 2(1 2 n ) + (1 3 n ) + 2
n>0
2
3
2
Se observ c soluia a putut fi obinut n form compact,
deoarece matricea F este diagonal. Dac matricea F este diagonal,
sistemul N dimensional poate fi descris n spaiul strilor de N ecuaii
unidimensionale independente. Astfel, ecuaiile de stare devin ecuaii cu
diferene de ordinul nti, uor de rezolvat.

4.4.4. Relaii de legtur ntre descrierea intrare-ieire i


descrierea n spaiul strilor a SDLIT
Din prezentarea anterioar s-a vzut c nu exist numai o singur
posibilitate n ceea ce privete alegerea variabilelor de stare ale unui
237

sistem cauzal. Valori diferite ale vectorului de stare conduc la structuri


diferite pentru implementarea acelorai sisteme. n general, relaiile
intrare ieire nu descriu n mod unic structura intern a sistemului.
Pentru a demonstra aceast afirmaie, se consider un sistem SISO
(o singur intrare i o singur ieire), N-dimensional, avnd reprezentarea
n spaiul strilor
v[n + 1] = Fv[n] + qx[n]
(4.157)
t
y[n] = g v[n] + dx[n]
(4.158)
-1
Fie P orice matrice N N a crei invers, P , exist. Se definete

un nou vector de stare v[n ]

v[n ] = Pv[n ]
(4.159)
de unde

v[n] = P 1 v[n]
(4.160)
Dac relaia (4.157) este multiplicat la dreapta cu P, se obine
Pv[n + 1] = PFv[n] + Pqx[n]
Utiliznd (4.159) i (4.160), ecuaia de stare de mai sus devine

v [n + 1] = (PFP 1 ) v[n ] + (Pq ) x[n ]
(4.161)
Similar, cu ajutorul relaiei (4.160), ecuaia de ieire (4.158)
devine
y[n] = (g t P 1 )v [n] + dx[n]
(4.162)
  
Se definesc parametrii matriceali de sistem F , q , g , sub forma:

F = PFP 1

(4.163)
q = Pq
t
t 1
g =g P
Cu aceste definiii, ecuaiile de stare pot fi exprimate ca



v[n + 1] = Fv[n] + qx[n]
(4.164)
t 
y[n] = g v[n] + dx[n]
(4.165)
Prin compararea relaiilor (4.157) i (4.158) cu (4.164) i
(4.165), se observ c printr-o simpl transformare liniar a variabilelor
de stare, se genereaz un nou set de ecuaii de stare i o ecuaie de ieire,
n care intrarea x[n] i ieirea y[n] sunt neschimbate. Deoarece exist un
numr infinit de alegeri ale matricei de transformare P, exist un numr
infinit de ecuaii de stare i structuri pentru un sistem. Unele dintre aceste
structuri sunt diferite, n timp ce altele sunt apropiate ca structur, diferind
doar prin factorii de scalare.
238

Unei implementri n spaiul strilor a unui sistem i se


asociaz conceptul de implementare minimal. O implementare intern se
spune c este minimal dac dimensiunea spaiului strilor (a numrului
variabilelor de stare) este cea mai mic din toate realizrile posibile.
Deoarece fiecare variabil de stare reprezint o cantitate ce trebuie stocat
i reactualizat la fiecare moment n, rezult c o implementare minimal
este aceea care necesit cel mai mic numr de celule de ntrziere. Se
reamintete faptul c implementarea n form direct II necesit cel mai
mic numr de celule de memorie i, n consecin, o realizare n spaiul
strilor a acesteia are ca rezultat o implementare minimal. Similar, un
sistem FIR realizat ca o structur n form direct conduce la o
implementare minimal intern, dac valorile registrelor de stocare sunt
definite ca variabile de stare. Implementarea n forma direct I a unui
sistem IIR nu conduce la o implementare minimal.
n continuare se va determina rspunsul la impuls al sistemului
descris n spaiul strilor. Prin definiie, rspunsul la impuls h[n] al unui
sistem este rspunsul de stare zero al sistemului la excitaia
x[n] = [n] [63].
Prin urmare, acesta poate fi obinut din ecuaia (4.154) dac se
impune n0 = 0 (momentul cnd se aplic intrarea), v[0] = 0 i x[n] = [n] .
Astfel, rspunsul la impuls al sistemului descris de (4.157) i (4.158) este
dat de relaia
h[n ] = g t F n 1qu[n 1] + d [n ] = g t [n 1]qu[n 1] + d [n ] (4.166)
Pentru o descriere intern dat este uor de determinat
rspunsul la impuls din relaia (4.166). Invers, ns, nu este uor, deoarece
exist un numr infinit de implementri interne pentru o aceeai descriere
intrare-ieire.
Exemplul 4.10.
1, 1, 2, 3, 5, 8, 13,...
Secvena Fibonacci este dat de

S se determine al aptesprezecelea termen fr a calcula termenii


anteriori.
Soluie. Secvena Fibonacci poate fi scris ca fiind rspunsul la
impuls al sistemului descris de ecuaia cu diferene
y[n] = y[n 1] + y[n 2] + x[n]
239

ntr-adevr impunnd y[1] = y[2] = 0, i x[n] = [n] , se obine


h[0] = 1, h[1] = 1, h[2] = 2, h[3] = 3, h[4] = 5 i aa mai departe.
Realizarea n spaiul strilor de tipul 1este descris de
0 1
0
1
F=
q=
g= d=1

1 1
1
1
Din (4.166), rezult
h[17] = g t F 16 q
Calculnd F2, F4, F8 i F16 , se obine
610 987
F 16 =

987 1597
de unde rezult h[17] = 2584 .
4.4.4.1. Sistemul transpus

Transpusa matricei de sistem F este se noteaz cu Ft i se obine


prin schimbarea coloanelor sale n linii.
innd cont de relaiile (4.157)-(4.158), se definete sistemul
transpus, ca fiind caracterizat de relaiile
v '[n + 1] = F t v '[n] + gx[n]
(4.167)
t
y '[n] = q v'[n] + dx[n]
(4.168)
Conform relaiei (4.166), rspunsul la impuls al acestui sistem este
dat de
h'[n] = q t (F t ) n 1 gu[n 1] + d [n]
(4.169)
t n 1
n 1 t
Din algebra matriceal se tie c (F ) = (F ) . Prin urmare
h'[n] = q t (F n 1 ) t gu[n 1] + d [n]
(4.169)
t
n 1 t
Deoarece termenul q (F ) g este scalar, el este egal cu
transpusul su, adic

[q (

n 1 t

) g = g t (F t ) n 1 q
ceea ce conduce la identitatea relaiilor (4.166) i (4.169) i, deci,
h'[n] = h[n]. Astfel un sistem SISO i transpusul su au acelai rspuns la
impuls i, prin urmare, aceeai relaie de legtur intrare-ieire.
Realizrile n spaiul strilor de tipul 1 i tipul 2, descrise de
relaiile (4.132)(4.135), sunt structuri transpuse care provin de la aceeai
relaie de legtur intrare-ieire (4.126).
t

240

Exemplul 4.11.
S se deseneze diagrama bloc pentru sistemul transpus din
Exemplul 4.5 cu realizarea din figura 4.31.
Soluie. Inversnd direcia semnalului n toate ramurile i
nlocuind nodurile de branare cu noduri de sumare, i invers, n diagrama
bloc din figura 4.31, se obine diagrama bloc din figura 4.33a.
Sistemul transpus este caracterizat de ecuaiile
v1 '[n + 1] 1,35 0,45 v1 '[n] 3
v '[n + 1] = 0,55 0,35 v '[n] + 1 x[n]
2
2

v ' [ n]
y[n] = [0,5 0,5] 1
+ x[n]
v 2 '[n]
care conduc direct la diagrama bloc reprezentat n figura 4.33b.

Figura 4. 33. (a) Sistemul transpus al celui din figura 4.31, (b) Realizarea sistemului din
exemplul 4.11.

S-a folosit structura transpus deoarece furnizeaz o metod


simpl de generare a unei noi structuri. Totui, cteodat aceast nou
structur poate diferi numai printr-un factor de multiplicare sau poate fi
identic cu cea original.
4.4.4.2. Sistemul diagonal

O soluie compact a ecuaiilor n spaiul strilor se obine, dac


matricea de sistem F este diagonal. Aceasta implic gsirea unei matrice

P, astfel nct F = PFP 1 s fie diagonal. Diagonalizarea matricei F
poate fi realizat mai nti determinnd valorile proprii i vectorii proprii
ai matricei.
241

Fie o valoare proprie a lui F. Un vector nenul u este un vector


propriu asociat matricei F, dac
Fu = u
(4.170)
Pentru a determina valorile proprii ale lui F, se observ c
(F I )u = 0
(4.171)
Ecuaia are o soluie nenul (netrivial) u, dac matricea F I
este singular ( (F I) neinversabil), i anume, dac
det(F I) = 0
(4.172)
Determinantul din relaia (4.172), conduce la polinomul
caracteristic al matricei F. Pentru o matrice F de ordin N N , polinomul
caracteristic este de grad N i, prin urmare, are N rdcini notate cu
i , i = 1, 2, .N. Rdcinile pot fi distincte sau nu. n orice caz, pentru
fiecare rdcin i , se poate determina un vector ui, numit vectorul
propriu corespunztor valorilor proprii i , din ecuaia
Fu i = i u i
Aceti vectori proprii sunt ortogonali, adic u ti u j = 0 , pentru i j.
Dac se formeaz o matrice U ale crei coloane sunt vectorii
proprii {u i },

U = u 1

u2 " uN ,

atunci matricea F = U 1FU este diagonal.


Se observ faptul c valorile proprii ale matricei F sunt identice cu
rdcinile polinomului caracteristic. De exemplu, sistemul care genereaz
secvena Fibonacci este caracterizat de ecuaia cu diferene omogen
y[n] y[n 1] y[n 2] = 0
(4.173)
Soluia ecuaiei omogene are forma
yh [n] = n
Substituia acestei soluii n (4.173) conduce la polinomul caracteristic
2 1 = 0 ,
care este exact acelai polinom caracteristic obinut din determinantul
matricei (F I).
Deoarece implementarea sistemului cu ajutorul variabilelor de
stare nu este unic, nici matricea F nu este unic. Totui, valorile proprii
242

ale sistemului sunt unice, astfel c ele sunt invariante la orice


transformare liniar, nesingular, a matricei F. n consecin, polinomul
caracteristic corespunztor matricei F, poate fi determinat fie evalund
determinantul matricei (F I ) , fie din ecuaia cu diferene ce
caracterizeaz sistemul.
n concluzie, descrierea intern realizeaz o caracterizare
alternativ a sistemului, care este echivalent cu o descriere intrare-ieire.
Un avantaj al descrierii sistemului n spaiul strilor este c ofer un plus
de informaii n legtur cu variabilele interne ale sistemului, informaii
care nu se obin uor din descrierea intrare-ieire. Formularea variabilelor
de stare pentru un sistem liniar invariant n timp permite reprezentarea
sistemul printr-un sistem de ecuaii cu diferene de ordinul nti,
independente. Aceat independen poate fi realizat prin intermediul
unei transformri care poate fi obinut prin gsirea valorilor proprii i
vectorilor proprii ai sistemului.
Exemplul 4.12.
S se gseasc o formul explicit pentru secvena Fibonacci din
Exemplul 4.10.
Soluie. n Exemplul 4.10 s-a stabilit c secvena Fibonacci poate
fi considerat ca fiind rspunsul la impuls al sistemului care satisface
urmtoarele ecuaii n spaiul strilor:
0 1
0
v[n + 1] =
v[n] + x[n]

1 1
1

y[n] = [1 1]v[n] + x[n]


Aici se dorete a se determina un sistem echivalent
v [n + 1] = F v [n ] + q x[n ]

y[n ] = g t v [n ] + dx[n ]
astfel nct matricea F s fie diagonal. Conform relaiei (4.163), dou
sisteme sunt echivalente dac
q = Pq
g t = g t P 1
F = PFP 1
Fiind dat matricea F, problema este de a determina o matrice P
astfel nct F = PFP 1 s fie o matrice diagonal.
nti se calculeaz determinantul din (4.172), de unde rezult
valorile proprii.
243

1

2
det(F I ) = det
= 1 = 0

1
1

1+ 5
1 5
1 =
2 =
2
2
Corespunztor acestor valori proprii, din (4.170) rezult vectorii proprii
1
1
u1 = i u 2 = .
1
2
t
Se observ c u1u 2 = 1 + 1 2 = 0 (vectorii proprii sunt
ortogonali). Matricea U, ale crei coloane sunt vectori proprii ai matricei
F, este
1 1
U=

1 2
Matricea U 1FU este diagonal. ntr-adevr, se observ uor c
0

F = U 1FU = 1

0 2
i deoarece matricea de transformare este P = U 1 atunci
1 2 1
P=
2 1 1 1
0

F = 1
, unde
0 2
elementele diagonale sunt valorile proprii ai polinomului caracteristic.
1

3 + 5 3 5
Mai mult, q = Pq = 5 i g t = g t P 1 = g t U =

2
1
2
5
Rspunsul la impuls al acestui sistem diagonal echivalent este
h[n] = g t F n-1q u[n 1] + d [n] =

Astfel, matricea diagonal F are forma

n 1
n 1
3 5 1 5
1 3 + 5 1 + 5


=
2 u[n 1] + [n]
2
5 2 2

care este formula general pentru secvena Fibonacci.

244

O expresie alternativ poate fi gsit observnd c secvena


Fibonacci poate fi considerat ca fiind rspunsul de intrare zero al
sistemului descris de ecuaia cu diferene
y[n] = y[n 1] + y[n 2] + x[n]
cu condiiile iniiale y[-1] = 1, y[-2] = -1. Din implementarea n spaiul
strilor de tip 1 s-a observat c 1 [0] = y[2] = 1 i 2 [0] = y[1] = 1 .
Prin urmare
3 + 5

[
0
]
[
0
]
1 1
1
2

[0] = P [0] = 5
3+ 5
2
2

2
iar rspunsul de intrare zero este
n
n
1 5
1 1 + 5
tn

y zi [n] = g F v[0] =
2 u[n] ,
5 2

care este o form mai cunoscut a secvenei Fibonacci, n care primul


termen al secvenei este zero. Prin urmare, secvena este {0, 1, 1, 2, 3, 5,
8, ... }.

4.4.5. Analiza SDLIT n spaiul strilor n domeniul Z


Analiza n spaiul strilor din paragraful anterior a fost realizat n
domeniul timp, dar aceasta poate fi realizat i n domeniul Z.
Fie ecuaia de stare
v[n + 1] = Fv[n] + qx[n]
(4.174)
ce este echivalent cu un sistem de N ecuaii cu diferene de ordinul nti
1 [n + 1] = f 111 [n ] + f 12 2 [n ] + ..... + f 1N N [n ] + q 1 x[n ]

2 [n + 1] = f 211 [n ] + f 22 2 [n ] + ..... + f 2 N N [n ] + q 2 x[n ]

(4.175)
.........................................................................................
N [n + 1] = f N11 [n ] + f N 2 2 [n ] + .... + f NN N [n ] + q N x[n ]
Presupunnd c starea iniial a sistemului este zero, transformata
Z a acestui sistem de ecuaii este

245

zV1 ( z ) f 11
zV ( z ) f
2 = 21
# #


zV N ( z ) f N 1

f 1N V1 ( z ) q1
f 22
f 2 N V2 ( z ) q 2
+
X ( z)
# #


f N 2 " f NN V N ( z ) q N
unde Vi (z ) este transformata Z a lui i [n ], i =1, 2, ,N.
Se definete vectorul V (z ) ca
f 12

"
"

V1 (z)
V (z)
V (z) = 2
#

VN (z)
Relaia (4.176) poate fi exprimat matriceal, n forma
zV ( z ) = FV ( z ) + qX ( z )
de unde rezult
( zI F)V ( z ) = qX ( z )

(4.176)

(4.177)

(4.178)

(4.179)
V ( z ) = ( zI F) 1 qX ( z )
Transformata Z invers a relaiei (4.179), conduce la soluia pentru
ecuaia de stare n domeniul timp.
Ecuaia de ieire este dat de relaia
y[n] = g t v[n] + dx[n]
(4.180)
sau, echivalent, n domeniul Z
Y(z) = g t V (z) + dX(z)
(4.181)
Utiliznd soluia dat de relaia (4.179), se poate elimina vectorul
de stare V(z) din relaia (4.181) i se obine
Y(z) = [g t (zI F ) 1 q + d ]X(z) ,
(4.182)
care este transformata Z a rspunsului de stare zero al sistemului. Funcia
de sistem se obine din (4.182), sub forma
Y(z)
H (z) =
= g t (zI F ) 1 q + d
(4.183)
X(z)
Se observ c ecuaia de stare dat de (4.179), ecuaia de ieire
dat de (4.182) i funcia de sistem dat de (4.183), au toate n comun
factorul ( zI F ) 1 , care este o mrime fundamental ce este legat de
transformata Z a matricei de tranziie a sistemului. Aceast mrime se
poate calcula astfel:
246

n =0

n =0

H (z) = h[n ]z n = g t F n 1qu[n 1] + d [n ] z n


(4.184)

= g F n 1 z n q + d
n =1

Termenul din parantez poate fi scris ca


t

n 1

z n = z 1 (I + Fz 1 + F 2 z 2 + .....) =

n =1

1 1

(4.185)

= z (I Fz ) = ( zI F)
Dac se nlocuiete rezultatul din relaia (4.185) n (4.184), se
obine expresia lui H(z) ca n relaia (4.183). Deoarece matricea de
tranziie este dat de [n] = F n transformata Z a lui [n] este

z n = I + Fz 1 + F 2 z 2 + ... = (I Fz 1 ) 1 = z(zI F) 1 (4.186)

n =0

Relaia (4.186) reprezint o metod simpl de determinare


matricei de tranziie cu ajutorul transformatei Z. Se reamintete c
adj ( zI F)
( zI F) 1 =
(4.187)
det( zI F)
unde adj(M) este matricea adjunct a lui M, iar det(M) determinantul
matricei A. nlocuind (4.187) n (4.183), rezult
adj(zI F)
H (z) = g t
q+d
(4.188)
det(zI F)
B(z)
n consecin, numitorul A(z) al funciei de transfer H(z) =
,
A(z)
ce conine polii funciei de sistem este
A(z) = det(zI F) ,
(4.189)
dar det(zI-F) este chiar polinomul caracteristic al lui F i rdcinile sale,
care sunt polii sistemului, sunt valorile proprii ale matricei F.
Exemplul 4.13.
S se determine funcia de sistem H(z), rspunsul la impuls h[n] i
matricea de tranziie [n] a sistemului care genereaz secvena
Fibonacci. Acest sistem este descris n spaiul strilor de ecuaiile

247

0 1
0
v[n + 1] =
v[n] + x[n]

(4.190)
1 1
1
y[n] = [1 1]v[n] + x[n]
Soluie. Pentru a determina H(z) i h[n], se calculeaz ( zI F) 1 .
1

1
z 1 1
z
1
( zI F ) 1 =
= 2

z
z z 1 1
1 z 1
Prin urmare
z 1 1 0
1
z2
1
[1 1]
H (z) = 2
+
1
=
=

2
1
z 1
z z 1
z z 1 1 z z 2
1
Inversnd H(z), se obine h[n] sub forma
n +1
n +1
1 5
1 1 + 5

h[n] =
2 u[n]
5 2

Se observ c polii lui H(z) sunt p1 = (1 + 5 ) / 2 i p 2 = (1 5 ) / 2.


Deoarece p1 > 1, sistemul care genereaz secvena Fibonacci este
instabil.
Matricea de tranziie [n] are transformata Z
z 2 z
1

z2 z 1 z
Prin inversarea expresiei de mai sus, rezult
[n ] 12 [n ]
[n ] = 11

21 [n ] 22 [n ]
z ( zI F ) 1 =

z2

unde
1 + 5 1 5 n 1 5 1 + 5 n
u[n ]

11 [n ] =
2 5 2
2 5 2

n
n
1 1 + 5 1 5
u[n ]

12 [n ] = 21 [n ] =
5 2 2

n +1
n +1
1 5
1 1 + 5

22 [n ] =
2 u[n ]
5 2

248

Rspunsul la impuls h[n] poate fi, de asemenea, calculat din


(4.166) utiliznd matricea de tranziie [n ].
Metoda de analiz indicat n Exemplul 4.13 se aplic mai ales la
calcularea rspunsului de stare zero al sistemului, deoarece s-a folosit
transformata Z bilateral. Dac se dorete a se determina rspunsul total
al sistemului, considernd starea iniial nenul, fie aceasta v[n0], trebuie
folosit transformata Z unilateral. Astfel, fiind dat o stare iniial v[n0]
i o intrare x[n] pentru n n0 , se poate determina vectorul de stare v[n]
pentru n n0 i ieirea y[n] pentru n n0 , prin intermediul transformatei
Z unilaterale.
Fr a pierde din generalitate, se presupune n0 = 0 . Apoi, fiind
dat intrarea x[n] pentru n 0 i un sistem cauzal, descris de ecuaia de
stare din (4.174), transformata Z unilateral a ecuaiei de stare este
zV + ( z ) zv[0] = FV + ( z ) + qX ( z )
sau, echivalent,
V + ( z ) = z ( zI F) 1 v[0] + ( zI F ) 1 qX ( z )
(4.191)
+
Se observ c X ( z ) = X ( z ), deoarece x[n] s-a presupus cauzal.
Similar, transformata Z aplicat ecuaiei de ieire dat de relaia
(4.180) este
Y + ( z ) = g t V + ( z ) + dX ( z )
(4.192)
+
nlocuind V ( z ) din relaia (4.191) n (4.192), se obine
Y + ( z ) = zg t ( zI F) 1 v[0] + [g t ( zI F ) 1 q + d ] X ( z )
(4.193)
Primul termen din membrul drept ai relaiei (4.193), reprezint
rspunsul de intrare zero datorat condiiilor iniiale, iar cel de-al doilea,
rspunsul de stare zero. Prin inversarea relaiei (4.193) se obine rspunsul
total al sistemului n domeniul timp.
Exemplul 4.14.
S se determine rspunsul sistemului Fibonacci pentru n 0
avnd starea iniial
1
v[0] =
1
Soluie. Rspunsul de stare zero al acestui sistem a fost determinat
n Exemplul 4.13, astfel nct se va determina doar rspunsul de intrare
zero, care va fi sumat cu rspunsul de stare zero.
249

Transformata Z unilateral a rspunsului de intrare zero este


z 1 1 1
z
z
[1 1]
Yzi+ (z) = zg t (zI F) 1 v[0] = 2
= 2

z 1 z z 1
z z 1
1
Transformata invers a lui Yzi+ ( z ) este
n
n
1 1 + 5 1 5

u[n]

y zi [n] =
5 2 2

250

CAPITOLUL 2

PROIECTAREA FILTRELOR DIGITALE


CU RSPUNS FINIT LA IMPULS
Un filtru digital sau numeric este un sistem discret care scaleaz
i/sau defazeaz n mod selectiv componentele spectrale ale semnalului
discret de intrare, oferind la ieire un semnal discret optim pentru scopul
dorit. Scopul filtrrii este de a mbunti calitatea semnalului (de a
reduce sau nltura zgomotul), de a extrage informaii sau de a separa
dou sau mai multe semnale combinate.
Filtrarea numeric este preferat celei analogice datorit unuia sau
mai multora din urmtoarele avantaje:
1. Filtrele numerice pot avea caracteristici imposibil de realizat cu
filtrele analogice, (de exemplu, faz perfect liniar, n cazul
filtrelor FIR).
2. Spre deosebire de filtrele analogice, performanele celor digitale
nu variaz cu variabilele mediului, de exemplu, temperatura.
Aceasta elimin necesitatea calibrrii periodice.
3. Rspunsul n frecven poate fi ajustat automat prin
implementarea filtrelor cu procesoare programabile, motiv pentru
care se folosesc n filtrarea adaptiv, mai eficient dect cele
analogice.
4. Diferite semnale de intrare pot fi filtrate de un singur filtru digital,
fr modificarea structurii hard, prin multiplexare.
5. Att datele filtrate ct i cele nefiltrate pot fi stocate pentru o
prelucrare ulterioar.
6. Folosind avantajele tehnologiei VLSI, aceste filtre pot fi realizate
la dimensiuni mici, putere mic, pre sczut.
7. n practic, precizia unui filtru analogic este limitat, atenuarea n
banda de oprire pentru filtrele active fiind n jur de 60 70 dB, la
filtrele digitale, aceasta este limitat numai de lungimea
cuvntului folosit, ajungndu-se n mod curent la 80-100 dB.
53

8. Performanele filtrelor digitale sunt repetabile de la procesor la


procesor, fr necesitatea reglajelor.
9. Filtrele digitale pot fi folosite la frecvene foarte sczute, unde
cele analogice nu sunt utilizabile, acoperind un larg domeniu de
frecvene prin simpla schimbare a frecvenei de eantionare.
Dezavantajele importante ale filtrrii digitale fa de cea analogic
sunt:
1. Limitarea vitezei. Limea de band a semnalelor pe care le poate
prelucra un filtru digital n timp real este mult mai mic n
comparaie cu cele analogice. n funcionarea n timp real,
conversia analog/digital (A/D) i digital/analogic (D/A)
introduce restricii de vitez. Timpul de conversie al CAD i CDA
limiteaz frecvena maxim care poate fi procesat. n plus, viteza
operaiei depinde de viteza procesorului digital i de numrul de
operaii pe care trebuie s le execute.
2. Efectele lungimii finite n reprezentarea numerelor. Filtrele
digitale sufer de zgomotul de cuantizare al CAD i de cel de
rotunjire, aprut n timpul calculelor, care conduc la degradarea
performanelor acestora.
3. Timp mare de proiectare i dezvoltare. Timpul de proiectare i
implementare hard este mult mai mare n comparaie cu cel
necesar filtrelor analogice. Unele programe de proiectare asistat
de calculator pot ns realiza uor acest lucru.

2.1. Consideraii generale asupra cauzalitii i


implicaiile ei
n Capitolul 1 s-au prezentat caracteristicile filtrelor ideale i s-a
artat c astfel de filtre sunt necauzale i, prin urmare, nerealizabile fizic.
n continuare, problema cauzalitii i implicaiile ei este tratat n detaliu.
Se consider\ un FTJ ideal, al c\rui r\spuns `n frecven]\ este
1, c
(2.1)
H ( ) =
0, c <
R\spunsul s\u la impuls se determin\ cu transformata Fourier invers\
c
, n=0
c

n
sin
1
1

jn
jn
c
(2.2)

h[n] =
H
e
d
e
d
=
=
=
(
)
sin n
c
n
2
2 c
,n 0
c
c n
54

O reprezentare a lui h[n] pentru c = / 4 este dat\ `n figura 2.1.


Acest filtru este necauzal [i, deci, nu poate fi realizat practic. ~n
plus, h[n] nu este absolut sumabil [i, `n consecin]\, este instabil. Cu ct
l\]imea de band\ a filtrului cre[te, r\spunsul la impuls devine mai `ngust,
[i invers. Pentru c = filtrul devine trece tot (FTT) [i r\spunsul s\u la
impuls devine impulsul unitate.

Figura 2.1. R\spunsul la impuls al unui FTJ ideal

Dac\ r\spunsul la impuls este `ntrziat cu n0 e[antioane,


r\spunsul `n frecven]\ devine
F
h[n n0 ]
H ( )e jn0
(2.3)
Se observ\ c\ acest filtru are faza liniar\. Oricum, nici o valoare
finit\ a `ntrzierii nu va avea ca rezultat un filtru cauzal. O solu]ie
posibil\ de realizare ar fi de a introduce o `ntrziere n0 mare `n h[n] [i a
impune h[n] = 0, pentru n < n0 . Evident, filtrul ob]inut nu va fi ideal.
De[i analiza de mai sus s-a referit la un filtru trece jos ideal, concluziile
ob]inute sunt valabile [i pentru celelalte tipuri de filtre ideale [30].
Condi]iile necesare [i suficiente pe care trebuie s\ le satisfac\
r\spunsul `n frecven]\ al unui filtru, pentru a fi cauzal sunt statuate de
teorema Paley - Wiener, enun]at\ `n continuare. Demonstra]ia acesteia
dep\[e[te cadrul prezentului material, motiv pentru care nu este
prezentat\ [68].
Dac\ h[n] este de energie finit\ [i h[n] = 0 pentru n < 0, atunci

ln H ( ) d <

(2.4)

Reciproc, dac\ H ( ) este de p\trat integrabil [i dac\ integrala


din (2.4) este finit\, atunci se poate asocia lui H ( ) un r\spuns de faz\
55

( ) , astfel `nct filtrul rezultat, cu r\spunsul `n frecven]\ H ( ) e j ( ) ,


s\ fie cauzal.
O concluzie important\ ce rezult\ din aceast\ teorem\ este c\
modulul H ( ) poate fi zero la unele frecven]e, dar nu poate fi zero pe un
domeniu de frecven]e, deoarece integrala devine infinit\. ~n consecin]\,
orice filtru ideal este necauzal.
Cauzalitatea implic\ existen]a unei rela]ii `ntre p\r]ile real\,
H R ( ) , [i imaginar\, H I ( ) , ale lui H ( ) . Pentru a ilustra aceast\
dependen]\, se descompune h[n] `n partea sa par\, he [n] , [i impar\,

ho [n] , adic\
h[n] = he [n] + ho [n]
(2.5)
1
he [n] = [h[n] + h[n]]
(2.6)
unde
2
1
ho [n] = [h[n] h[n]]
(2.7)
[i
2
Dac\ h[n] este cauzal, este posibil\ refacerea acestuia din partea
sa par\, he [n] , pentru 0 n < sau din partea sa impar\, ho [n] , pentru
1 n < , lucru care se va ar\ta `n continuare. Din (2.6) rezult\
h[n] = 2he [n]u[n] he [0] [n], n 0
(2.8)
[i din (2.7) rezult\
h[n] = 2ho [n]u[n] + h[0] [n], n 0
(2.9)
Deoarece ho [n] = 0 pentru n=0, nu se poate reface h[0] din ho [n]
[i, deci, h[0] trebuie cunoscut. Din (2.8) [i (2.9) se observ\ c\ pentru
n 1 , exist\ o rela]ie foarte puternic\ `ntre ho [n] [i he [n] , adic\
ho [n] = he [n] . Dac\ h[n] este absolut sumabil (stabil `n sens MIME [63]),
r\spunsul `n frecven]\ exist\ [i
H ( ) = H R ( ) + jH I ( )
(2.10)
~n plus, dac\ h[n] este real [i cauzal, propriet\]ile de simetrie ale
transformatei Fourier implic\ [63]
H R ( )
he [n]
(2.11)
ho [n]
H I ( )
Att timp ct h[n] este complet determinat de he [n] , rezult\ c\
H ( ) este complet determinat dac\ se cunoa[te H R ( ) . Similar, H ( )
56

este complet determinat dac\ se cunoa[te H I ( ) si h[0] . Aceasta implic\


o leg\tur\ `ntre H R ( ) [i H I ( ) pentru sisteme cauzale. Cu alte cuvinte,
`n cazul sistemelor discrete, liniare, cauzale r\spunsul de amplitudine [i
r\spunsul de faz\ ale sistemului sunt dependente. Fiind dat H R ( ) ,
pentru o secven]\ he [n] real\, par\, absolut sumabil\ se poate determina
H ( ) .
Exemplul 2.1.
Fie un sistem liniar invariant `n timp, stabil, cu r\spunsul la
impuls real [i par. S\ se determine H ( ) dac\
1 a cos
H R ( ) =
, a < 1.
1 2a cos + a 2
Solu]ie. Se determin\ `nti he [n] .

H R ( ) = H R ( z ) z =e j , cos =

z + z 1
2

.
z =e j

1 a ( z + z 1 ) / 2
z a ( z 2 + 1) / 2
=
1 a ( z + z 1 ) + a 2 ( z a )(1 az )
Se observ\ c\ polii sunt p1=a [i p2=1/a. Sistemul fiind stabil,
cercul unitate este cuprins `n regiunea de convergen]\, care va fi un inel
circular cuprins `ntre p1 [i p2 care con]ine cercul unitate a < z < 1 / a . ~n
H R ( z) =

consecin]\, he [n] este o secven]\ bilateral\ `n care polul p1=a determin\


o parte cauzal\, iar polul p2=1/a, o parte necauzal\. Aplicnd
transformata Z invers\ lui H R (z ) , se ob]ine
1
1
he [n] = a |n| + [n]
(2.12)
2
2
nlocuind (2.12) n (2.8), rezult relaia
h[n] = a n u[n]
a crei transformat Fourier este
1
H ( ) =
1 ae j
Relaia ntre prile real i imaginar ale componentelor
transformatei Fourier pentru o secven absolut sumabil, cauzal i real
se poate obine plecnd de la relaia (2.8), creia i se aplic transformata
Fourier.
57

H ( ) = H R ( ) + jH I ( ) = F {2he [n]u[n] he [n] [n]} =


2 F {he [n]} F{u[n]} he [0] = 2 H R ( ) U ( ) he [0] =
1

(2.13)

H R ( )U ( )d he [0]

unde U ( ) este transformata Fourier a treptei unitate u[n] [35].
=

1
= ( ) +
U ( ) = ( ) +
1 e j

= ( ) +

cos

+ j sin

2 j sin

1
e

j
2

j
j2

e e 2

= ( ) +
e

2 = ( ) + 1 j 1 ctg ,
2
2
2

j
2

j
2

j
2

(2.14)

nlocuind (2.14) n (2.13) i identificnd prile imaginare rezult


relaia dintre H I ( ) i H R ( )
H I ( ) =

1
2

( )ctg

(2.15)

de unde se observ c H I ( ) este unic determinat de H R ( ) prin (2.15).


Integrala din (2.15) se numete transformata Hilbert direct.
n concluzie, cauzalitatea are implicaii foarte importante n
proiectarea filtrelor selective de frecven, i anume:
1. Rspunsul n frecven H ( ) nu poate fi zero, dect cu excepia
unui numr finit de puncte.
2. Modulul | H ( ) | nu poate fi constant n orice domeniul finit de
frecvene i tranziia de la banda de trecere la cea de oprire nu
poate fi infinit de abrupt (aceasta este o consecin a fenomenului
Gibbs, care rezult din trunchierea lui h[n] pentru a se obine
cauzalitatea) [38]
3. Prile real i imaginar ale lui H ( ) sunt interdependente, ele
fiind legate prin transformata Hilbert direct. n consecin,
modulul | H ( ) | i faza ( ) a lui H ( ) nu pot fi alese arbitrar.
n paragrafele urmtoare se va face referire numai la clasa SDLIT
cauzale descris de ecuaia cu diferene
N

k =1

k =0

y[n] = ak y[n k ] + bk x[n k ]


58

(2.16)

al cror rspuns n frecven este


M

H ( ) =

b e
k =0
N

j k

(2.17)

1 + ak e jk
k =1

2.2. Tipuri de filtre digitale


Filtrele digitale pot fi mprite n dou clase, filtre cu rspuns
finit la impuls (RFI) sau FIR (Finite Impulse Response) i filtre cu
rspuns infinit la impuls (RII) sau IIR (Infinite Impulse Response).
Oricare din aceste dou tipuri poate fi reprezentat prin rspunsul la impuls
h[n], ( n N pentru filtre cauzale).
Intrarea i ieirea filtrului sunt legate prin suma de convoluie,
dat de relaia
y[n] =

M 1

h[k ]x[n k ]

(2.18)

k =0

pentru filtre FIR i

y[n] = h[k ]x[n k ]

(2.19)

k =0

pentru filtre IIR.


Evident, pentru filtrele IIR rspunsul la impuls este de durat
infinit i pentru filtrele FIR rspunsul la impuls are numai M valori. n
practic, pentru filtrele IIR nu se folosete forma (2.19), pentru c
lungimea filtrului este teoretic infinit, ci se folosete ecuaia cu diferene,
n form recursiv [63]

k =0

k =1

k =0

y[n] = h[k ]x[n k ] = a k y[n k ] + bk x[n k ]

(2.20)

unde ak, bk sunt coeficienii filtrului, iar M i N reprezint gradul


polinomului numrtorului, respectiv numitorului funciei de transfer.
Ecuaia (2.18) este ecuaia cu diferene pentru filtre FIR i (2.20) este
ecuaia cu diferene pentru filtre IIR.
O alt reprezentare pentru filtrele FIR i IIR se poate face folosind
funciile de sistem
H ( z) =

M 1

h[k ]z
k =0

59

(2.21)

pentru filtrele FIR i


M

H ( z) =

b z
k =0
N

1 + a k z k

(2.22)

k =1

pentru filtre IIR, care rezult prin aplicarea transformatei Z relaiilor


(2.18), respectiv (2.20).

2.3. Filtre realizabile fizic, selective de frecven


Cerinele filtrelor digitale sunt n mod obinuit specificate n
domeniul frecven i sunt exprimate prin amplitudinea sau/i faza sau
ntrzierea rspunsului dorit. n cazul filtrului trece jos (FTJ), rspunsul n
amplitudine dorit este de obicei dat de
1 pentru [0, p ]
H d ( ) =
(2.23)
0 pentru [ s , ]
unde

p i s

reprezint

frecvenele

unghiulare corespunztoare

captului benzii de trecere i nceputului benzii de oprire.


Dei pentru un filtru real sunt de dorit caracteristicile filtrelor
ideale prezentate n seciunea 1.7.1, acestea nu sunt absolut necesare n
multe din aplicaiile practice. Renunnd la condiiile pe care trebuie s le
posede un filtru ideal, este posibil a se obine un filtru a crui
caracteristic s se apropie de cea ideal i s satisfac cerinele de
proiectare. Relaxarea condiiilor se refer la acceptarea faptului ca
modulul H ( ) s nu fie constant n ntreaga band de trecere, un riplu
mic fiind acceptabil. Similar, nu este absolut necesar ca H ( ) s fie zero
n banda de oprire, unde, de asemenea, este tolerabil un riplu mic.
n caracteristica de amplitudine, trecerea de la banda de trecere la
cea de oprire determin banda de tranziie sau regiunea de tranziie a
filtrului.
Modulul normalizat al funciei de transfer al unui filtru trece jos
realizabil fizic este prezentat n figura 2.2, sub forma unei scheme de
toleran. Semnificaia mrimilor de pe figur este urmtoarea:
p - definete frecvena corespunztoare captului benzii de trecere
(limita superioar a benzii de trecere);
60

s - definete frecvena corespunztoare nceputului benzii de oprire


(limita inferioar a benzii de oprire);
s p - definete limea benzii de tranziie;

p - reprezint riplul din banda de trecere, modulul | H ( ) | variind


ntre 1 p ;
s - reprezint riplul din banda de oprire.

Figura 2.2. Limitele de toleran pentru aproximarea rspunsului n amplitudine a unui


filtru trece jos real

Limea benzii de trecere determin n mod obinuit limea de


band a filtrului. Se dorete ca n banda de trecere a filtrului, intervalul
[0, p], s fie pstrate componentele de semnal, iar cele rejectate s fie n
intervalul [s, ], numit banda de oprire a filtrului. Caracteristica filtrului
trece jos reprezint rspunsul n amplitudine acceptabil cnd aceasta se
afl n limitele 1p n banda de trecere i mai mic sau egal cu s n banda
de oprire. Pentru a face posibil aproximarea ct mai apropiat de funcia
dorit, specificaiile includ o band de tranziie, s p care nu este
zero, ca n cazul ideal, n care rspunsul filtrului scade de la valoarea 1 n
banda de trecere la zero n banda de oprire.
n cazul filtrelor cu coeficieni reali, datorit simetriei i
periodicitii rspunsului n amplitudine H ( ) , este suficient a se
specifica cerinele filtrului numai pentru intervalul 0 .
n practic, se folosete de multe ori o scal logaritmic pentru
modulul | H ( ) | , reprezentndu-se 20 log10 | H ( ) | , cu unitatea de
61

msur dB . n loc de p se poate indica abaterea maxim a atenurii n


banda de trecere
1+ p
[dB]
A p = 20 log10
(2.24)
1
p

i, similar, n loc de s , se poate specifica atenuarea maxim n banda de


oprire
As = 20 log10 ( s ) [dB]
(2.25)
Ambele cantiti sunt pozitive.
n unele aplicaii, este necesar s se menin forma semnalului de
la intrare, lucru care se realizeaz dac rspunsul de faz al filtrului este
aproximativ liniar n banda de trecere [0, p], adic ArgH ( ) = ( ) este
o funcie liniar de n intervalul [0, p], adic
( ) = 0 + 1 ,
(2.26)
unde 0 i 1 pot fi arbitrari.
Pentru a analiza liniaritatea fazei, n loc de rspunsul de faz, se
poate folosi ntrzierea de grup, definit cu relaia
dArgH ( )
(2.27)
g =
d
sau ntrzierea de faz, definit cu relaia
ArgH ( )
p =
(2.28)

De multe ori, acestea au o form de reprezentare mai simpl dect


a rspunsului de faz i sunt adesea mai uor de interpretat.
Fie H d ( ) funcia dorit, W ( ) funcia de ponderare a erorii de
aproximare i E p ( ) valoarea funciei eroare ponderat, definite dup
cum urmeaz:

H ( ) pentru X p
H d ( ) = dp
pentru X s
0
W p ( ) pentru X p

W ( ) = p
Ws ( ) pentru X s
s
E p ( ) = W ( )[ H ( ) H d ( )]

(2.29)

(2.30)
(2.31)

unde Xp i Xs indic reuniunea benzilor de trecere i, respectiv, de oprire.


62

n cazul cel mai general, exist mai multe benzi de trecere i de


oprire pentru filtru, i eroarea de aproximare acceptabil depinde de n
fiecare band. n acest caz, specificaiile pot fi declarate ca
H dp ( ) p ( ) H ( ) H dp ( ) + p ( ) pentru X p (2.32)
H ( ) s ( ) pentru X s

unde

p ( ) =

(2.33)

p
W p ( )

(2.34)

s
Ws ( )

(2.35)

este deviaia permis fa de rspunsul dorit din banda de trecere, H dp ( )


i

s ( ) =

este deviaia fa de zero, admis n regiunea benzii de oprire.


Cu ajutorul relaiilor (2.29), (2.30), (2.31), (2.34) i (2.35) n
limitele benzilor de trecere i de oprire, specificaiile din relaiile (2.32),
(2.33) pot fi descrise astfel:
p W p ( )[ H ( ) H dp ( )] p pentru X p (2.36)
Ws ( ) H ( ) s

pentru X s

(2.37)

Specificaiile din ecuaiile (2.36) i (2.37) pot fi combinate pentru a


obine urmtoarea form unificat, care este utilizat n multe tehnici de
proiectare a filtrelor
E p ( )
pentru X = X p X s
(2.38)

cu

=p

(2.39)

Dac valoarea absolut maxim a funciei eroare ponderate este mai


mic sau egal cu n X, atunci H ( ) va ndeplinii criteriul de gabarit.
De exemplu, n cazul filtrelor trece band, specificaiile sunt uzual
declarare ca
1 p H ( ) 1 + p pentru p1 , p 2
(2.40)
H ( ) s

pentru [0, s1 ] [ s 2 , ]

(2.41)

Aceste condiii pot fi scrise n forma unificat dat de relaia (2.38),


utiliznd relaiile:
X = [0, s1 ] p1 , p 2 [ s 2 , ],
(2.42)

63

1 pentru p1 , p 2
(2.43)
H d ( ) =
0 pentru [0, s1 ] [ s 2 , ]
pentru p1 , p 2
1

W ( ) = p
(2.44)
pentru [0, s1 ] [ s 2 , ]

s
i relaia (2.39).
n orice problem de proiectare de filtre, trebuie s se specifice:
1. Riplul maxim tolerabil n banda de trecere;
2. Riplul maxim tolerabil n banda de oprire;
3. Frecvena de capt a benzii de trecere p ;

4. Frecvena corespunztoare nceputului benzii de oprire s .


Msura n care H ( ) aproximeaz specificaiile impuse depinde
n bun parte de criteriul folosit n alegerea coeficienilor {ak } i {bk } , ca
i de numrul acestor coeficieni.

2.3.1. Etape n proiectarea filtrelor digitale


Proiectarea unui filtru digital presupune parcurgerea urmtoarelor
etape:
1. Specificarea cerinelor filtrului;
2. Calculul coeficienilor filtrului, (aproximarea funciei de transfer);
3. Stabilirea unui criteriu de calitate pentru rspunsul filtrului obinut
n comparaie cu rspunsul dorit;
4. Realizarea filtrului ntr-o structur potrivit, care realizeaz
aceast funcie de transfer. Realizarea presupune cuantizarea
coeficienilor la un numr finit de bii i efectuarea operaiilor
aritmetice cu o precizie finit;
5. Analiza efectelor lungimii finite asupra performanelor filtrului;
6. Implementare soft i/sau hard.
Aceste etape nu sunt independente i unele dintre ele pot fi reluate
iterativ.

64

2.4. Filtre cu rspuns finit la impuls de faz liniar


Unul dintre cele mai simple tipuri de filtre ce poate fi proiectat
este filtrul FIR de faz liniar. Aa cum va rezulta ulterior, numai filtrele
FIR pot avea faza liniar, condiie cerut n multe aplicaii practice, cum
ar fi comunicaiile digitale.
Un filtru FIR de lungime M are rspunsul n frecven, respectiv
funcia de transfer de forma
H ( ) =

M 1

M 1

n=0

n=0

bn e jn =

h[n]e

jn

(2.45)

unde coeficienii filtrului, {bn } , sunt valorile rspunsului la impuls al


filtrului, adic
b , 0 n M 1
(2.46)
h[n] = n
0, n rest
Funcia de transfer H ( ) , periodic n frecven cu perioada 2,
poate fi exprimat n mai multe moduri, dup cum urmeaz:
H ( ) = H ( ) e j ( )
(2.47)
Trecerile prin zero ale funciei de transfer conduc la salturi de faz
de radiani, aa nct ( ) are discontinuiti n acele puncte. Din acest
motiv se prefer urmtoarea form pentru funcia de transfer:
H ( ) = H ( ) e j ( ) = H R ( )e j ( )
(2.48)

( ) = ( ) + R ( ) , R( ) = Arg{H R ( )} (2.49)
Principalele proprieti ale funciei de transfer sunt:
n cazul n care filtrul FIR are coeficienii h[n] reali (aa
1.
cum se ntmpl n majoritatea situaiilor ce prezint interes din punct de
vedere practic), caracteristica de modul H() este o funcie par, iar
cea de faz () sau () este o funcie impar.
Funcia HR() din relaia (2.48) denumit funcie de
2.
transfer de faz zero este o funcie real i continu, putnd lua att valori
pozitive ct i negative. Funcia () este, de asemenea, o funcie
continu.
3.
Funcia () prezint salturi de radiani la frecvenele la
care HR() are treceri prin zero, numite frecvene de rejecie. Acestea pot
fi uor identificate pe caracteristica de faz.
unde

65

4.
n proiectarea filtrelor FIR se prefer exprimarea funciei
de transfer n forma (2.48), datorit continuitii funciilor HR() i ()
n intervalul fundamental [-,].
Condiia de faz liniar se obine prin impunerea unei condiii de
simetrie par sau pozitiv asupra rspunsului la impuls al filtrului, numit
uneori, simplu, condiie de simetrie, adic
h[n] = h[ M 1 n]
(2.50)
sau a unei condiii de simetrie impar sau negativ asupra rspunsului la
impuls al filtrului, adic
h[n] = h[ M 1 n]
(2.51)
numit i condiie de antisimetrie.
n continuare, se va arta c, dac rspunsul la impuls al filtrului
ndeplinete una din condiiile din (2.50) sau (2.51), filtrul are faza liniar.
Filtru de tipul 1. Simetrie pozitiv (par), M impar

h[0] = h[ M 1] ,
h[1] = h[ M 2]
...
M 1
M 1
= h
h
(2.52)

2
2
innd seama de relaiile (2.45) i (2.52) rspunsul n frecven devine
M 1
M 1
M 1 j 2
jn
j
j 2
H ( ) = h[n]e
+
= h[0] + h[1]e + h[2]e
+ ... + h
e
2
n =0
+ ... + h[M 2]e j ( M 2) + h[ M 1]e j ( M 1) =
e

M 1

=e

M 1
M 1
j

j M21

+ ... + h M 3 (e j + e j ) =
h
h
e
e
[
0
]
+
+

M 1

M 3

M 1
2
j

M
1

M 1

h
2
h
n

n
=
e
H R ( )
2
[
]
cos
+

2
n =0

(2.53)
Termenul din parantez este real pentru toate valorile lui i se
noteaz cu H R ( ) , adic
66

M 3
2
M 1
M 1
+
n
2
H R ( ) = h
h[n] cos

2
2

n =0
Realiznd schimbarea indicelui de sumare
M 1
m=
n
2
i apoi revenind la indicele n , expresia funciei de transfer devine
M 1

M 1 2
j

M 1
M 1
2
n cos(n ) + h
H ( ) = e
2h

2
n =1 2

Introducnd notaiile
M 1
M 1
a[0] = h
; a[n] = 2h
n cu n = 1, M21

2
2

se poate scrie

H ( ) = e

M 1

M 1
2

a[n] cos(n)

(2.54)

(2.55)

(2.56)

(2.57)

(2.58)

n=0

innd cont de relaiile (2.47) (2.49), din (2.53), (2.54) i (2.58), rezult
H R ( ) =

M 1
2

a[n] cos(n) ;

( ) =

n =0

M 1

M 1
2 , dac H R ( ) 0
( ) =
M 1 + , dac H ( ) < 0
R

2
Filtru de tipul 2. Simetrie par, M par
h[0] = h[ M 1]
h[1] = h[ M 2]
...
M

M
h 1 = h
2

2
Urmnd aceleai etape de calcul, se obine
M

M 1 2 1

M 1

2
H ( ) = e
2 h[n] cos
n

2
n=0

67

(2.59)

(2.60)

(2.61)

Termenul din parantez este real i se noteaz cu H R ( ) , adic


M
1
2

M 1
n
H R ( ) = 2 h[n] cos
(2.62)
2

n =0
ntruct (M-1)/2 Z se opereaz schimbrile de indice de sumare
M
i
mn
(2.63)
m=
n
2
cu care, funcia de transfer devine
H ( ) = e

M
M 1
2
2

2h 2
n =1

n cos n
2

(2.64)

Cu notaia

M
b[n] = 2h n

pentru

n = 1,

M
2

(2.65)

rezult
H ( ) = e

M 1

M
2

b[n] cos n 2

(2.66)

innd cont de relaiile (2.47) (2.49), din (2.61), (2.62) i (2.66) rezult
n =1

H R ( ) =

M 1
2

b[n] cos n 2 ;

( ) =

M 1
,
2

M 1
2 , dac H R ( ) 0
(2.67)
( ) =
M
1

+ , dac H R ( ) < 0

2
Se observ c, att pentru M impar ct i pentru M par, ( ) i
( ) sunt acelai, fiind funcii liniare de .
n =1

Filtru de tipul 3. Simetrie impar, M impar


h[0] = h[ M 1]
h[1] = h[ M 2]

M 1
M 1
=0
= h
h

2
2
68

(2.68)

M 1

M 1
M 1 j 2
H() = h[n]e jn = h[0] + h[1]e j + ...+ h
+ ...
e
2
n=0
M 1

j
j M 1 j M 1
...+ h[M 2]e j(M 2) + h[M 1]e j (M 1) = e 2 [h[0] e 2 e 2 +

(2.69)
j
M 3 j j
...+ h
(e e )] = je

2
j

= je

M 1

H R () = e

M 1
j
+
2
2

M 1

M 3
2

M 1
n =
2 h[n] sin
2

n=0

H R ()

M 3
2

M 1
H R ( ) = 2 h[n] sin
n
2

n =0
Efectund schimbarea de indice din (2.55) i notnd
M -1
M 1
c[n] = 2h
n
cu n = 1,
2
2

funcia de transfer devine


unde

H ( ) = e

M 1
j

2
2

M 1
2

c[n] sin(n)

(2.70)

(2.71)

(2.72)

n =1

n acest caz
H R ( ) =

M 1
2

c[n] sin (n ) ;
n =1

( ) =

M 1

M 1
2 , dac H R ( ) 0
( ) = 2
3 M 1 , dac H ( ) < 0
R
2
2

(2.73)

Filtru de tipul 4. Simetrie impar, M par


h[0] = h[ M 1]

(2.74)
M
M
h 1 = h
2

2
Urmnd un mers de calcul similar cu cel de la filtrul de tipul 3,
rezult
69

H ( ) = je

M 1

H R ( ) = e

M 1
j
+
2
2

H R ( )

(2.75)

unde
M
1
2

M 1
n
H R ( ) = 2 h[n] sin
2

n =0
Cu schimbarea indicelui de sumare ca n (2.63), rezult
H ( ) = e

M
M 1
j
2
2
2

d [n] sin n 2

n =1

(2.76)

(2.77)

unde
M

d [ n] = 2h n
2

n acest caz

pentru n = 1,

M
2

M
.
2

(2.78)

M 1
1

(2.79)
H R ( ) = d [n] sin n - ; ( ) =
2
2
2
n =1
M 1
2 2 , dac H R 0
(2.80)
( ) =
3 M 1 , dac H < 0
R
2
2
Se constat c i pentru cazul n care rspunsul la impuls prezint
simetrie impar rspunsul de faz este o funcie liniar de .
Aceste formule generale obinute pentru rspunsul n frecven pot
fi folosite n proiectarea filtrelor FIR de faz liniar al cror rspuns la
impuls prezint simetrie par sau impar. Lungimea filtrului, M, este
funcie de caracteristicile filtrului (limea benzii de tranziie, riplurile din
benzile de trecere i oprire), i n literatura de specialitate exist relaii
empirice cu ajutorul crora se determin aceast mrime [32].
n toate cazurile considerate rspunsul de faz s-a exprimat n
forma
( ) = 2
(2.81)
M 1
unde =
, =0 pentru rspuns la impuls simetric i =1 pentru
2
rspuns la impuls antisimetric. Cu alte cuvinte, pentru filtrele de tipul 1 i
2 caracteristica de faz este cu trecere prin origine, iar pentru filtrele de
70

tipul 3 i 4, caracteristica de faz este o dreapta care nu mai trece prin


origine. n toate cazurile timpul de ntrziere de grup normat este
d ( )
(2.81)
t g ( ) =
=
d
Observaii.
1. Pentru filtrele de tipul 1 i 3, cu M impar, funcia HR(), are un
corespondent fizic n timp i anume
M 1
j

M 1

hR [n] = F -1 H ( )e 2 = h n +
(2.82)
2

hR[n] fiind o secven necauzal, simetric la tipul 1, respectiv


antisimetric la tipul 3 n raport cu ordonata. Pentru filtrele de
tipul 2 i 4 nu mai exist acest corespondent fizic, deoarece n
acest caz, (M-1)/2 nefiind ntreg, nu mai este permis deplasarea
dat de relaia (2.82).
2. Din expresiile (2.59), (2.67), (2.73) i (2.79) se observ c funcia
de transfer de faz zero HR() este o funcie par pentru filtrele de
tipul 1 i 2, respectiv impar pentru filtrele de tipul 3 i 4, care nu
are component continu pentru tipurile 3, 4.
3. Analiznd expresiile (2.59), (2.67), (2.73) i (2.79) ale funciilor
de faz zero HR() n cele patru cazuri se constat c funcia de
faz zero este periodic de perioad 2 pentru filtrele de tipul 1
sau 3, n schimb pentru filtrele de tipul 2 sau 4, perioada este 4 i
HR() prezint simetrie de rotaie (pe a doua jumtate a perioadei
repet evoluia dar cu semn schimbat). Aceast observaie este
util la proiectarea filtrelor pentru impunerea corect a condiiilor
n domeniul frecven.
n Tabelul 2.1 sunt prezentate n rezumat cele 4 tipuri de filtre FIR
de faz liniar mpreun cu anumite particulariti semnificative care
justific utilizarea sau neutilizarea fiecruia n realizarea unor anumite
tipuri de caracteristici de filtrare: filtre trece jos (FTJ), trece sus (FTS),
trece band (FTB), oprete band (FOB), transformator Hilbert (TRH) i
difereniatoare (DIF).
Alegerea condiiei de simetrie sau antisimetrie pentru rspunsul la
impuls depinde de aplicaie.

71

Tabelul 2.1
FIR cu
faz
liniar

Lungi-

Secvena

de

mea M

h[n]

H R ( )

( )

Valoarea lui HR(),


H() i H() la:

Este indicat

Nu poate fi

la

folosit la

=0

proiectarea:

proiectarea:

FTJ,FTS
FTB
FOB

TRH
DIF

tipul:
1

M 1
2

impar

h[n]=h[M-1-n]
2

par

impar

h[n]=-h[M-1-n]
h[(M-1)/2]=0

h[n]=-h[M-1-n]

b[n] cos n 2
n =1

M 1
2

c[n] sin (n )

M 1

fr
constrngeri

fr
constrngeri

M 1

fr
constrngeri

FTJ
FTB

FTS, FOB
TRH
DIF

M 1

FTB
TRH
DIF

FTJ
FTS
FOB

M 1

fr
constrngeri

FTS,FTB
TRH
DIF

FTJ
FOB

n =1

M
2

par

n =0

M
2

h[n]=h[M-1-n]

a[n] cos(n )

d [n] sin n 2
n =1

72

De exemplu, pentru rspuns la impuls cu simetrie impar i M


impar, din (2.73) rezult H R (0) = 0 i H R ( ) = 0 i, n consecin, relaia
(2.73) nu va fi potrivit pentru proiectarea de FTJ sau FTS. Similar,
pentru rspuns la impuls cu simetrie impar i M par, H R (0) = 0 , caz n
care, aceast condiie nu va putea fi folosit n proiectarea unui FTJ FIR
de faz liniar. n schimb, condiia de simetrie par permite obinerea
unui FTJ cu rspuns diferit de 0 la = 0 .
M 3
2
M 1
H R (0) = h
+
2
h[n] , M impar,

2
n =0
M
1
2

H R (0) = 2 h[n] , M par,

(2.83)
(2.84)

n =0

Fiecare din condiiile (2.54), (2.62), (2.70) i (2.76) constituie un


set de ecuaii liniare din care pot fi determinai coeficienii filtrului.
n cazul filtrelor al cror rspuns la impuls prezint simetrie par
M +1
este necesar specificarea rspunsului n frecven n
puncte
2
M
pentru M impar i
pentru M par. Dei valorile lui pot fi alese
2
arbitrar, de obicei acestea se aleg ca puncte echidistante n domeniul
0 . Astfel, dac
2k
M 1
k =
, k = 0,1,...,
, M impar
M
2
(2.85)
M
k = 0,1,..., 1, M par
2
i se definete
M 1
M 1
a kn = 2 cos k
n , i a kn = 1 pentru n =
i toi k
(2.86)
2
2

ecuaiile liniare (2.54) i (2.62) pentru filtre FIR cu rspuns la impuls


simetric devin
H R ( k ) =

M 1
2

a
n=0

kn

h[n] , k = 0,1...

73

M 1
pentru M impar
2

(2.87)

M
1
2

M
1 , pentru M par.
(2.88)
2
n =0
n cazul rspunsului la impuls cu simetrie impar este necesar
M 1
puncte pentru M impar i
specificarea rspunsului n frecven n
2
M
puncte pentru M par. Deoarece (2.70) i (2.76) implic H R (0) = 0
2
independent de alegerea lui h[n] , evident, punctul = 0 nu va putea fi
folosit n specificarea rspunsului n frecven. Pentru M impar nu este
nici o problem, deoarece se poate specifica H R ( ) n (M-1)/2 puncte
echidistante n domeniul fundamental de frecven, de forma
k = 2k / M pentru k=1, 2, ..., (M-1)/2. Cnd M este par sunt necesare
M/2 frecvene, astfel nct, dac nu se poate folosi = 0 , se va folosi
= . n acest caz se definesc frecvenele k
M 1
2k
k =
, k = 1,...,
, M impar
M
2
(2.89)
M
k = 1,..., , M par
2
O alternativ n alegerea frecvenelor k care nltur complet
rspunsul nul la = 0 (i = ) este
2 (k + 1 / 2)
M 1
, M impar
k =
, k = 0,1,...,
2
M
(2.90)
M
k = 0,1,..., 1, M par
2
Acest set de frecvene se obine din (2.85) prin deplasarea fiecrei
H R ( k ) =

kn

h[n] , k = 0,1...

.
M
Se definesc coeficienii

frecvene cu

M 1
bkn = 2 sin k
n
2

Cu (2.91), ecuaiile liniare (2.70) i (2.76) devin


H R ( k ) =

M 3
2

b
n =0

kn

h[n] , k = 1,2...
74

M 1
, M impar
2

(2.91)

(2.92)

H R ( k ) =

M
1
2

b
n =0

kn

h[n] , k = 1,2...

M
, M par
2

(2.93)

Exemplul 2.2.
S se determine rspunsul la impuls h[n] al filtrului FIR de faz
1
liniar de lungime M=4 pentru care H R (0) = 1 i H R = .
2 2
Soluie. Din (2.88) rezult sistemul de ecuaii
a00 h[0] + a01h[1] = H R (0) = 1

1
a10 h[0] + a11h[1] = H R 2 = 2

n care a00 = 2 , a01 = 2 , a10 = 2 , a11 = 2 .


n form matriceal se poate scrie compact
[ A][h] = [ H R ]

2
unde [A] =
2

2
h[0]
, [ h] =

,
2
h[1]

1
[H R ] = 1
2

Soluia este
1
( 2 1) = 0,0732232 = h[3]
h[0] =
4 2
1
( 2 + 1) = 0,4267766 = h[2]
h[1] =
4 2
Rspunsul n frecven al acestui filtru este

H ( ) = H R ( )e

3
2

2
3

( 2 1) cos
+ ( 2 + 1) cos

4
2
2
n exemplul de mai sus, s-a considerat un filtru de lungime foarte
mic. n practic, n funcie de aplicaie, sunt necesare filtre FIR a cror
lungime este mult mai mare (ordinul zecilor), situaie n care h[n] poate fi
calculat numai cu ajutorul calculatorului.
Observaie. Pentru filtrele FIR cu faz liniar, pentru orice M (par
sau impar), exist o singur valoare a ntrzierii de grup normate, i
anume
unde H R ( ) =

75

d ( )
d ( )
M 1
=
= =
(2.94)
2
d
d
n figura 2.3 este reprezentat alura rspunsurilor la impuls pentru
cele patru tipuri de filtre FIR cu faz liniar.

g ( ) =

Figura 2.3. Rspunsurile la impuls pentru cele patru tipuri de filtre FIR cu faz liniar:
(a) tipul 1; (b) tipul 2; (c) tipul 3; (d) tipul 4.

2.4.1. Zerourile funciei de transfer a filtrului FIR cu faz


liniar
Condiia de simetrie sau antisimetrie a rspunsului la impuls h[n],
care determin faza liniar a filtrului FIR, implic i o poziionare
particular a zerourilor funciei de transfer H(z) a acestuia. nlocuind n
H (z ) =

M 1

h[n]z

(2.95)

(2.96)

n =0

z cu z-1, se obine
H (z 1 ) =

M 1

h[n]z
n=0

76

Efectund schimbarea indicelui de sumare m=M-1-n i utiliznd


condiia de simetrie pozitiv din (2.50) rezult urmtoarea relaie valabil
pentru filtrele FIR de tipul 1 i 2.

( ) h[M 1 n]z

H z 1 =

M 1

M 1 n

n=0

M 1

= z M 1 h[n]z n = z M 1 H ( z )

(2.97)

n=0

Procednd similar pentru filtrele de tipul 3 i 4 cu utilizarea


condiiei de antisimetrie (2.51), se gsete relaia
H (z 1 ) =

M 1

h[M 1 n]z

M 1

= z M 1 h[n]z n = z M 1 H ( z ) (2.98)

M 1 n

n =0

n =0

Reunind (2.97) i (2.98) rezult c funcia de transfer a oricrui filtru FIR


cu faz liniar satisface relaia
H(z-1) = zM-1H(z)
(2.99)
Din relaia (2.99) rezult urmtoarele concluzii:
1. Dac zi este un zerou al lui H(z), atunci i 1/zi este de asemenea un
zerou al acestuia;
2. Deoarece, n general, coeficienii h[n] ai polinomului H(z) sunt
reali, zerourile complexe ale acestuia apar n perechi conjugate.
Ca urmare, sunt posibile urmtoarele configuraii de zerouri
exprimate n coordonate polare ale acestora.
a)
z1 = r1e j1 , cu r1 1, 1 0, 1
Caracterul real al coeficienilor determin existena zeroului
z 2 = z1* = r1e j1 iar liniaritatea fazei, conform relaiei (2.99), implic
existena zerourilor z3 i z4, simetrice fa de cercul unitate
z3 =

1 1 j1
1
1
= e
= e j1
i z 4 =
z1 r1
z 2 r1

Acestei configuraii i corespunde factorul elementar H1(z) n


funcia de sistem H(z):
4

1
H 1 ( z ) = 1 z 1 z i = 1 2 r1 + cos 1 z 1 +
r1
i =1

1
1
+ r12 + 2 + 4 cos 1 z 2 2 r1 + cos 1 z 3 + z 4
r1
r1

(2.100)

Evident, secvena h1[n] = Z-1{H1(z)} este simetric. Constelaia


celor patru zerouri este dat n figura 2.4 cu marcarea ntre paranteze a
coordonatelor polare.

77

Figura 2.4. Poziiile zerourilor pentru r1 1 i 0,

b)
r1 = 1 i 1 0, 1
Prezena zeroului z1 = e j1 implic automat i zeroul z 2 = e j1
care este complex conjugatul lui z1. Fiind pe cercul unitate, zerourile z1 i
z2 reprezint i propriile lor simetrice fa de cercul unitate. Factorul
elementar corespunztor n H(z) va fi
H 1 ( z ) = 1 z 1e j1 1 z 1e j1 = 1 2 cos 1 z 1 + z 2
r1 1 i 1 = 0
c)
Zeroul z1 = r1 fiind real, implic doar simetricul su fa de cercul
unitate z2 = 1/r1 i, deci, factorul elementar

1
1
H 1 ( z ) = (1 z 1 r1 )1 z 1 = 1 r1 + z 1 + z 2
r1
r1

)(

r1 1 i 1 =
d)
Analog cazului c), se obine

1
1
i H1 ( z ) = 1 + r1 + z 1 + z 2
z1 = r1 z 2 =
r1
r1

r1 = 1 i 1 = 0 sau r1 =1 i 1 =
e)
Zeroul z1 = 1 (sau z1 = -1) este simultan propriul lui conjugat i
simetric fa de cercul unitate. Factorii elementari corespunztori acestora
sunt
H1(z) = 1-z-1 respectiv H1(z) = 1+z-1.
Utiliznd relaiile
H ( ) =0 = H (z ) z =1 ;
H( ) = = H ( z ) z = 1
(2.101)
i corelnd particularitile funciei H() din Tabelul 2.1 cu configuraiile
de zerouri posibile ale funciei H(z) de faz liniar, se desprind
urmtoarele observaii:
78

ntruct zerourile menionate la a), b), c), d) apar n numr par, n


cazul filtrelor cu lungime par (tipurile 2 i 4), care implic ordinul M-1
impar pentru sistem, este necesar prezena factorilor de tipul e) cu ordin
de multiplicitate impar. Astfel, la filtrele de tipul 2 este obligatorie
prezena zeroului z=-1 cu multiplicitate impar pentru a realiza anularea
lui H() la =, n timp ce la filtrele de tipul 4 este obligatorie prezena
zeroului z=1 cu multiplicitate impar pentru a realiza anularea lui H() la
=0. La filtrele de tipul 3, cu ordinul M-1 par, este obligatorie prezena
simultan a zerourilor z=1 i z=-1 pentru a anula H() n =0 i =.
Imparitatea funciei HR() necesit multiplicitate impar att pentru z=1,
ct i pentru z=-1. La tipul 1, cu ordinul M-1 par, apariia zerourilor n
z=1 i z=-1 nu este obligatorie. Se pot introduce, dac alura caracteristicii
de filtrare necesit anularea ei la frecvenele 0 i/sau , dar atunci
obligatoriu cu multiplicitate par pentru fiecare, aceasta pentru a respecta
ordinul par al filtrului i paritatea caracteristicii HR().
innd seama de aceste observaii, n figura 2.5 se prezint
constelaiile tipice ale zerourilor celor patru tipuri de filtre FIR cu faz
liniar.

Figura 2.5. Constelaiile tipice de zerouri pentru cele 4 tipuri de filtre FIR cu faza liniar

79

2.5. Proiectarea filtrelor FIR cu faz liniar prin


metoda ferestrelor
n aceast metod se ncepe cu specificarea rspunsului dorit n
frecven, H d ( ) , care este o funcie periodic de perioad 2 i se poate
dezvolta n serie Fourier
H d ( ) =

h [n]e

jn

n =

(2.102)

Rspunsul la impuls se deduce cu transformata Fourier invers


1
(2.103)
hd [n] =
H d ( )e jn d
2 2
Rspunsul la impuls hd [n] este, n general, infinit ca durat i
trebuie trunchiat la un numr de puncte n=M-1 pentru a obine un filtru
FIR de lungime M. Funcia de transfer a filtrului numeric FIR ce trebuie
sintetizat este
H ( ) =

M 1

h[n]e

jn

(2.104)

n =0

Efectund identificarea ntre termenii sumelor care reprezint


funcia de transfer dorit i cea real a filtrului FIR, rezult
h[n] = hd [n], n = 0,..., M 1
(2.105)
Lungimea filtrului se presupune cunoscut, cel puin aproximativ,
din cerinele de proiectare. Decizia asupra tipului de filtru ales se ia
considernd restriciile sintetizate n Tabelul 2.1.
ntruct se dorete ca filtrul rezultat s aib caracteristica de
amplitudine impus H d ( ) i caracteristica de faz liniar, n H d ( ) se
M 1

j
2
2

, unde = 0 pentru filtre de


include i factorul de faz liniar e
tipul 1 i 2 i = 1 pentru filtre de tipul 3 i 4. Astfel,
M 1

H d ( ) = H dR ( )e 2 2
(2.106)
n cazul filtrelor de tipul 1 i 2 H dR ( ) este o funcie par de ,
iar pentru filtrele de tipul 3 i 4, impar. Alegerea unui filtru de tipul 3 sau
4 se justific numai dac H d (0) = 0 .

80

Funcia de faz zero H dR ( ) din (2.106) se poate alege ca fiind


funcia de faz zero a filtrului ideal pe care l aproximeaz sau, n cazul
filtrelor de tipul 1 i 2, cnd aceasta prezint simetrie par,
H dR ( ) = H d ( ) , [ , ]
(2.107)
iar n cazul filtrelor de tipul 3 i 4, cnd aceasta prezint simetrie impar,
H d ( ) , [0, ]

H dR ( ) = H d ( ) , [ ,0],
(2.108)

0, = 0

n concluzie, pentru filtrele de tipul 1 i 2


H d ( ) = H dR ( )e
iar pentru filtrele de tipul 3 i 4

M 1

(2.109)

M 1

j
2
2

H d ( ) = H dR ( )e
(2.110)
Dup stabilirea lui H d ( ) , se descompune acesta n serie Fourier
pentru a rezulta secvena infinit hd [n] . Coeficienii filtrului sintetizat se
aleg ca n relaia (2.105). Trunchierea rspunsului la impuls poate fi
privit i ca o nmulire a secvenei de lungime infinit cu o fereastr
temporal de lungime M, notat w[n], adic
(2.111)
h[n] = hd [n]w[n]
cu proprietatea
w[n] = 0, pentru n [0, M - 1]
(2.112)
Produsului algebric n timp discret din (2.111) i corespunde n
frecven, convoluia transformatelor Fourier. Datorit periodicitii de
2 a transformatelor Fourier, convoluia se efectueaz pe un interval egal
cu perioada fundamental, egal cu 2 .
1
H ( ) = F {h[n]} =
H d ( ) W ( )
(2.113)
2
care, evident, nu coincide, n general, cu H d ( ) .
n cazul sintezei filtrelor cu faz liniar, funcia fereastr w[n]
trebuie s ndeplineasc condiia de simetrie
w[n] = w[ M 1 n]
(2.114)
adic este un rspuns la impuls de tipul 1 sau 2, n funcie de paritatea lui
M i
81

M 1

2
W ( ) = WR ( )e
unde WR ( ) este funcia de faz zero a ferestrei.
Relaia (2.113) devine
1
H ( ) =
H d ( )W ( )d =
2 2

1
=
2

H ( )e
dR

M 1
j

2
2

WR ( )e

(2.115)

M 1
( )
2

d =

(2.116)

M 1
j

2
2

1
H dR ( )WR ( )d
2 2
Rezult c trunchierea filtrului FIR de faz liniar conduce la o
funcie de transfer de faz zero, HR(), dat de convoluia periodic,
continu dintre rspunsul dorit de faz zero i funcia de transfer de faz
zero a ferestrei, adic
1
1
H R ( ) =
H dR ( )WR ( )d =
H dR ( ) WR ( ) (2.117)

2 2
2
=e

Figura 2.6. a) Operaia de convoluie implicat de trunchierea rspunsului la impuls


ideal, b) Aproximarea rspunsului n frecven al filtrului rezultat din trunchierea
rspunsului la impuls ideal

Din relaia (2.117) rezult c dac WR ( ) este un puls foarte


ngust centrat pe = 0 (ideal, o funcie Delta) n comparaie cu H dR ( ) ,
atunci H R ( ) aproximeaz foarte fidel pe H dR ( ) . Aceasta implic
82

funcia fereastra de lungime M foarte mare (ideal w[n] = 1 pentru toi n,


deci lipsa trunchierii, caz n care W ( ) este un tren periodic de impulsuri
de perioad 2 ). Pe de alt parte, lungimea M a ferestrei ar trebui s fie
ct mai mic pentru reducerea complexitii calculului. n figura 2.6 sunt
ilustrate H dR ( ) , WR ( ) i convoluia periodic dintre ele, H R ( ) .
Exemplul 2.3.
S se proiecteze dou FTJ cu faz liniar cu lungimea M=38,
respectiv M=39, frecvena de tiere fiind Fc=5 kHz, iar frecvena de
eantionare Fs=40 kHz.
Soluie. Frecvena de tiere normat este
F
5
=
c = 2 c = 2
(2.118)
Fs
40 4
1, < c
,
Cu definiia lui HdR() pentru FTJ ideal, H dR ( ) =
0, n rest
care este o funcie par, utiliznd (2.109) i (2.103), cu valorile din enun,
se obine rspunsul la impuls cu lungimea infinit

1
hd [n] =
2

dR

( )e

M 1
2

j n

1
=
2

M 1
j n

d =

M 1

(2.119)
sin c n

1
M

= sinc n
=

M 1
4
2 4

c n

sin x
.
unde s-a folosit funcia sinc x =
x
Trunchierea rspunsului necauzal hd[n] conform relaiei (2.105)
permite determinarea celor M valori ale rspunsului la impuls h[n], pentru
n=0,1,...,M-1, reprezentnd coeficienii filtrului FIR proiectat. Secvenele
h[n] obinute sunt date n figura 2.7.
Particulariznd relaiile din Tabelul 2.1 care dau caracteristicile
funciei de transfer H(), rezult
pentru M=39
19

( ) = 19 ; H R ( ) = a[n] cos(n )
n =0

83

unde

n
M 1 1
M -1 1
a[0] = h
= ; a[n] = 2h
n = sinc
, n = 1,19

4
2 4
2
2
pentru M=38
19
37
2n 1
( ) = ; H R ( ) = b[n] cos
unde
2
2

n =1
M
1
2n 1
b[n] = 2h n = sinc

2
2
2 4

Figura 2.7. Rspunsurile la impuls ale FTJ din exemplul 2.3.

Reprezentrile grafice ale funciei de faz zero i modulului


funciei de transfer sunt prezentate n figura 2.8, (a) i (c) pentru M=39 i
(b) i (d) pentru M=38. Se pot remarca proprietile de simetrie par ale
tuturor caracteristicilor i, de asemenea, simetria de rotaie, de perioad
4 i trecerea prin zero la = a funciei HR() a filtrului de tipul 2
(figura 2.8b).
Observaii
1.
Metoda ferestrelor de timp se mai numete i metoda dezvoltrii
n serie Fourier deoarece relaia (2.104) reprezint dezvoltarea n serie
Fourier a funciei H(), periodic, de perioad 2.
2.
Proiectarea poate fi fcut i fr a introduce factorul de faz
liniar, ca n relaia (2.109), determinnd rspunsul la impuls, de lungime
infinit, corespunztor funciei de faz zero a filtrului ideal
84

h[n] =

1
2

1 e

jn

d =

c
sinc( c n )

(2.120)

Acest rspuns, simetric fa de ordonat, se trunchiaz simetric pentru


a avea de asemenea faza zero i lungimea M. n acest caz, rspunsul
trunchiat este
M -1
M 1
c
sinc( c n ) pentru n
hM(t ) [n] =
(2.121)
2
2

0
n rest
Se deplaseaz apoi secvena la dreapta cu (M-1)/2 pentru a realiza
cauzalitatea filtrului, obinnd secvena

M 1
M 1 c sinc n

c ,0 n M - 1
=
hM [n] = hM(t ) n
(2.122)

0 , n rest
care este, evident, aceeai cu cea obinut prin prima procedur bazat pe
relaiile (2.103) i (2.109).

Figura 2.8 Caracteristicile n domeniul frecven ale FTJ din exemplu dat,
M = 39 (a i c); M = 38 (b i d).
85

Aceast metod este operaional pentru filtrele de lungime


impar (tipurile 1 i 3), n schimb, pentru cele de lungime par (tipurile 2
i 4) ea devine incomod, secvena de lungime par neputnd fi obinut
printr-o simpl deplasare dintr-o alt secven simetric sau antisimetric
n raport cu originea deoarece (M-1)/2Z, motiv pentru care este
recomandabil s se determine coeficienii filtrului cu relaiile (2.103) i
(2.106), asocierea factorului de faz liniar din (2.106) avnd dou
avantaje mari:
se opereaz cu H(), de perioad 2 pentru toate tipurile de filtre;
trunchierea asigur localizarea rspunsului de lungime finit M,
direct pe suportul 0 n M-1.

2.5.1. Tipuri de ferestre


Pentru ferestrele uzuale, caracteristica de amplitudine are un lob
principal, centrat pe = 0 , i un numr de lobi secundari cu tendine de
descretere.
Efectul trunchierii asupra caracteristicii obinute, cunoscut sub
numele de fenomen Gibbs, [63] se poate constata n special n zonele de
tranziie rapid a caracteristicii. Se constat dou aspecte:
1. Apariia unei benzi de tranziie de lime finit, care este cu att
mai mare, cu ct lrgimea lobului principal al ferestrei este mai
mare;
2. Apariia unor ripluri sau oscilaii att n banda de trecere ct i n
cea de oprire a cror amplitudine i vitez de scdere sunt
determinate de amplitudinea i viteza de scdere a lobilor
secundari ai spectrului ferestrei. Aceste ripluri cresc ctre
marginile benzilor de trecere i oprire, n apropierea punctelor de
discontinuitate ale caracteristicii ideale.
n concluzie, pentru a se obine o band de tranziie ct mai
ngust i ripluri ct mai reduse, funcia fereastra utilizat trebuie s
ndeplineasc urmtoarelor cerine:
1. Funcia de transfer de faz zero a ferestrei s aib lobul principal
ct mai ngust i lobi secundari ct mai mici;
2. Lobul principal s conin cea mai mare parte din energia
ferestrei;
3. Energia lobilor secundari s fie ct mai uniform distribuit ntre
acetia.
La limit, aceste condiii ar fi ndeplinite de
86

WR ( ) = 2 ( )
(2.123)
rezultat fr valoare practic, deoarece n acest caz w[n] = 1 , constant
pentru orice n, deci lipsete fereastra. n general, cele trei cerine nu pot fi
satisfcute de nici o fereastr deoarece cerinele 1 i 2 sunt contradictorii.
Din considerentele prezentate anterior rezult modalitatea de
specificare a caracteristicii de amplitudine a filtrului sub forma unor cote
de gabarit. Astfel, n cazul proiectrii unui filtru trece jos, n banda de
trecere funcia de faz zero oscileaz n jurul valorii ideale 1, riplul
maxim fiind notat cu p, iar n banda de oprire, n jurul valorii ideale 0,
riplul maxim fiind notat cu s, cum se arat n figura 2.20.
Dac se reprezint modulul funciei de faz zero i se duc paralele
la abscis prin 1-p, respectiv s, interseciile acestora cu graficul definesc
banda de trecere efectiv [0,p] (B.T.) i banda de oprire efectiv
(B.O.) [s,], cu semnificaia din figura 2.9. Zona situat ntre p i s
reprezint banda de tranziie a filtrului (B. Tr.). Zonele interzise sunt
haurate pe figur.

Figura 2.9. Caracterizarea modulului funciei de faz zero a unui FTJ sub forma unor
cote de gabarit

2.5.1.1. Fereastra dreptunghiular

Fereastra dreptunghiular cauzal este definit prin relaia


1, n 0, M 1
wD [ n] =
(2.124)
0, n rest
Transformata Fourier a acesteia conduce la expresia
M 1
M 1
j
1 e j M
sin (( M / 2) )
j n
2
=
=e
WD ( ) = e
(2.125)
j
sin( / 2)
1 e
n =0

87

de unde rezult termenul de faz zero


sin (( M / 2) )
WDR ( ) =
= S a ( )
(2.126)
sin( / 2)
Funcia WDR() este par, de perioad 2 pentru M impar,
respectiv 4 cu simetrie de rotaie pentru M par i
0 pentru M = 2k
WDR ( ) =0 = M ;
WDR ( ) = =
(2.127)
k
( 1) pentru M = 2k + 1
2
WDR ( k ) = 0 k = k
cu k - {pM}, p .
M
Frecvenele la care se anuleaz WDR() reprezint nuluri
spectrale.
n figura 2.10 este reprezentat funcia de faz zero WDR() pentru
M=11, care seamn cu o sinusoid amortizat avnd un lob principal cu
limea 4/M, dubl fa de cea a lobilor secundari. Lobul principal se
definete, n general, ca regiunea dintre primele nuluri spectrale aflate de
o parte i de cealalt a originii. Cu creterea lui M, limea lobului
principal descrete, iar nlimea sa crete. La fel se ntmpl i pentru
lobii laterali, aria de sub fiecare rmnnd constant.
Conform relaiei (2.117), valoarea lui HR() pentru filtrul FIR cu
M impar este dat, n cazul unui filtru trece jos cu rspunsul dorit
Hd()=1 i, corespunztor, HdR()=Hd()=1, pentru [ c , c ] , de
integrala

1 c
H R ( ) =
WDR ( )d
pentru [- , ]
(2.128)
2 c

Figura 2.10 Funcia de transfer de faz zero a ferestrei dreptunghiulare


88

Interpretarea relaiei (2.128) se face grafic n figura 2.11.

Figura 2.11 Explicarea fenomenului Gibbs prin convoluie

Pe msur ce WDR ( ) trece peste o discontinuitate a lui


H d ( ) , cu creterea lui , integrala din (2.117) va oscila, dup cum
fiecare lob din WDR ( ) traverseaz discontinuitatea. Deoarece aria de
sub fiecare lob rmne constant, cu creterea lui M, oscilaiile devin mai
rapide, dar nu descresc n amplitudine. Aceste oscilaii se numesc
fenomen Gibbs i se datoreaz trunchierii seriei Fourier [63].
Pentru a aprecia valoarea integralei (2.128) i a pune n eviden
efectul Gibbs, se examineaz variaia lui HR() pe intervalul (0, ),
aceasta fiind o funcie par obinut ca rezultat al convoluiei a dou
funcii pare. Se consider patru situaii semnificative =0, =c2/M;
=c+2/M; = (c=10/M, M=25) [28]. Astfel, pentru =0, din
figura 2.11a rezult
89

H R ( ) =0 =

1
2

WDR ( )d =

1
2

W ( )d =
DR

1 c
1
(
)
(
)
W

WDR ( )d = (2.129)

DR
DR

2 c

2
= wDR [0] = 1
Din relaia (2.129) se observ c valoarea funciei HR() la =0
este dat de suma ariilor (cu tot cu semn) lobului principal i lobilor
laterali ce cad n dreptul benzii de trecere a filtrului sau, altfel spus, la
=0 valoarea integralei se obine scznd din 1 suma ariilor lobilor
secundari ce nu cad n dreptul benzii de trecere. De aici rezult c att
timp ct lobul principal al ferestrei baleiaz zona de trecere a filtrului
ideal, valoarea funciei HR(), corespunztoare secvenei trunchiate,
oscileaz n jurul valorii 1, mrimea oscilaiilor fiind dictat de suma
ariilor lobilor secundari ce nu intr n intervalul (-c, c).
Valoarea cea mai mare a lui HR() n banda de trecere (deci i
riplul maxim) se obine la frecvena =c2/M, care corespunde poziiei
ferestrei din figura 2.11b, pentru care aria mare dat de (2.128) se
datoreaz excluderii primului lob lateral din dreapta (cu arie mare
negativ). Odat cu intrarea lobului principal n dreptul benzii de oprire,
numai lobii secundari rmn n dreptul intervalului (c, c), astfel c
valoarea lui HR(), egal cu suma ariilor acestor lobi, oscileaz n jurul
valorii 0. Cea mai mare oscilaie n banda de oprire se obine la
=c+2/M, cnd primul lob lateral stnga, cu arie negativ mare,
rmne n dreptul benzii de trecere, situaie corespunztoare figurii 2.11c.
Cea mai mic oscilaie se obine la =, deoarece acum numai lobii
secundari cei mai mici au rmas n dreptul zonei de trecere, cum se arat
n figura 2.11d.
La frecvena de tiere teoretic (=c), jumtate din lobul
principal a ieit din intervalul (c, c), astfel c valoarea lui HR() este
aproximativ , deoarece integrala din WDR() pe un interval de lime 2
este unitar i poate fi considerat aproximativ egal cu integrala lobului
principal.
Observaii.
Riplurile, att cele din banda de trecere, ct i cele din banda de
oprire, sunt datorate lobilor secundari.

1
2

90

Distana dintre frecvenele unghiulare la care apar riplurile


maxime din banda de trecere i banda de oprire este egal cu
limea lobului principal al ferestrei
2
2 4
c +
c
(2.130)
=
M
M M
Ca atare, limea zonei de tranziie, mai mic dect distana dintre
cele dou frecvene, este dictat de limea lobului principal. Creterea
lungimii M a filtrului reduce zona de tranziie, mpingnd riplurile
maxime din cele dou benzi spre frecvena teoretic de tiere, neputndule ns reduce sub 8,9% [28].
Explicarea fenomenului Gibbs efectuat mai sus evideniaz
cerinele funciei fereatr prezentate la nceputul paragrafului 2.5.1.
Acestea conduc la urmtoarele performane pentru filtrul
proiectat:
1. Zon de tranziie ngust;
2. Ripluri mici ale rspunsului n frecven;
3. Uniformizarea riplurilor, n scopul evitrii situaiei n care energia
lobilor secundari este concentrat n principal n primii lobi
secundari.
n figura 2.12 sunt prezentate caracteristicile de amplitudine, n
modul i normate, n decibeli, pentru fereastra dreptunghiular cu M= 25,
iar n figura 2.13 aceleai caracteristici ale FTJ proiectat prin ponderarea
cu aceast fereastr, cu frecvena de tiere c=2/5, pe abscis fiind luat
frecvena normalizat.

Figura 2.12 Rspunsul n frecven al ferestrei dreptunghiulare cu M = 25


91

Figura 2.13. Rspunsul n frecven al FTJ cu c/2 = 0,2 ponderat cu fereastra


dreptunghiular cu M = 25

Normarea lui WD ( ) se face n raport cu valoarea sa maxim M,


obinut la =0. Amplitudinile lobilor secundari nu pot fi reduse prin
creterea lui M. Se presupune M suficient de mare, nct
sin(M / 2) variaz mult mai rapid dect sin( / 2) . Maximul (n modul)
se obine cnd sin( M / 2) = 1 . Prima frecven la care este ndeplinit
aceast condiie este M / 2 = + / 2 , deci = 3 / M . Amplitudinea
normat a primului lob secundar este
WD ( )
1
1 sin(3 / 2)
2
WDR ( ) = 3 =
=

0,2 (2.131)
WD (0 ) = 3 M
M sin(3 / 2M ) N 3
M
M

deci amplitudinea normat, n dB, este 20 lg(2/(3)) 13 dB pentru M


foarte mare (figura 2.12). Aceasta implic o atenuare minim de doar 21
dB n banda de oprire i o variaie maxim a atenurii n banda de trecere
de 1,6 dB pentru FTJ proiectat (figura 2.13).
Reducerea riplurilor n cele dou benzi se poate realiza utiliznd
alte tipuri de ferestre, mai puin abrupte dect cea dreptunghiular.
2.5.1.2. Familia de ferestre Hamming

O relaia prin care se definete familia de ferestre Hamming este


2n

(1 ) cos
, pentru 0 n M - 1
wH [ n] =
(2.132)
M 1
0,
n rest
M 1
= 1.
Se observ c wH [0] = WH [ M 1] = 2 1 i wH
2
92

Dac =0,54 fereastra este denumit Hamming propriu - zis, iar


dac =0,5 este denumit Hanning (sau fereastra lui von Hann, mai
corect).
Determinarea spectrului WHR() se poate face exprimnd wHR[n]
ca produsul dintre o fereastr dreptunghiular necauzal wDR[n] i
semnalul periodic x[n]
2n
,
(2.133)
x[n] = + (1 ) cos
M 1
adic
2n

wHR [n] = wDR [n]x[n] = wDR [n] + (1 ) cos


(2.134)
M 1

Multiplicrii n domeniul timp a semnalelor wDR [n] i x[n] i


corespunde n domeniul frecven convoluia circular a transformatelor
lor Fourier, WDR ( ) i X ( ) . Cum x[n] se compune dintr-un termen
2
,
constant i unul n cosinus de frecven unghiular =
M 1
transformata sa Fourier se poate exprima ca o sum de trei funcii Delta,
dup cum urmeaz:
1
2 1
2
X ( ) = ( ) +

+
+
(2.135)
2
M 1
2
M 1

Din convoluia spectrelor rezult spectrul ferestrei, de forma


1
2 1
2

W HR ( ) = W DR ( ) +
W DR
W DR +
+
(2.136)
2
M

1
2
M
1

Se observ c spectrul ferestrei Hamming este format din suma a


trei termeni care reprezint, fiecare, versiuni ale spectrului ferestrei
rectangulare, WDR ( ) , scalate i deplasate pe poziiile impulsurilor Delta,
situaie ilustrat n figura 2.14. Deplasrile determinate de impulsurile din
funcia cosinus poziioneaz lobii laterali ai spectrului WDR ( ) , astfel
nct acetia tind s se anuleze, ceea ce conduce la reducerea mrimii
lobilor laterali ai spectrului rezultat, WHR ( ) .
Prin
nsumarea
termenilor
dispar
zerourile
ferestrei
dreptunghiulare de la 2/M, rezultnd un lob principal cu limea dubl
fa de cea a lobului principal al ferestrei dreptunghiulare. Amplitudinile
lobilor secundari sunt considerabil mai mici comparativ cu cele ale lobilor
secundari ai ferestrei dreptunghiulare. n plus, ntre 4/(M-1) i 6/(M-1)
(i simetric n stnga) s-a creat prin nsumare un zero pentru WHR(),
93

scindnd astfel n dou primul lob secundar, care este de obicei cel mai
puternic. Pentru =0,54 (fereastra Hamming), 99,96% din energia total a
ferestrei este coninut n lobul principal, iar lobul secundar cel mai
puternic are amplitudinea cu 41 dB mai mic dect lobul principal. Pentru
filtrele proiectate prin metoda ferestrei cu ponderare Hamming, creterea
limii lobului principal determin creterea limii zonei de tranziie, n
timp ce descreterea amplitudinilor lobilor laterali determin ripluri mai
mici n zona de oprire i n zona de trecere.

Figura 2.14. Funcia de faz zero a ferestrei Hamming.

2.5.1.3. Fereastra Kaiser

n 1974 Kaiser [24] a propus o nou fereastr, care i poart


numele, bazat pe aproximrile n timp discret ale aa-numitei clase de
funcii sferoidale (funcii cu suport finit n timp, dar cu energie minim
posibil localizat n afara unui interval de frecven selectat).
Fereastra Kaiser este definit ca
94

2

I 0 1 n


wK [n] =
, pentru 0 n M 1

I 0 ( )
0
n rest

(2.137)

M 1
i I0(x) este funcia Bessel modificat de ordinul zero, ce
2
poate fi calculat cu ajutorul seriei de puteri

unde =

2k
x k
x



2
2
I 0 (x ) = 1 + = 1 + 2
(2.138)
k!
k =1
k =1 (k!)

n proiectrile de filtre FIR cu aceast fereastr sunt disponibili


doi parametri M i , prin alegerea crora se poate ajusta banda de
tranziie i nivelul lobilor secundari ai spectrului. Obinuit, parametrul
ia valori n plaja [4, 9] i pentru x[0, ] sunt suficieni circa 20 termeni
n suma (2.138) pentru a obine eantioanele lui wK[n] cu destul
acuratee.
Nu exist o expresie compact pentru transformata Fourier WK(),
dar aceasta nu este necesar n proiectarea filtrului.
Pe msur ce valoarea lui crete, atenuarea minim n banda de
oprire a filtrului proiectat crete, de asemenea, preul pltit fiind lrgirea
benzii de tranziie. Parametrul flexibil poate fi ales astfel nct s se
realizeze atenuarea minim dorit n banda de oprire a filtrului, dup care
lungimea M poate fi aleas pentru a satisface limea f=/(2) a benzii
de tranziie. Pentru =0, se obine fereastra rectangular.
Kaiser a determinat empiric formule pentru determinarea cu
precizie satisfctoare a parametrilor i M n funcie de atenuarea
minim din banda de oprire i limea benzii de tranziie, f=/2, a
FTJ proiectat. Astfel, cunoscnd valoarea lui As = 20 lg s , n dB,
parametrul este dat de relaia [32]

95

0,1102( As 8,7 )
pentru As > 50dB

0, 4
= 0,5842( As 21) + 0,07886( As 21) pentru 21dB < As < 50dB

0
pentru As < 21dB

(2.139)
Kaiser a gsit [24] urmtoarea formul pentru estimarea lungimii
M a ferestrei:
A 8
M 1 = s
(2.140)
14,36f
Dac rspunsul n frecven al filtrului astfel obinut nu satisface
restriciile impuse pentru As i f, se mresc puin valorile lui i M,
verificnd din nou rspunsul. n general prin dou sau trei ncercri, dup
calculul preliminar al lui i M, se ajunge la soluia bun.
n Tabelul 2.2 sunt prezentate performanele ferestrei Kaiser n
funcie de parametrul .

Tabelul 2.2.
Parametrul

Limea
lobului
principal [dB]

Atenuarea
primului lob
secundar [dB]

2
3
4
5
6
7
8
9
10

4/M
6/M
8/M
10M
12/M
14/M
16/M
18/M
20/M

-19
-24
-30
-37
-44
-51
-59
-67
-74

Performanele FTJ proiectat


Factorul
D=fM

Atenuarea
minim [dB]

1,5
2,0
2,6
3,2
3,8
4,5
5,1
5,7
6,4

29
37
45
54
63
72
81
90
99

Exemplul 2.4.
S se determine parametrii i M ai ferestrei Kaiser necesari
proiectrii unui FTJ cu riplul din banda de oprire s = 0,001 , tiind c
frecvenele benzilor de trecere i de oprire sunt p = 0,4 ,
respectiv s = 0,6 .
96

f:

Soluie. Se determin mai nti limea zonei de tranziie normate

0,6 0,4
=
= 0,1 . As = 20 lg s = 20 lg(0,001) = 60dB
2
2
Apoi se calculeaz parametrul cu relaia (2.139) i M cu (2.140).
60 8

= 0,1102(60 8,7 ) = 5,653 , M =


+ 1 = 37
14,36 0,1
Relaia (2.140) mai poate fi scris n forma
As=8+14,36(M-1)f 8+14,36D cu D=Mf
Cum D depinde de doi factori, M i f, nseamn c exist dou
situaii, una cu lungime mare a filtrului i band de tranziie ngust,
respectiv lungime mic i tranziie larg, ce conduc la acelai factor D,
obinndu-se aceeai valoare pentru atenuarea minim din banda de oprire
a filtrului proiectat.
f =

2.5.1.4. Fereastra Bartlett


Ferestra Bartlett este o fereastr triunghiular definit cu relaia [2]
2
M 1
wB [ n] = 1
n
, 0 n M 1
(2.141)
M 1
2
Fereastra triunghiular poate fi definit i cu alte relaii, rezultatele
fiind apropiate pentru lungimi mari ale filtrului. Aceast fereastr are
performane sczute n sinteza filtrelor FIR, fiind utilizat mult n
domeniul analizei spectrale a semnalelor. Limea lobului principal este
aceeai ca n cazul ferestrelor Hamming (8 / M ) , dar lobii secundari
sunt mult mai mari. [28].

2.5.1.5. Fereastra Blackman


Din cele prezentate pn acum s-a vzut necesitatea de a realiza
ferestre cu tranziii lente n timp, pentru a asigura un nivel sczut al
lobilor secundari, dar i cu o lime mare a lobului principal. Fereastra
Blackman se obine tot din familia de ferestre n cosinus ridicat, ca
ferestrele Hamming i Hanning prin adugarea unui termen suplimentar
fa de fereastra Hamming care conduce la lrgirea i mai mult a lobul
principal. O posibilitate de a defini fereastra Blackman este dat de relaia
97

2
4
wBL [n] = 0,42 0,5 cos
n + +0,08 cos
n ,0 n M 1
M 1
M 1
(2.142)
Din analiza spectrului acestei ferestre, obinut ntr-un mod similar
celui prezentat la fereastra Hamming, se observ c limea lobului
principal este de trei ori mai mare dect n cazul ferestrei dreptunghiulare
(12 /( M 1)) , iar amplitudinea lobilor secundari prezint o atenuare de
58 dB fa de cel principal [28].
Alte dou tipuri de ferestre folosite n practic sunt
Fereastra Lanczos, definit ca [48]
L

M 1
/( M 1)
sin 2 n
2

,L > 0
wl [n] =

2 n M 1 /( M 1)

Fereastra Tukey [48]

M 1
M 1
1, n 2 2 , 0 < < 1

w[n] =

1 1 + cos n (1 + )(M 1) / 2 , M 1 n M 1 M 1

2
2
2
2
(1 )(M 1) / 2

n Tabelul 2.3 sunt centralizate funciile pondere mpreun cu


funciile de transfer de faz zero corespunztoare ale ferestrelor
prezentate. n tabelul 2.4 sunt redate performanele ferestrelor exprimate
prin limea lobului principal i atenuarea relativ n dB a primului lob
lateral. De asemenea, sunt prezentate performanele FTJ cu faz liniar
proiectat prin metoda ferestrelor, performane exprimate prin limea
zonei de tranziie sau, echivalent, prin factorul D i atenuarea minim n
zona de oprire.

98

Tabelul 2.3
Nr.

Tipuri de ferestre utilizate n proiectarea filtrelor FIR


Definiia ferestrei cauzale w[n]
Denumirea

crt.

ferestrei

Dreptunghiular

Triunghiular
(Bartlett)

0 n M-1;

M par sau impar

WDR (e j ) =

1
1

2
M 1

Hanning (Hann)

0,5 0,5 cos M2n1

Hamming

0,54 0,46 cos M2n1

Blackman

Kaiser

0,5WDR ( ) + 0,25WDR ( +

) + 0,25WDR ( M21 )
0,54WDR ( ) + 0,23WDR ( + M21 ) + 0,23WDR ( M21 )
0,42W dR ( ) + 0,25W dR ( + M21 ) + 0,25W dR ( M21 ) +
+ 0,04W dR ( + M41 ) + 0,04W dR ( M41 )

0,42 0,5 cos M2n1 +


+ 0,08 cos M4n1
2
I 0 1 ( n )

, =
I 0 ( )

sin (2M )
sin (2 )

2
1 sin (2M )
; M impar
M sin 2 (2 )

M 1
2

Funcia de faz zero WR()

2
M 1

Nu exist formul compact

M 1
2

99

Tabelul 2.4
Proprietile ferestrelor din Tabelul 2.3
Nr.
crt.

Tipul ferestrei

Dreptunghiular

Triunghiular

Hanning

Hamming

Blackman

Kaiser cu =7,865

Limea
lobului
principal

4
-13
M
8
-25
M 1
8
-31
M 1
8
-41
M 1
12
-58
M 1
2 15,73
=
-57
M
M
(reglabil cu )

(reglabil cu )

Performanele FTJ cu faz liniar proiectat


Factorul
Limea
Atenuarea

benzii
minim n zona
D=
M = fM
de oprire [dB]
tranziie
2

Atenuarea
primului lob
secundar [dB]

1,84
M
3,68
M
6,22
M
6,64
M
11,2
M
10,12
M

(reglabil cu )
100

0,92

-21

1,84

-25

3,11

-44

3,32

-54

5,6

-74

5,06
(reglabil cu )

-80
(reglabil cu )

Din cele prezentate pn acum se desprind urmtoarele observaii:


1. Cu excepia ferestrei Kaiser, pentru care factorul D este reglabil cu
ajutorul parametrului , pentru celelalte ferestre factorul D este
fix, ceea ce justific denumirea de ferestre fixe pentru acestea. Din
acest motiv la ferestrele fixe exist o valoare fix a atenurii
minime n banda de oprire a filtrului proiectat, specific fiecrei
ferestre, n timp ce la fereastra Kaiser se poate obine orice valoare
a atenurii minime prin alegerea adecvat a lui ;
2. Performanele filtrelor proiectate cu ferestrele dreptunghiular,
Hamming, Blackman pot fi obinute folosind ferestre Kaiser cu
=0, =5,4414, respectiv, =8,885;
3. n cazul n care FTJ proiectat are benzile de trecere i oprire egale,
riplurile p i s n cele dou benzi sunt egale. Cnd benzile nu
sunt egale riplurile difer puin i nu pot fi controlate independent.
Riplul s rezult cu precizie din As impus la proiectare, n schimb
p va rezult automat n funcie de s i raportul benzilor de trecere
i oprire.
Lipsa posibilitii unui control simultan asupra riplurilor din cele
dou benzi reprezint o limitare a metodei ferestrelor.

2.5.2. Exemple i concluzii la metoda ferestrelor


n figurile 2.15, 2.16, 2.17, 2.18 sunt prezentate funciile pondere
w[n] pentru tipurile de ferestre prezentate, precum i caracteristicile de
amplitudine n dB ale ferestrelor i filtrelor trece jos (de lungime M=51 cu
frecvena de tiere normat c=/4), proiectate cu ferestrele Hamming,
Blackman i Kaiser.
Din aceste reprezentri se pot observa performanele ferestrelor i
filtrelor proiectate:
1. Valorile n dB ale atenurii primului lob secundar al ferestrelor
Hamming, Blackman i Kaiser cu =10, sunt: -43 dB, -58 dB, i
-74 dB;
2. Atenurile minime din banda de oprire pentru filtrele proiectate cu
aceste ferestre sunt: 54 dB, 74 dB, 100 dB.

101

Figura 2.15. Diferite tipuri de ferestre

Figura 2.16. Caracteristicile de frecven n dB ale a) ferestrei Hamming i b) filtrului


proiectat cu fereatra Hamming, pentru M=51 i fc=0,125

Figura 2.17. Caracteristicile de amplitudine n dB ale a) ferestrei Blackman i b) filtrului


proiectat cu fereatra Blackman, pentru M=51 i fc=0,125
102

Figura 2.18. Caracteristicile de amplitudine n dB ale a) ferestrei Kaiser i b) filtrului


proiectat cu fereatra Kaiser, pentru M=51 i fc=0,125, =10.

Avantajele metodei ferestrelor sunt date de:


Simplitate;
Obinerea de formule compacte pentru calculul coeficienilor;
Nu necesit proceduri de optimizare.
Dezavantajele metodei ferestrelor constau n:
Necesitatea unei exprimri analitice compacte pentru rspunsul n
frecven impus la proiectare, adic pentru funcia dorit Hd(). n
lipsa acestei exprimri nu se obine o expresie compact pentru
coeficienii neponderai hd[n], utilizarea ferestrelor devenind
dificil.
Flexibilitatea relativ mic n proiectare, n sensul imposibilitii
specificrii cu exactitate a frecvenelor limit ale benzilor de
trecere i oprire efective p i s. La proiectare se impune
frecvena teoretic de tiere c a lui Hd(), dar ponderarea cu o
funcie fereastr are ca efect mprtierea discontinuitii n
domeniul frecven, ca efect al convoluiei dintre spectrul dorit i
cel al ferestrei, efect ce depinde de tipul ferestrei utilizate. Dei n
multe aplicaii efectul de mprtiere poate fi compensat prin
alegerea lui c ca medie aritmetic a lui p i s, n cazul filtrelor
cu tranziie abrupt controlul lui p i s nu este asigurat cu
precizie satisfctoare.
103

2.6. Metoda eantionrii n frecven


n proiectarea filtrelor FIR prin metoda eantionrii n frecven se
specific rspunsul n frecven dorit H d ( ) ntr-un set de M frecvene
uniform distanate n intervalul [0, 2], fie acestea
2
k =
(k + ), k = 0,1,...( M 1) / 2, M impar
M
(2.143)
k = 0,1,...[( M / 2) 1], M par

= 0 sau 1 / 2,
i din aceste specificaii se calculeaz rspunsul la impuls al filtrului
sintetizat, care s ndeplineasc cerinele de proiectare. Suportul teoretic
al acestei metode l constituie Transformata Fourier Discret.
Impunnd identitate ntre rspunsul filtrului dorit i cel sintetizat
la frecvenele specificate, se poate scrie

2
H [k + ] = H
(k + ) = H d ( )
2 =
= ( k + )

M
M
(2.144)
=

M 1

h[n]e

j ( k + )

2n
M

; k = 0, M - 1

n =0

Relaia (2.144) reprezint un sistem de M ecuaii cu M


necunoscute, {h[n]}, n = 0,1,..., M 1 . Pentru a obine soluia n form
compact a acestui sistem, se nmulesc ambii membri ai relaiei (2.144)
j 2k

cu e M i se sumeaz dup k de la 0 la M-1. Coeficienii h[n] ai


filtrului n funcie de termenii H [k + ] sunt
2

j ( k + ) n
1 M 1
M
h[n] =
H [k + ]e
; n = 0, M - 1
(2.145)

M k =0
Se observ c pentru = 0 , relaia (2.144) reprezint
Transformata Fourier Discret (DFT) a secvenei h[n] , iar relaia (2.145)
se reduce la Transformata Fourier Discret Invers (IDFT). Avantajul
celui de-al doilea tip de alegere a eantioanelor n frecven, care
1
corespunde lui = ar putea aprea n situaia n care acest set de valori
2

ar duce la o precizie mai strns a limitelor benzii de trecere i


pentru
oprire efective, n sensul plasrii unor eantioane ct mai spre marginile
acestor benzi.

104

Funcia de sistem H(z) a filtrului proiectat este


2
M 1
M 1
j ( k + ) n
1 M 1
M n
H ( z ) = h[n]z n = H [k + ]e
(2.146)
z
n =0
n =0 M k =0

Interschimbnd ordinea de sumare i efectund sumarea dup n, se


obine
n
1 M 1 j ( k + ) 2

1
M
H ( z ) = H [k + ] e
z =
M n =0
k =0

(2.146)
1 z M e j 2 M 1 H [k + ]
=

2
j ( k + )
M
k =0
M 1
1 e
z
Evalund funcia de sistem pe cercul de unitate, se obine
2
M
k +
j (
)
sin

M 1 M 1
M
j
H [k + ]e
2
M
2
(2.147)
H ( ) = e

1
2
2
M
k =0
sin
k

2
M
M
Cu notaia
M 1

2
2
M
k

1
2
2
2
M
M 1

(
)
,
=
k
S a
P k + =

1
2
2
M
M
M
M
sin
k

2
M
M
sin

(2.148)
funcia de transfer H ( ) se poate exprima n forma
H ( ) = e

M 1 M 1
2

H [k + ]e

k +

( 1)k P( , k + )

(2.149)

k =0

Pentru a evidenia cum se obine rspunsul n frecven al filtrului


sintetizat n funcie de eantioanele prescrise pentru rspunsul dorit, se
consider, de exemplu, c se dorete proiectarea unui filtru FIR de faz
liniar de tipul 1 sau 2, caz n care eantioanele H [k + ] vor fi de forma

H [k + ] = H R ( )e

M 1
2

= ( k + )

2
M

105

= H R [k + ]e

j ( k + )

( M 1)
M

(2.150)

unde H R [k + ] reprezint eantioanele H d [k + ] ale funciei de transfer


de faz zero a modelului ideal, H R [k + ] = H d [k + ] .
n aceste condiii, nlocuind (2.150) n (2.149), rezult
H ( ) = e

M 1 M 1
2

H
k =0

[k + ]P( , k + )

(2.149)

de unde se obine funcia de faz zero a filtrului proiectat


H R ( ) =

M 1

H
k =0

[k + ]P( , k + ) =

(2.151)
1
2 2k

= H d [k + ] S a

M
M
M
k =0
Relaia (2.151) precizeaz modul n care se obine rspunsul n
frecven HR() prin interpolarea rspunsului n frecven eantionat, i
anume: fiecare eantion H d [k + ] pondereaz o funcie S a ( ) centrat,
M 1

n domeniul frecven, pe eantionul k + . Prin sumarea celor M-1


funcii S a ( ) ponderate, rezult funcia de transfer de faz zero a filtrului
proiectat. aa cum este ilustrat n figura 2.19.

Figura 2.19. Rspunsul de faz zero al filtrului dorit i cel real

La frecvenele considerate se va obine identitate ntre


caracteristica rezultat i cea dorit, adic eroarea de aproximare este zero
la = (k + )2 / M , dar nu exist control direct asupra erorilor ce apar
la alte frecvene, rezultnd oscilaii att n banda de trecere, ct i n cea
de oprire, cum se arat n figura 2.19. Amplitudinea acestor oscilaii poate
106

fi mare, dac se impune o band de tranziie foarte mic (mai mic dect
2 / M ). Riplurile pot fi reduse dac se accept o band de tranziie mai
larg sau se impun valori intermediare ntre banda de trecere i cea de
oprire. O alt soluie este de a lsa nespecificate valorile unor eantioane
din banda de tranziie, care devin variabile auxiliare ce vor fi utilizate
ntr-o procedur de optimizare pe calculator a soluiei, procedur ce
urmrete minimizarea erorii dintre caracteristica dorit i cea sintetizat.
Dac h[n] este real, eantioanele caracteristicii de frecven
satisfac condiia de simetrie
H [h + ] = H * [ M k ]
(2.152)
care, mpreun cu condiia de simetrie pentru h[n] poate reduce
specificaiile de frecven de la M la (M-1)/2 puncte pentru M impar i la
M/2 punte pentru M par.
Experimental s-a constatat c [31]:
Un eantion n zona de tranziie, folosit ca variabil auxiliar,
conduce la o atenuare minim n banda de oprire de ordinul 45
55 dB;
Dou eantioane n zona de tranziie conduc la o valoare a
atenurii minime n intervalul 65 75 dB;
Trei eantioane n zona de tranziie asigur o atenuare minim ce
ajunge la 85 95 dB.
Metoda eantionrii n frecven este aplicabil pentru filtre cu
orice caracteristic de faz, prin generarea secvenei H [k + ] i
aplicarea transformatei Fourier discrete inverse. Metoda eantionrii n
frecven poate fi combinat cu metoda ferestrelor, ponderarea secvenei
h[n] cu o fereastr w[n] de tip Hamming, Blackman sau Kaiser reducnd
foarte mult riplurile n cele dou benzi ale filtrului.

2.7. Proiectare filtrelor optimale echiriplu FIR de faz


liniar
Metoda de proiectare descris n acest paragraf este formulat ca o
problem de aproximare Cebev. Aproximarea de tip Cebev este vzut
ca un criteriu de proiectare optim, n sensul c eroarea de aproximare
ponderat dintre rspunsul n frecven dorit i cel obinut este ntins
uniform peste banda de trecere i cea de oprire i apoi se minimizeaz
eroarea maxim.
107

Filtrele obinute prin folosirea acestui criteriu sunt cunoscute sub


denumirea de filtre optimale.
n tabelul 2.1 au fost prezentate funciile de transfer de faz zero
pentru cele 4 tipuri de filtre FIR cu faz liniar. Cu ajutorul identitilor
trigonometrice, fiecare dintre ele poate fi adus la forma
H R ( ) = Q( ) P ( )
(2.153)
unde P() este o combinaie liniar de termeni n cos(n), iar Q() o
funcie specific fiecruia din cele patru tipuri de filtre.
Pentru filtre FIR de tipul 1(rspuns la impuls simetric i M impar)
M 1
M 1
n=0
h 2 ,
2

(2.154)
H R ( ) = a[n] cos(n ), a[n] =
n =0
2h M 1 n , n = 1,2,..., M 1

2
2
de unde rezult direct
Q( ) = 1 ;

P( ) =

M 1
2

a[n] cos(n )

(2.155)

n =0

Pentru filtre FIR de tipul 2 (rspuns la impuls simetric i M par)


M
2

M
1

M
H R ( ) = b[n] cos n , b[n] = 2h n , n = 1,2,...,
(2.156)
2
2

2
n =1
Considernd n=0,..., M/2 1 n identitatea

1
1
1

b[n] cos cos(n ) = b[n]cos n + + cos n (2.157)


2
2
2
2


i adunnd membru cu membru cele M/2 egaliti, se obine identitatea
M

1
2
cos b[n] cos(n ) = b[n] cos n ,
2
2 n=0
n =1

1
cu b[1] = b[1] + b[0];
(2.158)
2
1
M
1
b[n] = b[n] + b[n 1] , n = 2,3,..., - 1 ; b[ M2 ] = b[ M2 1]
2
2
2
Cu ajutorul relaiei (2.158), relaia (2.156) poate fi scris n forma

M
1
2


H R ( ) = cos b[n] cos(n )
2 n =0
108

(2.159)

Comparnd (2.159) cu (2.153) rezult


M

2

Q( ) = cos ; P( ) = b[n] cos(n )
(2.160)
2
n =0
Pentru filtre FIR de tipul 3 (rspuns la impuls antisimetric i M

impar)
M 1
2

M 1
M 1
(2.161)
= 2h
n , n = 1,2,...,
2
2

n =1
Dnd lui n valorile n=0,..., (M-3)/2 n identitatea trigonometric
1
c[n] sin cos(n ) = c[n]{sin( n + 1) sin( n 1)}
(2.162)
2
i adunnd membru cu membru cele (M-1)/2 egaliti, se obine
identitatea
H R ( ) =

c[n] sin(n ); c

M 3
2

M 1
2

n =0

n =1

sin c[n] cos(n ) =

c[n] sin n cu

1
c[1] = c[0] c[2] ;
2

1
c[n 1] c[n + 1] , n = 2,3,..., M2-5 ;
(2.163)
2
1
1
c[ M23 ] = c[ M25 ]; c[ M21 ] = c[ M23 ]
2
2
Cu ajutorul relaiei (2.163), relaia (2.161) poate fi scris n forma
c[n] =

M 3
2

H R ( ) = sin c[n] cos(n )

(2.164)

n =0

Comparnd (2.164) cu (2.153) rezult


Q( ) = sin ; P ( ) =

M 3
2

c[n] cos(n )

(2.165)

n =0

Pentru filtre FIR de tipul 4 (rspuns la impuls antisimetric i M


par)
M
2

1
M
M

H R ( ) = d [n] sin n , d [n] = 2h n , n = 1,2,...,


(2.166)
2
2
2

n =1
Dnd lui n valorile n=0,..., M/2 1 n identitatea trigonometric

1
1
1

d [n] sin cos(n ) = d [n]sin n + sin n (2.167)


2
2
2
2


109

i adunnd membru cu membru cele M/2 egaliti, se obine identitatea


M

1
2
sin d [n] cos(n ) = d [n] cos n
2
2 n =0
n =1

1
1
M
cu d [1] = d [0] - d [1] ; d [n] = d [n 1] d [n] , n = 2,3,..., - 1 ; (2.168)
2
2
2
1
d [ M2 ] = d [ M2 1]
2
Cu ajutorul relaiei (2.168), relaia (2.166) poate fi scris n forma

2
H R ( ) = sin d [n] cos(n )
2 n=0
Comparnd (2.169) cu (2.153) rezult
M
1
2

(2.169)

Q( ) = sin ; P( ) = d [n] cos(n )


(2.170)
2
n =0
Funciile Q() i P() pentru cele patru tipuri de filtre FIR cu faz liniar
1, pentru tipul 1

L
cos , pentru tipul 2
2
sunt Q( ) =
; P( ) = n cos(n ) (2.171)
sin , pentru tipul 3
n =0

sin , pentru tipul 4

2
cu n = a[n], b[n], c[n], d [n] , pentru filtrele de tipul 1, 2, 3 i, respectiv,
4.
Parametrii n ai filtrului sunt dependeni de rspunsul la impuls
al acestuia. Limita superioar a sumei, L, este L=(M-1)/2 pentru tipul 1,
L=(M-3)/2 pentru tipul 3 i L=(M/2)-1 pentru tipurile 2 i 4. Exprimarea
funciei HR() n forma (2.153) permite tratarea unitar a celor 4 tipuri de
filtre FIR cu faz liniar.
n plus fa de specificaiile fcute asupra funciei de faz zero a
filtrului proiectat, se definete funcia de faz zero, H dR ( ) , a rspunsului
dorit i funcia de ponderare a erorii de aproximare, W ( ) . Rspunsul dorit n
frecven, H dR ( ) , cu valori reale, este considerat egal cu unitatea n
banda de trecere i zero n banda de oprire.
110

Funcia de ponderare a erorii, W(), permite impunerea unor


valori diferite ale erorii n diferite benzi de frecven (de exemplu, n
benzile de trecere i oprire ale filtrului).
n particular, este convenabil a normaliza W() la unitate n banda
de oprire i a impune W ( ) =

s
n banda de trecere, adic
p

, n banda de trecere
K =
W ( ) =
p

1, n banda de oprire
Astfel, cu relaia (2.153) i considernd eroarea E()
E ( ) = H dR ( ) H R ( ) ,
eroarea ponderat Ep() va fi
H ( )

P ( )
E p ( ) = W ( ) E ( ) = W ( )Q( ) dR
Q( )

Notnd
H ( )
W ( ) = W ( )Q( ) i H dR ( ) = dR
Q( )
eroarea ponderat devine
E p ( ) = W ( )[ H dR ( ) P ( )]

(2.172)

(2.173)
(2.174)

(2.175)
(2.176)

Pentru un filtru FIR cu faz liniar de tipul 1, pentru care


Q()=1, semnificaia termenilor introdui este
H dR ( ) = H dR ( ) ; P ( ) = H R ( ) ; W ( ) = W ( )
(2.177)
n cazul aproximrii n sens Cebev a caracteristicii ideale Hd(),
funcia de transfer de faz zero a filtrului proiectat, HR(), trebuie s
oscileze ca n figura 2.20, astfel nct minimele i maximele s fie la egal
distan de caracteristica ideal.
Eroarea neponderat E() satisface condiiile
E ( ) p , p pentru B.T.
(2.178)
E ( ) [ s , s ] pentru B.O.
Eroarea ponderat Ep() va avea extremele n banda de trecere

(2.179)
E p ,ex ( ) = s ( p ) = s
p

111

n felul acesta, extremele lui Ep() au aceeai valoare n B.T. i n


B.O., proiectarea devenind mai comod, ntruct un singur parametru, s,
controleaz eroarea n cele dou benzi.

Figura 2.20 Funcia de transfer de faz zero i funciile eroare


i eroare ponderat de tip Cebev.

Observaie. Funciile eroare i eroare ponderat prezint valori


extreme i la frecvenele limit ale celor dou benzi (p, respectiv s), ce
nu sunt puncte de extrem pentru HR().
Conform relaiei (2.176), problema aproximrii n sens Cebev a
caracteristicii dorite Hd() poate fi formulat ca problema gsirii
coeficienilor polinomului P(), astfel nct s se realizeze minimizarea
maximelor modulului erorii ponderate Ep() n benzile de trecere i oprire
efective, adic
E p ( ) = min {max E p ( ) } ;
(2.180)
( coef n )

112

unde S reprezint reuniunea benzilor de frecven peste care se face


optimizarea. Soluia acestei probleme aparine lui Parks i McClellan care
aplic teorema alternanei, teorem ce va fi enunat, fr a fi, ns, i
demonstrat [39].
Teorema alternanei afirm urmtoarele:
Dac P() este o combinaie liniar de L+1 funcii cosinusoidale
L

P( ) = n cos(n )

(2.181)

n =0

atunci condiia necesar i suficient ca P() s realizeze cea mai bun


aproximare n sens Cebev a unei funcii continue H dR ( ) ntr-un
domeniu compact S aparinnd intervalului [0, ), este ca funcia eroare
ponderat Ep() s prezinte cel puin L+2 frecvene de extrem n S.
Aceasta nseamn c trebuie s existe L+2 frecvene i distincte
1 < 2 < 3 < ... < L+1 < L+2, astfel nct
E p ( i ) = E p ( i +1 ) cu i = 1, L + 2
(2.182)

E p ( i ) = max E p ( )

S
Relaia (2.182) conine L+2 ecuaii ce permit, cel puin teoretic,
gsirea celor L+1 coeficieni n ai polinomului P() i, de asemenea,
valoarea maxim a modulului erorii ponderate.
Este important a cunoate numrul maxim de puncte de extrem ale
funciei de transfer de faz zero HR() pentru un filtru FIR cu faz liniar,
deoarece extremele lui HR() sunt, de asemenea, puncte de extrem i
pentru eroarea Ep(). Adugnd la acest numr acele puncte de extrem
ale erorii ponderate Ep(), ce nu sunt extreme pentru HR(), se poate
determina numrul maxim de extreme ale erorii Ep().
n continuare se va ilustra procedura de determinare a numrului
maxim de extreme pentru filtrul de tipul 1, pentru care

H R ( ) = n cos(n )

(2.183)

n=0

coninnd L+1 = (M+1)/2 funcii cosinusoidale {cos(n)}.


Funcia HR() poate fi transformat ntr-o funcie polinomial n
cos de forma
n

cos(n ) = kn (cos ) k
k =0

Introducnd (2.184) n (2.183), rezult


113

(2.184)

n =0

k =0

H R ( ) = n kn (cos ) = n' (cos )


k

(2.185)

n =0

Numrul de puncte de extrem pentru H R ( ) se obine din egalarea


cu zero a primei sale derivate. Aceasta este
L 1
L
dH R ( )
m
n 1
= (n n' )(cos ) sin = ( m + 1) m' +1 (cos ) sin
d
m=0
n =1
(2.186)
unde s-a efectuat schimbarea de variabil n-1=m.
Notnd d m = (m + 1) m' +1 i revenind apoi la indicele n, derivata
devine
L 1
dH R ( )
n
= (sin ) d n (cos )
(2.187)
d
n =0
Efectund n (2.187) schimbarea de variabil
x = cos
(2.188)
derivata se poate scrie sub forma
dH R ( )
= F ( x) = F1 ( x) F2 ( x)
(2.189)
d
unde
L 1

F1 ( x) = 1 x 2 ; F2 ( x) = d n x n

(2.190)

n=0

Derivata se anuleaz n punctele n care se anuleaz funciile F1(x)


i F2(x). Funcia F1(x) se anuleaz n punctele x=1 i x=-1 care sunt
corespondentele punctelor =0 i = prin transformarea (2.188).
Funcia F2(x), fiind o funcie polinomial de gradul L-1, poate avea cel
mult L-1 zerouri reale n intervalul x(-1, 1). Aceasta nseamn c
HR() poate avea cel mult L+1 puncte de extrem n intervalul nchis
[0, ]. Pentru filtrul FIR cu faz liniar de tipul 1, numrul de extreme
ale funciei HR() n intervalul [0, ], notat cu Ne trebuie s satisfac
relaia
M 1
M +1
Ne L +1 =
+1 =
(2.191)
2
2
Raionnd n mod similar pentru filtrele de tipul 2, 3 i 4, rezult

114

M
pentru filtrele de tipul 2 i 4
2
(2.192)
M 1
Ne
pentru filtrele de tipul 3
2
Din figura 2.20, se poate constata c dac aproximarea Cebev
este realizat ntr-o reuniune de benzi din intervalul nchis [0, ],
atunci funcia eroare poate avea cte un extrem la fiecare margine de
band, care ns nu este extrem pentru HR(). Exist o excepie de la
aceast regul n situaia n care marginile benzilor sunt n =0 sau n
=, unde HR() are de obicei extrem. Astfel, se poate afirma c funcia
eroare pentru un filtru trece jos cu rspuns finit la impuls de tipul 1, cu
benzile de interes [0, p] i [s, ] va avea maximum (M+1)/2 + 2 =
(M+5)/2 = L+3 extreme, n timp ce pentru un filtru trece band, tot de
tipul 1, cu domeniul de aproximare S=[0, s1][p1, p2][s2, ],
funcia eroare va avea (M+1)/2 + 4 = (M+9)/2 = L+5 extreme. Filtrele n
a cror proiectare intervin mai mult de L+2 alternri se numesc filtre
extra riplu, iar cnd n proiectare se consider numrul maxim de
alternane, filtrul se numete filtru cu riplu maximal.
Cunoaterea numrului maxim de puncte de extrem ale funciei
eroare este important, deoarece procedurile de proiectare a filtrelor
optimale difer n funcie de numrul de extreme pe care l realizeaz,
numr ce trebuie s fie cel puin egal cu cel solicitat de teorema
alternanei, L+2, i cel mult egal cu numrul maxim posibil. n cazul
filtrului trece band de tipul 1, cu benzile menionate mai sus, numrul de
puncte de extrem poate fi L+2, L+3, L+4 sau L+5, L+1 fiind numrul de
coeficieni ai lui P().
Exist mai multe proceduri de proiectare a filtrelor optimale,
dintre care cea mai folosit este metoda de schimb Remez, ce va fi
prezentat n continuare.
Teorema alternanei reprezint suportul teoretic al acestei metode i
garanteaz unicitatea soluiei problemei de aproximare Cebev.
Se alege un set iniial de frecvene presupuse a fi cele L+2 frecvene
de extrem ale funciei eroare ponderat Ep(). n funcie de filtrul
proiectat, unele din aceste frecvene au o localizare bine precizat. De
pild, n cazul unui filtru trece jos de tipul 1, din setul iniial vor face
parte frecvenele: 0, p, s, (ca figura 2.20). La frecvenele setului
iniial eroarea ponderat, dat de relaia (2.176), este forat s aib
valoarea maxim =s, semnul alternnd conform relaiei
Ne

115

k
W ( k )[ H dR ( k ) P ( k )] = ( 1)

pentru k = 0, L + 1

(2.193)
cu n=0,...,L i ) pot fi

Aceste L+2 ecuaii cu L+2 necunoscute (n,


exprimate n forma
P ( k ) + ( 1)

= H dR ( k ) cu k = 0, L + 1
W ( k )

(2.194)

sau, echivalent

= H dR ( k ) cu k = 0, L + 1 (2.195)

W ( k )
n =0
n form matriceal sistemul liniar (2.195) se scrie
1

1 cos( 0 ) ... cos( L 0 )

W ( 0 )

(
1) 0 H dR ( 0 )


1 cos(1 ) ... cos( L1 )
( ) 1 H dR (1 )
W
1

.
...
.
.
.
.
.

. =
.
.
...
.
.
.
(2.196)

.
...
.
.
.
.
.
L

( 1) L H ( )
(
)
1
cos
...
cos(
)
L

dR
L
L
L

W ( L ) H ( )

dR L +1
L +1

(
1)

1 cos( L +1 ) ... cos( L L +1 )


W ( L +1 )

Iniial, nu se cunosc nici frecvenele de extrem k, nici parametrii


n i . Aflarea acestora cu ajutorul algoritmului Remez ncepe prin a
presupune un set de frecvene de extrem k. Determinarea coeficienilor
n prin rezolvarea sistemului (2.196) ar fi util dac, ntr-adevr, toate
frecvenele k presupuse ar fi frecvene de extrem ale erorii, ceea ce,
evident, nu este adevrat. Din acest motiv se determin din sistem doar
parametrul rezultat din forarea funciei HR() de a trece prin cele L+2
puncte de coordonate precizate. Din sistem rezult cu regula lui Cramer
L

cos(n k ) + ( 1)

L +1

=
=

k =0

H dR ( k )

( 1)k

k
W ( k )
k =0
L +1

116

(2.197)

k fiind minorii cu tot cu semn ai determinantului principal , dezvoltat


dup ultima coloan.
Notnd cu 1 determinantul primei matrice din membrul stng al
relaiei (2.196), la care ultima coloan a fost nlocuit prin coloana
[cos((L+1)o), cos((L+1)1),...cos((L+1)L+1)]T i innd cont de
faptul c minorii k i 1 pot fi adui la o form Vandermonde, se poate
scrie [28]
L +1
k
1
(2.198)
= C
1
i = 0 (cos k cos i )
ik

unde C este o constant. mprind prin C1 numrtorul i numitorul


fraciei (2.197) i folosind notaia
L +1

1
(2.199)
ak = k =
C 1 i =0 (cos k cos i )
ik

parametrul devine
L +1

a
k =0

H dR ( k )

( 1)k
a

k
W ( k )
k =0
L +1

(2.200)

Dup calcularea lui ar trebui evaluate valorile lui P() i, deci,


Ep() pe tot domeniul de aproximare S pentru a verifica dac setul de
frecvene k ales iniial reprezint punctele de extrem ale erorii Ep().
Evaluarea lui P() ar necesita calculul coeficienilor n din (2.196),
operaie dificil i, n acelai timp, inutil deoarece este puin probabil ca
prima alegere a celor L+2 frecvene k s fie cea mai bun. Evaluarea
rapid a lui P() se poate face pe o alt cale, tiind c P()cos=x este un
polinom de ordinul L n x, unic determinat de restricia de a trece prin L+1
puncte de coordonate precizate. Expresia analitic a acestuia poate fi
determinat cu ajutorul metodei de interpolare Lagrange.
L

Polinomul P ( x) = ' n x n este unic determinat de condiia ca


n =0

funcia polinomial s treac prin L+1 puncte de coordonate (xk, P(xk)) cu


k=0, ... ,L. Expresia analitic a lui P(x) ce satisface aceast restricie este
[48]
117

P( xk ) k
k =0 x x k
L

P(x ) =

xx
k =0

cu k =
i =0
ik

1
x k xi

(2.201)

Relaia (2.201) este valabil n cazul de fa cu particularizrile


x = cos ; x k = cos k
k
P ( k ) = H dR ( k ) ( 1)

(2.202)
cu k = 0, L
W ( k )
Expresia (2.201) este cunoscut sub denumirea de formula de
interpolare a lui Lagrange n form baricentric. Dup evaluarea lui ,
pentru determinarea unic a lui P() sunt necesare numai L+1 puncte, n
loc de L+2 puncte.
Evident, din condiiile (2.194), P() trece i prin al (L+2)-lea
punct, neluat n considerare n forma baricentric (2.201). Evaluarea lui
Ep() dat de (2.176), pe domeniul S, se realizeaz utiliznd pentru P()
forma baricentric (2.201), precum i un set dens de frecvene sS. Ca
regul general, se apreciaz c se realizeaz o bun evaluare a lui Ep()
dac setul de frecvene sS conine 8M frecvene, adic de 16 ori gradul
lui P(x).
Dup aceast evaluare se constat c nu toate frecvenele k ale
setului iniial sunt extreme pentru Ep(), n sensul c valorile maxime
absolute ale lui Ep() sunt mai mari dect , exceptnd frecvenele =0,
=, =p i =s, aa cum se observ n figura 2.21.
n aceast situaie se localizeaz noile puncte de extrem ale lui
Ep(), notate k( 2) pe figur (cele ale setului iniial au fost notate k(1) ).
Pentru acest nou set de frecvene de extrem se repet etapele parcurse,
determinnd (2) i noile valori Ep(2)(s) etc.
Procedura este repetat pn cnd punctele k(i ) devin cu adevrat
extremele lui Ep(), ceea ce se traduce printr-o modificare
nesemnificativ a poziiei frecvenelor de extrem n noul ciclu, adic
k(i +1) k(i ) pentru k = 0, L + 1
(2.203)
unde este o abatere foarte mic impus la proiectare.
n eventualitatea c Ep() are dup una din iteraii mai mult de
L+2 puncte de extrem, atunci se rein dup iteraia urmtoare acele L+2
frecvene la care Ep() are cele mai mari valori (n valoare absolut).
118

Astfel, n cazul proiectrii unui FTJ de tipul 1, numrul maxim de


extreme ale funciei Ep() fiind L+3, al (L+3)-lea extrem, cu valoarea cea
mai mic va fi eliminat.

Figura 2.21 Funcia eroare ponderat, forat s ia valorile (1) la frecvenele k(1)
cu k = 0, L+1 = 8

n general, sunt necesare 4 pn la 8 iteraii, pentru a obine soluia


optim n cazul proiectrii unui FTJ. n proiectrile de filtre cu mai multe
benzi de trecere i oprire, numrul de iteraii este mai mare, fiind necesare
de dou pn la trei ori mai multe iteraii fa de proiectarea unui FTJ.
Cu relaiile (2.153) i (2.48) se calculeaz eantioanele H[k], dup
care, aplicnd transformarea Fourier invers discret, se gsete secvena
h[n] = F -1 {H [k ]}
(2.204)
Algoritmul iterativ Remez parcurge urmtoarele etape:
1. Se alege un set iniial de L+2 puncte de extrem (1)={0(1), 1(1),
..., L+1(1)} aparinnd domeniului de aproximare S.
2. Se determin valoarea (1) prin rezolvarea sistemului liniar (2.193)
ce foreaz eroarea ponderat s ia n cele L+2 puncte aceast
valoare, cu semnul alternnd de la un punct de extrem la altul.
3. Se evalueaz P ( k ) la frecvenele alese i apoi se calculeaz
P ( ) prin interpolare Lagrange, dup care se evalueaz eroarea
ponderat E p(1) ( ) , reinnd pentru noul ciclu de iteraie cele L+2
frecvene de extrem unde eroarea nregistreaz cele mai mari
extreme n valoare absolut, cu condiia ca semnul erorii s
alterneze n punctele selectate.
119

4. Dac k(i+1) - k(i) pentru orice k=0, 1,..., L+1 ( fiind o


abatere foarte mic impus la proiectare), atunci procesul iterativ
este oprit, trecnd la etapa a 5-a. n caz contrar, se alege noul set
de frecvene de extrem (i+1) i se reiau etapele 2 i 3.
5. Se calculeaz coeficienii h[n] ai filtrului.
Prin alegerea setului de frecvene la iteraia (i+1) ca fiind
frecvenele n care se obin valorile de extrem ale erorii rezultante la
iteraia (i), eroarea (i+1) va crete fa de (i), iar n final va converge ctre
limita sa superioar ce corespunde soluiei aproximrii de tip minimax.

2.7.1. Relaii pentru estimarea lungimii filtrului


n practic numrul de coeficieni ai filtrului este necunoscut. n
general, nu pot fi stabilite relaii analitice ntre lungimea filtrului
proiectat, M, i datele impuse la proiectare referitoare la frecvenele limit
p i s precum i la riplurile permise n cele dou benzi: p, respectiv s.
Totui, pe baza rulrii a numeroase exemple, au fost stabilite cteva
formule empirice pentru stabilirea ordinului filtrului optimal n funcie de
performanele dorite.
Estimarea lungimii unui FTJ (Hermann [17])
D ( p , s )
M =
f ( p , s )f + 1
(2.205)
f
unde f este limea benzii de tranziie, normalizat cu frecvena de
eantionare.
D ( p , s ) = log10 s [a1 (log10 p ) 2 + a 2 log10 p + a3 ] +

+ a 4 (log10 p ) 2 + a5 log10 p + a 6

f ( p , s ) = 11,01217 + 0,51244[log10 p log10 s ]

a1 = 5,309 10 3 ; a 2 = 7,114 10 2 ; a 3 = 4,761 10 1 ;


a 4 = 2,66 10 1 ; a 5 = 5,941 10 1 ; a 6 = 4,278 10 1
p este riplul sau deviaia n banda de trecere i s este riplul sau
deviaia n banda de oprire.
Estimarea lungimii unui FTB (Mintzer i Liu [43])
C ( p , s )
M =
+ g ( p , s )f + 1
f
120

(2.206)

unde
C ( p , s ) = log10 s [b1 (log10 p ) 2 + b2 log10 p + b3 ] +
+ [b4 (log10 p ) 2 + b5 log10 p + b6 ]
p
g ( p , s ) = 14,6 log10 16,9
s
b1 = 0,01201; b2 = 0,09664; b3 = 0,51325;
b4 = 0,00203; b5 = 0,5705; b6 = 0,44314
i f este limea benzii de tranziie, normalizat cu frecvena de
eantionare.

2.7.2. Proiectarea difereniatoarelor FIR prin metoda


Remez
Difereniatoarele sunt folosite n multe sisteme analogice i
digitale pentru a obine derivata unui semnal. Un difereniator ideal are
rspunsul n frecven proporional cu frecvena. Rspunsul n frecven
al unui difereniator digital ideal este
H d ( ) = j ,
(11.207)
Rspunsul la impuls corespunztor lui H d ( ) este
1
cos n
1
hd [ n ] =
H d ( )e jn d =
je jn d =

2
n ; (11.208)
2
< n < , n 0
Se observ c difereniatorul ideal are rspunsul la impuls
antisimetric, adic hd [n] = hd [ n] i, deci, hd [0] = 0 . Ca urmare, se vor
considera filtrele FIR de faz liniar de tipul 3 i 4 prezentate anterior,
pentru care h[n]=-h[M-1-n]. Ambele tipuri de filtre satisfac condiia
H R (0) = 0 , pe care trebuie s o ndeplineasc difereniatorul.
Este imposibil de obinut un difereniator pentru toat banda cu un
filtru FIR cu numr impar de coeficieni, deoarece H R ( ) = 0 . n practic
aceste difereniatoare de band larg sunt foarte rar folosite. n cele mai
multe cazuri, caracteristica rspunsului dorit n frecven trebuie s fie
liniar numai ntr-un domeniu limitat de frecvene, 0 2f p , unde f p
este limea de band a difereniatorului. n domeniul 2f p ,
rspunsul dorit poate fi neindicat sau se impune s fie zero.
121

n proiectarea difereniatorului FIR pe baza criteriului de


aproximare Cebev, funcia de ponderare W() este specificat ca fiind
1
W ( ) =
0 2f p
(11.209)

pentru ca riplul relativ n B.T. s fie constant. Oricum, funcia de


ponderare W() asigur faptul c eroarea relativ
H ( )
(11.210)
= max {W ( )[ H R ( )]} = max 1 R
0 2f p
0 2f p

este fix n B.T. a difereniatorului.


Parametrii importani ai unui difereniator sunt: lungimea M,
limea de band f p , mrimea relativ a erorii de aproximare. Relaiile
dintre aceti parametri pot fi reprezentate parametric. n particular,
valoarea 20 log10 funcie de f p cu M parametru este artat n figura
2.22a pentru M par i n figura 2.22b pentru M impar. Aceste rezultate,
datorate lui Rabiner i Schafer [53] sunt utile n alegerea lungimii
filtrului.

Figura 2.22 Valoarea 20 log10 pentru parametrul a) M par i b) M impar

Comparnd cele dou figuri, rezult c difereniatorul pentru M


par prezint o eroare de aproximare semnificativ mai mic dect cea
obinut cu difereniatorul cu M impar. Dac f p >0,45, nu pot fi folosite
difereniatoarele cu M impar pentru c rspunsul n frecven la
122

= , ( f = 1 / 2) este zero. Cnd f p <0,45, se pot folosi i acestea, dar cu


performane mai slabe dect difereniatoarele cu M par, din punctul de
vedere al erorii de aproximare. n concluzie, n practic se prefer
difereniatoare cu lungime par. n acest caz ns, ntrzierea introdus de
un filtru FIR cu faz liniar este de (M-1)/2, care nu este un ntreg pentru
M par. n multe aplicaii practice acest lucru nu este important, dar acolo
unde este, se folosete M impar. Se poate proiecta un difereniator cu faz
liniar i rspuns finit la impuls i folosind metoda eantionrii n
frecven.

2.7.3. Proiectarea transformatorului Hilbert (T.H.)


Un transformator Hilbert ideal este un filtru trece tot care
introduce o deplasare cu 90 0 a fazei componentelor spectrale ale
semnalului de la intrare. Rspunsul n frecven al unui T.H. ideal este
j , 0 <
(2.211)
H d ( ) =
j, < < 0
Transformatorul Hilbert este frecvent folosit n sisteme de
comunicaii i procesarea de semnal, ca de exemplu n generarea
semnalelor modulate cu band lateral unic, procesarea semnalului radar,
procesarea semnalului vocal. Rspunsul la impuls al unui T.H. ideal este
dat de relaia
0

1
1
j n
j n
j n

(
)
(
hd [ n ] =
H
e
d
je
d
=

d
0 je d ) =
2
2
(2.212)
2 sin 2 (n / 2)

,
n
0

=
n
0,
n=0
Aa cum era de ateptat, hd [n] este infinit n durat i necauzal. Se
observ c hd [n] este antisimetric, situaie n care partea real a
rspunsului n frecven este H R ( ) = 0 la =0 , att pentru M impar, ct
i pentru M par i la = , pentru M impar.
Din cele prezentate rezult c este imposibil a se proiecta un T.H.
digital trece tot. Din fericire, n aplicaiile practice de procesare de
semnal, nu este necesar un T.H. trece tot. Limea de band trebuie s
acopere numai banda semnalului ce trebuie defazat. n consecin,
rspunsul de faz zero dorit este
123

H dR ( ) = 1 2f l 2f u
(2.213)
unde f l i f u sunt, respectiv, frecvenele de tiere inferioar i
superioar. Rspunsul la impuls hd [n] al T.H. ideal, conform relaiei
(2.212), este zero pentru n par. n proiectare, aceast proprietate se
folosete mpreun cu condiiile de simetrie. Fie, n particular, filtru FIR
de tipul 3, pentru care
H R ( ) =

( M 1) / 2

c[n] sin(n)

(2.214)

n =1

i se presupune c f l =0,5- f u . Aceasta asigur o B.T. simetric fa de


frecvena de mijlocul domeniului de frecven f=0,25. Dac exist aceast
simetrie n rspunsul n frecven, H R ( ) = H R ( ) i relaia (2.214)
devine
( M 1) / 2

c[n] sin(n) =

n =1

( M 1) / 2

c[n] sin n( ) =
n =1

( M 1) / 2

c[n] sin n cos n =

n =1

( M 1) / 2

c[n](1)

(2.215a)
n +1

sin n

n =1

sau, echivalent
( M 1) / 2

[1 (1)

n +1

]c[n] sin n = 0

(2.215b)

n =1

adic c[n] trebuie s fie egal cu zero pentru n = 0, 2, 4... Relaia ntre
c[n] i rspunsul la impuls h[n] este
M 1
(2.216a)
c[n] = 2h
n
2

sau, echivalent
M 1 1
n = c[n]
h
(2.216b)
2
2
Dac c[n] este egal cu zero pentru n = 0, 2, 4 atunci (2.216b) devine
0, n = 0, 2, 4, ... pentru ( M 1) / 2 par
(2.217)
h[n] =
0, n = 1, 3, 5, ... pentru ( M 1) / 2 impar
Din nefericire, relaia (2.217) este valabil numai pentru M impar,
nu i pentru M par. Aceasta nseamn c pentru valori comparabile pentru
M, este preferabil cazul cu M impar, deoarece complexitatea calculului
este aproximativ pe jumtate fa de M par. Cnd proiectarea T.H. este
124

realizat pe baza criteriului de aproximare Cebev, folosind algoritmul


Remez, se selecteaz coeficienii filtrului pentru a minimiza eroarea
maxim de aproximare.
= max [ H dR () H R ()] = max [1 H R ()] ,
(2.218)
2 f l 2 f u

2 f l 2 f u

adic funcia de ponderare s-a luat egal cu unitatea i optimizarea s-a


realizat pe o singur band de frecven, (banda de trecere a filtrului).
Rabiner i Schafer [53] au studiat caracteristicile T.H. pentru M par i M
impar. Dac proiectarea filtrului este limitat la un rspuns n frecven
simetric, exist trei parametri de interes , M , , f l . n figura 2.23 s-a
reprezentat 20 log10 funcie de f l i M-parametru.
Se observ c pentru valori comparabile ale lui M, nu exist
avantaje ale performanelor obinute pentru M par sau impar, dar
complexitatea calculelor n implementarea filtrului cu M impar este de
aproximativ dou ori mai sczut dect pentru M par. Aadar, M impar
este preferabil n practic.
Exist o relaie aproximativ de estimare a unuia din cei trei
parametri ai filtrului, cnd sunt specificai ceilali [48]
Mf l = 0,61 log10

(2.219)

Figura 2. 23 Valoarea 20 log 10 pentru diveri parametri M reprezentat n funcie de


limea benzii de tranziie
125

T.H. poate fi, de asemenea, proiectat folosind metoda ferestrelor


sau a eantionrii n frecven. Diferenele ce apar ntre coeficienii
obinui sunt mici, dar metoda optimal d rezultatele cele mai bune n
proiectare.

126

CAPITOLUL 3

PROIECTAREA FILTRELOR DIGITALE


CU RSPUNS INFINIT LA IMPULS
Filtrele digitale cu rspuns infinit la impuls (RII), ce vor fi denumite
n continuare filtre IIR (Infinite Impulse Response), constituie blocuri
importante n multe sisteme de prelucrare numeric a semnalelor. Ele sunt
recomandate n situaiile n care trebuie realizate benzi de tranziie foarte
nguste, precum i atunci cnd sunt necesare atenuri foarte mari n banda
de oprire. Deoarece prezint reacie, filtrele IIR necesit mai puine celule
de ntrziere, preul pltit fiind neliniaritatea fazei i eventuale probleme
de stabilitate.

3.1. Introducere
Un filtru IIR poate fi caracterizat n domeniul timp prin ecuaia cu
diferene
N

k =1

k =0

y[n] = a k y[n k ] + bk x[n k ]

(3.1)

Aplicnd transformat Z ecuaiei (3.1), rezult


N

k =1

k =0

Y ( z ) = a k z k Y ( z ) + bk z k X ( z )

(3.2)

Funcia de transfer a filtrului este


M

H ( z) =

b z
k =0
N

1 + ak z
k =1

=
k

B( z )
A( z )

(3.3)

Impunnd n relaia (3.1) intrarea x[n] = [n] , se obine rspunsul


la impuls al filtrului IIR cauzal
127

b
a k h[n k ], n [0, M ]

k =1

N
h[n] = a k h[n k ], n > M
(3.4)
k =1
0, n < 0

n continuare filtrele vor fi considerate stabile, adic rspunsul lor


la impus este absolut sumabil [63]

h[n] <

(3.5)

n =0

condiie care, n planul Z conduce la necesitatea ca cercul unitate s fie


inclus n domeniul de convergen. Dac se impune i condiia de
cauzalitate pentru filtru, care, n domeniul Z conduce la necesitatea ca
regiunea de convergen s fie exteriorul unui cerc, rezult c toi polii
filtrului cauzal i stabil se situeaz n interiorul cercului unitate.
Rspunsul la impuls al filtrului poate fi calculat i ca transformata Z
invers a funciei de sistem [63]
1
h[n] = Z 1{H ( z )} =
H ( z ) z n 1 dz
(3.6)

2j C
unde C este un contur nchis n planul complex, parcurs n sens orar, care
conine originea.
Prin evaluarea funciei de transfer H (z ) pe cercul unitate, se obine
rspunsul n frecven al filtrului
M

H ( ) =

b e
k =0
N

jk

1 + ak e

= H ( ) e j ( )

(3.7)

jk

k =1

Rspunsul de modul al filtrului este


H ( ) =

B ( )

, a0 = 1
(3.8)
A( )
Aa cum a fost prezentat n Capitolul 1, polii funciei de transfer vor
determina maxime ale rspunsului n frecven, cu att mai pronunate, cu
ct se afl mai aproape de cercul unitate, iar zerourile vor determina
minime, eventual anulri ale rspunsului n frecven, dac se afl pe
128

cercul unitate. Ca urmare, filtrele IIR permit realizarea unor maxime


ascuite, benzi de trecere foarte nguste i, la fel, benzi de tranziie foarte
nguste. Asemenea performane s-ar putea realiza i cu filtre FIR, dar cu
preul unor lungimi foarte mari.
Un dezavantaj al filtrelor IIR l constituie faptul c aceste filtre nu
pot avea faza perfect liniar. Caracteristica de faz a filtrului poate fi
scris ca
( ) = B ( ) A ( )
(3.9)
unde A ( ) i B ( ) reprezint argumentele funciilor A( ) i B( ) .
n capitolul precedent s-a artat c funcia de sistem a unui filtru
de faz liniar prezint o form de simetrie a zerourilor sale, n sensul c
aceasta are zerourile n perechi reciproce, z i i z i1 . Dac zerourile
numrtorului funciei de transfer prezint o astfel de simetrie, faza
acestuia este perfect liniar. Pentru ca filtrul s aib faza liniar, ar trebui
ca i faza numitorului s fie liniar, dar acest lucru nu este posibil,
deoarece prezena rdcinilor reciproce la numitor nu mai asigur
stabilitatea filtrului cauzal.
Metodele de proiectare ale filtrelor IIR sunt de dou feluri:
- Metode indirecte, n care un filtru analogic prototip este
transformat n echivalentul lui numeric;
- Metode directe, n care coeficienii filtrului se determin pe baza
minimizrii unui criteriu de eroare.
n Capitolul 1 s-a artat necesitatea ndeplinirii condiiei MN,
unde M este gradul numrtorului, iar N, gradul numitorului. Dac M>N,
filtrul poate fi considerat ca fiind format prin conectarea n cascad a unui
filtru IIR de ordin N cu un filtru FIR de ordin M N. Toate tehnicile de
proiectare a filtrelor IIR pornesc de la premiza MN, ordinul filtrului
fiind, deci, egal cu numrul de poli ai funciei de sistem H(z).

3.2. Proiectarea indirect a filtrelor IIR


3.2.1. Specificarea performanelor
Procedura cel mai frecvent utilizat pentru proiectarea unui filtru
digital IIR const n transformarea unui filtru analogic ntr-unul digital, cu
performane echivalente. Aceast abordare prezint avantajul utilizrii
cunotinelor i metodelor folosite n proiectarea filtrelor analogice.
129

Metoda indirect se bazeaz pe existena unor transformri care


conserv proprietile selective ale modelului analogic, transformnd un
filtru optimal analogic ntr-altul optimal digital. Pornind de la
specificaiile referitoare la performanele filtrului digital, proiectarea
acestuia necesit parcurgerea urmtoarelor etape:
1. Transformarea specificaiilor dorite a fi realizate de ctre filtrul
digital n specificaiile impuse filtrului analogic prototip;
2. Obinerea funciei de transfer a filtrului analogic prototip astfel
nct s fie satisfcute specificaiile impuse filtrului digital;
3. Transformarea funciei de transfer a filtrului analogic n funcia
de transfer echivalent a filtrului digital.
La proiectarea filtrelor digitale de tip FIR sau IIR specificarea
performanelor se refer la abaterile maxime ale caracteristicii de modul
n benzile de trecere (B.T.) i oprire (B.O.), precum i frecvenele limit
ale acestor benzi. La proiectarea clasic a filtrelor analogice, unii dintre
aceti parametri de performan sunt definii puin diferit. Astfel, n mod
uzual, la filtrele analogice, prin convenie, se consider c n zona de
trecere efectiv caracteristica de modul variaz ntre valoarea maxim 1 i
valoarea minim 1 p, n timp ce n zona de oprire, variaz ntre 0 i s,
ca n figura 3.1a.

Figura 3.1. Specificarea performanelor pe caracteristica de modul a funciei de transfer a


filtrului trece jos (a) analogic i (b) digital

Pentru filtrele digitale FIR sau IIR se noteaz cu p, respectiv s ,


abaterile caracteristicii de modul a funciei de transfer de la valorile sale
nominale (1 n B.T., 0 n B.O.) astfel c acest rspuns n frecven
oscileaz ntre 1+p i 1p, n B.T. respectiv ntre 0 i s n B.O., ca n
figura 3.1b.
Frecvenele menionate n figura 3.1a au urmtoarele semnificaii: p,
s, c reprezint, n ordine, frecvena de trecere efectiv, frecvena de
130

oprire efectiv i frecvena de tiere pentru filtrul analogic, exprimate n


radiani/s. Frecvenele unghiulare p, s, c din figura 3.1.b au aceleai
semnificaii pentru filtrul digital (doar c sunt normate n raport cu
frecvena de eantionare Fs ). Relaia ntre frecvene din domeniul
analogic i digital este dat de [63]
1
F
= T ; f = ; T =
(3.10)
Fs
Fs
ceea ce implic
F F
F s , s f [ 0,5;0,5] [ , ]
(3.11)
2 2
Parametrii din figura 3.1a pot fi exprimai n funcie de cei din
figura 3.1b, i invers. Relaia dintre frecvenele analogice, , i discrete,
, depinde de corespondena dintre planele s i Z, pe cnd convertirea
valorilor de pe ordonat presupune normarea celor din fig.3.1b cu 1+p, i
apoi identificarea cu cele din figura 3.1a (sau multiplicarea cu 1+p, a
celor din figura 3.1a). Rezult astfel
2 p
s
p =
; s =
(3.12)
1+ p
1+ p
respectiv
p
2 s
p =
; s =
(3.13)
2p
2p
De obicei, aceste performane sunt date n dB, sub forma variaiei
maxime a atenurii n banda de trecere efectiv i a atenurii minime n
banda de oprire efectiv,
1+ p
= 20 lg(1 p )
A p = 20 lg
1 p
(3.14)
2 s
As = 20 lg s = 20 lg
20 lg s
2p
Prezentarea pe scurt a filtrelor trece jos analogice de tip
Butterworth, Bessel, Cebev i eliptice este dat n Anexa 3a.
Funcia de transfer de ordinul N a unui filtru analogic este [12]

131

Ha

s
B(s )
(s ) =
=
A(s )
s
i =0
N

i =0

; N >M

(3.15)

n care i , i sunt coeficienii funciei de transfer. Aceasta este legat de


rspunsul la impuls prin transformata Laplace
H a (s ) =

h(t )e

st

dt

(3.16)

Filtrul analogic poate fi descris i de ecuaia diferenial cu


coeficieni constani
N
d k y (t ) M
d k x(t )

(3.17)

i
i
dt k
dt k
i =0
i =0
Rspunsul n frecven, Ha(j), se obine prin evaluarea funciei de
transfer pe axa imaginar a planului s.
Proiectarea unui filtru analogic const n determinarea
coeficienilor i , i care conduc la minimizarea unui criteriu de eroare
dintre funcia dorit i cea realizat sau, altfel spus, ntre funcia de
2
aproximat i funcia dorit. De obicei, se lucreaz cu H a ( j ) (i nu cu
H a ( j ) ) pentru c prima are coeficieni reali.

(
(

B(s ) B( s )
E s2
2
H a (s )H a ( s ) =
= H a ( j )
=
A(s ) A( s )
2 = s 2 G s 2

)
)

(3.18)

Din (3.18) se observ c singularitile lui H a ( s ) H a ( s ) n planul


s sunt simetrice fa de axa j .
Funcia de transfer a filtrului analogic, Ha(s), se determin astfel:
-polii lui Ha(s) sunt zerourile lui G(-s2), localizate n semiplanul
stng, restricie impus de stabilitatea filtrului;
-zerourile lui Ha(s) se obin din zerourile lui E(-s2) prin distribuirea
acestora din urm n mod egal ntre B(s) i B(-s), fr a separa perechile
de zerouri complex conjugate, pentru a obine Ha(s) cu coeficieni reali.
Determinarea lui B(s) nu este unic. Dac pentru zerourile lui B(s) se
aplic aceeai regul de alocare ca i n cazul polilor, funcia de transfer
obinut este de faz minim. Spre deosebire de poli, zerourile pot fi
localizate i pe axa j.
132

Fiecare din aceste trei caracterizri echivalente ale unui filtru


analogic conduce la metode diferite de conversie a filtrului analogic n
unul digital. Pentru ca tehnicile de conversie s fie eficiente, acestea
trebuie s ndeplineasc urmtoarele cerine:
1. Axa j a planului s s fie transformat n conturul cercului
unitate n planul Z, ceea ce va determina o relaie direct ntre
frecvenele variabile n cele dou domenii.
2. Semiplanul stng al planului s s fie transformat n interiorul
cercului unitate al planul Z, astfel nct filtrelor analogice stabile
s le corespund filtre digitale stabile.

3.2.2. Metoda transformrii ecuaiei difereniale


Una din cele mai simple ci de transformare a unui filtru analogic
n unul digital const n nlocuirea diferenialelor din expresia (3.17) prin
diferene finite [28], obinnd astfel ecuaia cu diferene finite care
aproximeaz ecuaia diferenial dat.
N

k =1

k =0

y[n] + k ( k ) {y[n] } = k ( k ) {x[n] }

(3.19)

unde x[n] i y[n] reprezint secvenele de intrare i ieire ale filtrului


digital, iar ( k ) {x[n] } , ( k ) {y[n] } reprezint diferenele de ordinul k [63]
ale acestora, obinute prin substituiile urmtoare:
d ( k ) y a (t )
y a (t ) t = nT y[n] ,
( k ) {y[n] }
(3.20)
k
t = nT
dt
unde y a (t ) este rspunsul filtrului analogic, T este perioada de

d ( k ) y a (t )
este derivata de ordinul (k) a rspunsului
dt k
filtrului analogic n raport cu timpul.
Diferenele napoi de ordinul 1, respectiv k, sunt date de relaiile:
y[n ] y[n 1]
(1) {y[n] } =
T
(3.21)
( k 1) {y[n] } ( k 1) {y[n 1] }
(k )
(1)
( k 1)
{y[n] } = { {y[n] }} =
, k = 2, N
T
Aplicnd transformata Z relaiilor (3.21), se poate scrie

eantionare, iar

133

Z (1) {y[n] } =

1 z 1
Y (z )
T

(3.22)
k
1 z 1
1 z 1
( k 1)
Y ( z )
Z {y[n] } =
Z {y[n] } =
T
T
Aplicnd transformata Z ambilor membri ai ecuaiei cu diferene
(3.19) i utiliznd transformatele Z ale diferenelor date de (3.22), se
obine funcia de transfer a filtrului digital de forma

(k )

1 z 1

T
i =0

H (z ) =
i
N
1 z 1

1 + i
i =1
T
M

(3.23)

Expresia (3.23) arat c obinerea lui H (z ) din H a (s ) se face prin


substituia
1 z 1
(3.24)
s=
T
dy a (t )
are funcia de transfer
Difereniatorul analogic cu ieirea
dt
y[n] y[n 1]
H ( s ) = s , n timp ce sistemul digital care produce ieirea
T
1
1 z
are funcia de sistem H ( z ) =
. Corespondena dintre cele dou
T
domenii, s i Z, este dat de relaia (3.24).

Diferenele ( k ) {y[n] } evaluate cu relaiile (3.21) poart


denumirea de diferene napoi. Dac, n schimb, diferenele ( k ) {y[n] } se
evalueaz cu relaiile
y[n + 1] y[n]
(1) {y[n] } =
T
( k 1)
( k 1)
{y[n + 1] } {y[n] }
i ( k ) {y[n] } =
, pentru k = 2, N , (3.25)
T
acestea poart denumirea de diferene nainte.
134

Repetnd procedura de trecere de la ecuaiile cu diferene finite la


funcia H ( z ) utiliznd diferenele nainte, rezult c aceasta se obine din
H a (s ) prin substituia
z 1
s=
(3.26)
T
Pentru a examina modul n care se transform planul Z n planul s,
i invers, prin transformrile (3.24) i (3.26) i dac sunt satisfcute
cerinele 1 i 2, se nlocuiete n prima relaie s = + j . Pentru = 0
se obine transformarea axei { j}

1
1
1
T
= Re( z ) + j Im( z )
=
=
+ j
2 2
1 sT = 0 1 jT 1 + T
1 + 2T 2
(3.27)
Eliminnd T din Re(z) i Im(z) se obine relaia
Re 2 ( z ) Re( z ) + Im 2 ( z ) = 0 (Re( z ) 12 ) 2 + Im 2 ( z ) = ( 12 ) 2 (3.28)
sau, echivalent
1 1
z =
(3.29)
2 2
Astfel, axa { j} a planului s se transform n cercul cu centrul n
C(1/2,0), de raz 1/2, descris de (3.29) i reprezentat n figura 3.2.
z=

Figura 3.2. Transformarea planului s (a) n planul Z prin utilizarea diferenei napoi (b),
respectiv diferenei nainte (c) n aproximarea ecuaiei difereniale

Pentru a stabili corespondena dintre planul s i planul Z, se


nlocuiete s = + j n ecuaia cercului (3.29), rezultnd
135

1 1 1 + sT 1
=
=
2 2 1 sT
2

(1 + T )2 + (T )2 ;
(1 T )2 + (T )2

(3.30)

1 1
<
2 2
Relaiile (3.30) evideniaz faptul c semiplanul stng al planului s
trece n interiorul cercului de raz 1/2 iar semiplanul drept n exteriorul
acestui cerc. Cum acest cerc este localizat n interiorul cercului unitate,
rezult c transformarea (3.24) conserv condiia de stabilitate a filtrului
analogic. Transformarea prezentat nu asigur ndeplinirea cerinei de
transformare a axei imaginare a planului s n conturul cercului unitate,
ns pentru valori foarte mici ale lui T, o zon a axei { j} (pentru care
T este foarte mic) va fi transformat n arcul de cerc situat n jurul lui
Dac < 0 z

z=1, care corespunde, n domeniul frecvenelor discrete, lui = T = 0 .


Astfel, rspunsul n frecven al filtrului analogic se conserv la frecvene
joase, pe o zon de frecven cu att mai ntins cu ct perioada de
eantionare este mai mic. Ca atare, transformarea d rezultate relativ
bune la discretizarea unui filtru analogic trece jos i nesatisfctoare
pentru alte tipuri de filtre.
Pentru transformarea (3.26) se poate scrie
2
2
2
z = 1 + sT ; Re( z ) = 1 + T ; Im( z ) = T ; z = (1 + T ) + (T ) , (3.31)

Aceste relaii evideniaz faptul c axa { j} se transform n


dreapta Re( z ) = 1 ce separ semiplanele Re( z ) < 1 i Re( z ) > 1 care
reprezint imaginile semiplanelor stng ( < 0 ) , respectiv drept ( > 0)
ale planului s, ca n figura 3.2c. Din (3.31) se observ c este posibil ca
filtre analogice stabile, cu polii s k = k + j k cu k < 0 , s nu se
transforme n filtre digitale stabile, dac
(1 + k T )2 + ( k T )2 > 1
(3.32)
Prin urmare, transformarea (3.26) nu satisface cerinele 1 i 2,
nefiind o metod general bun pentru convertirea unui filtru analogic n
unul digital. Ca i n cazul transformrii (3.24), caracteristicile de
selectivitate sunt conservate doar la T foarte mic (poriunea din dreapta
Re( z ) = 1 din vecintatea punctului de tangen cu cercul unitate).
136

3.2.3. Metoda invarianei rspunsului la impuls


n aceast metod se urmrete a se proiecta un filtru digital IIR al
crui rspuns la impuls h[n] , este versiunea eantionat, cu perioada T, a
rspunsului la impuls al filtrului analogic, ha (t ) .
(3.33)
h[n] = ha (nT )
Se reamintete [63] c dac un semnal continuu x a (t ) cu spectrul
X a (F ) este eantionat periodic cu frecvena Fs = 1 / T eantioane pe
secund, spectrul semnalului eantionat este repetarea periodic a
spectrului scalat Fs X a (F ) cu perioada Fs , adic
X ( f ) = Fs

X [( f k )F ]

n =

(3.34)

unde f = F / Fs este frecvena normalizat. Eroarea alias apare dac


frecvena de eantionare Fs este mai mic dect dublul celei mai mari
frecvene, FB , din spectrul X a ( F ) .

Figura 3.3 Comparaie ntre rspunsul la impuls al a) filtrul analogic i b) filtrul digital
echivalent

Particulariznd (3.34) pentru eantionarea rspunsului la impuls al


filtrului analogic cu rspunsul n frecven H a (F ) , filtrul digital care
ndeplinete relaia (3.33) are rspunsul n frecven

H ( f ) = Fs

H [( f k )F ]

k =

sau, echivalent
137

(3.35)

H ( ) = Fs
cu = T , sau

H [( 2k )F ]

k =

(3.36)

2
1
2k
(3.37)
H a
cu =

T
T
T k =

n figura 3.4 se arat schematic rspunsul n frecven al unui


filtru analogic trece jos i rspunsul filtrului digital corespunztor. Se
observ c rspunsul filtrului digital se apropie de cel al filtrului analogic,
dac perioada de eantionare T este suficient de mic pentru a evita sau
minimiza eroarea alias. Se observ, de asemenea, c metoda invarianei
rspunsului la impus nu este potrivit pentru proiectarea de filtre trece
sus, din cauza erorii alias ce apare n spectru n urma eantionrii.
H (T ) =

Figura 3.4 Rspunsul n frecven H a ( ) al filtrului analogic i cel al filtrului digital


corespunztor cu eroare alias

Observaie. Dac H a ( ) este de band limitat i satisface condiia


H a ( ) = 0

pentru

(3.38 )

atunci, prin alegerea unei frecvene de eantionare Fs 2 FB , n relaia


(3.37) nu exist suprapuneri ale repetrilor adiacente i, ca atare, se poate
scrie

TH ( ) = H a j pentru ,
(3.39)
T
138

adic, nesuprapunerea repetrilor periodice (garantat de condiia (3.38))


asigur c filtrul digital obinut prin metoda invariaiei rspunsului la
impuls va avea exact acelai rspuns n frecven ca i filtrul analogic
prototip. n realitate funciile de transfer ale filtrelor analogice nu satisfac
dect cu aproximaie condiia (3.38), replici ale spectrului din domeniul
fundamental existnd pe toat axa frecvenelor, ceea ce conduce la
fenomenul alias, astfel c relaia (3.39) va fi adevrat cu o anumit
aproximaie.
Unul din dezavantajele majore ale acestei metode de discretizare a
rspunsului la impuls al filtrului analogic prototip const n faptul c ea se
aplic numai pentru filtrele al cror rspuns la impuls satisface (chiar cu o
anumit aproximaie) condiia de semnal de band limitat (3.38), putnd
fi aplicat la proiectarea filtrelor trece jos i trece band, nu i la
proiectarea celor trece sus, oprete band i trece tot.
n proiectarea filtrelor digitale prin metoda invarianei rspunsului
la impuls cerinele filtrului discret sunt transformate n specificaii ale
filtrului analogic din relaia (3.39), n ipoteza unei erori alias neglijabile,
prin aplicarea relaiei
= /T
(3.40)
Dup obinerea unui filtru analogic potrivit specificaiilor, acesta
este transformat n unul digital cu funcia de sistem H(z), dup o
procedur care necesit parcurgerea urmtoarelor etape:
1. Se descompune H a (s ) n fracii simple
N
c
H a (s ) = k
(3.41)
k =1 s p k
2. Se determin ha (t ) ca transformata Laplace invers a lui H a (s )
N
1 N
p t
ha (t ) = c k L1
(3.42)
= c k e k (t )
s

p
k =1
k =1
k

(t ) fiind semnalul treapt unitate.


3. Se determin funcia pondere a filtrului digital prin eantionarea
periodic a lui ha (t )
N

h[n] = ha (nT ) = c k e pk nT u[n] cu u[n] = (nT )


k =1

(3.43)

4. Se calculeaz funcia de transfer H ( z ) ca transformata Z a


secvenei h[n] .
139

H ( z ) = h[n]z n = c k e pk nT z n =
n =0
n = 0 k =1

(3.44)
N
N

ck
pk T 1 n
.
= ck e z
=
pk T 1
z
k =1
n=0
k =1 1 e
Efectund calculele, se obin coeficienii {a k } , {bk } ai filtrului

digital. Comparnd (3.41) cu (3.44) se poate spune c H ( z ) se obine din


H a (s ) exprimat ca sum de fracii elementare prin substituia
ck
ck

(3.45)
s pk
1 e pk T z 1
Pentru H a (s ) cu coeficieni reali, polii compleci apar n perechi
conjugate: s k1, 2 = k j k . Comoditatea lucrului cu valori reale
implic descompunerea n fracii elementare de ordinul 2 (prin
combinarea fraciilor de ordinul 1 care conin poli conjugai) i apoi
substituirea acestora n H ( z ) .
ntre transformata Z a secvenei h[n] i transformata Laplace a
semnalului eantionat exist relaia
H (z ) =

n =

n =

h[n ]z n = ha (nT )e snT

(3.46)
= L {h a (nT )} sT
e sT = z
e = z.
Prin urmare, corespondena ntre planele s i Z n cazul metodei
invarianei rspunsului la impuls este realizat de transformarea
z = e sT = eT e jT
(3.47)

Figura 3.5. Transformarea planului s n planul Z prin metoda invariaiei rspunsului la


impuls.
140

Particularitile acestei transformri, evideniate n figura 3.5, sunt


urmtoarele:
1. Unui punct z1 = r1e j1 din planul Z i corespunde n planul s o
1
2k

ln r1 + j 1 +
, k Z , situate pe paralela
T
T
T
la ordonat = (1 T ) ln r1 . Aceasta nseamn c fiecare fie a planului s,
de lime 2 / T , acoper n ntregime planul Z.
2. Partea din semiplanul stng a fiecrei fii se transform n
interiorul cercului unitate din planul Z, iar cea din semiplanul drept n
exteriorul acestuia.
3. Fiecare interval de pe axa { j} de forma
infinitate de puncte s k =

(2k 1)

< (2k + 1) , k Z
(3.48)
T
T
se transform n conturul cercului unitate z = e j cu [ , ) .
Comparnd ecuaia (3.41) cu (3.44), se observ c polul s = pk din
planul s se transform n polul e pk T din planul Z, iar coeficienii
dezvoltrii n fracii simple ai lui Ha(s) i H(z) sunt egali. Dac filtrul
analogic este stabil, adic Re{pk} < 0 atunci e pk T < 1, deci polul
corespunztor din filtrul numeric este n interiorul cercului unitate i
filtrul numeric va fi stabil.
n timp ce polii din planul s se transform n ali poli n planul Z,
conform relaiei z k = e pk T , este important de subliniat c procedeul
invarianei rspunsului la impuls nu corespunde unei simple transformri
a planului s n planul Z. n particular, zerourile funciei de transfer a
filtrului digital sunt funcie de polii i coeficienii ck ai dezvoltrii n
fracii simple i, n general, ele nu se transform n acelai mod ca polii.
Metoda invarianei rspunsului la impuls d rezultate pentru filtrele T.J. i
T.B. de tip Butterworth, Bessel i Cebev, prezentnd avantajul c
menine caracteristica de atenuare i faz ale filtrului analogic.
Observaie. Uneori, pentru a transforma filtrul analogic ce
urmeaz a fi proiectat ntr-un sistem de band limitat prin reducerea
erorii alias, se utilizeaz un filtru de gard n cascad cu cel dorit (acesta
fiind un F.T.J. cu atenuare foarte mare n zona de oprire i constant n
zona de trecere).
141

Metoda invariaiei rspunsului la impuls ndeplinete cerinele 1 i 2, n


msura n care eroarea alias poate fi neglijat.

Exemplul 3.1.
S se transforme un filtru analogic cu funcia de transfer
s + 0,1
H a ( s) =
( s + 0,1) 2 + 9
ntr-unul digital IIR, prin metoda invarianei rspunsului la impuls.
Soluie. Filtrul are un zerou la s=-0,1 i o pereche de poli complex
conjugai p1, 2 = 0,1 j 3 , poziionai ca n figura 3.6.

Pentru proiectarea filtrului IIR nu trebuie determinat rspunsul la


impuls ha (t ) , ci se determin direct H(z) din descompunerea n fracii
simple a lui H a (s ) .

Figura 3.6. Localizarea polilor i a zeroului

H (s) =
H ( z) =

1
2

s + 0,1 j 3

1
2
0 ,1T

1
2

s + 0,1 + j 3
+

1
2
0 ,1T j 3T

1 e
e j 3T z 1 1 e
e
z 1
Cei doi poli complex conjugai pot fi combinai pentru a forma un
filtru cu doi poli, cu funcia de sistem
1 (e 0,1T cos 3T ) z 1
H ( z) =
1 (2e 0,1T cos 3T ) z 1 + e 0, 2T z 1
Modulul caracteristicii de frecven a acestui filtru este dat n
figura 3.7a pentru T=0,1 i T=0,5. Pentru comparaie, n figura 3.7b se
prezint rspunsul n frecven al filtrului analogic.

142

Figura 3.7 Rspunsul n frecven pentru a) filtrul digital b) filtrul analogic din
exemplul 3.1

Se observ c eroarea alias este mai semnificativ la T=0,5 dect


la T=0,1. De asemenea, se observ deplasarea frecvenei de rezonan cu
schimbarea lui T i micorarea erorii alias pentru valori mici ale lui T.
Concluzii cu privire la metoda invarianei rspunsului la impuls
1. Rspunsul la impuls al filtrului numeric h[n] este identic cu cel
al fitrului analogic, h(t), la momentele discrete t = nT, n = 0,1,..., conform
figurii 3.3.
2. Eantionarea n timp afecteaz rspunsul n frecven al filtrului
numeric obinut prin aceast metod.
3. Spectrul filtrului numeric, caracterizat de funcia de sistem H(z),
va fi acelai cu al filtrului analogic original, caracterizat de funcia de
transfer H(s), dar acesta se repet la multipli ai frecvenei de eantionare.
Oricum, dac se iau suficiente eantioane din rspunsul la impuls al
filtrului original analogic i dac acesta este de band limitat nainte de
aplicarea metodei invarianei rspunsului la impuls, eroarea de tip alias va
fi mic. Metoda poate fi folosit pentru F.T.J. cu tiere foarte abrupt, cu
alias mic, dac frecvena de eantionare este suficient de mare, dar nu este
potrivit pentru F.T.S. sau F.T.B., fr folosirea filtrului de gard (anti
alias).

3.2.4. Metoda transformrii biliniare


Metoda transformrii biliniare este n prezent unul dintre cele mai
eficiente procedee de proiectare a filtrelor IIR n raport cu urmtoarele
dou criterii: rspunsul n frecven s aproximeze ct mai fidel filtrul
analogic de referin i s se menin simplitatea n proiectare.
143

Metoda se bazeaz pe integrarea ecuaiilor difereniale i


folosirea aproximrii numerice. Se consider, sub form general, funcia
de transfer a sistemului
Y (s)
H a ( s) = a
(3.49)
X a (s)
unde X a ( s), Ya ( s) sunt transformatele Laplace ale semnalelor de intrare,
x a (t ) , i, respectiv, de ieire, y a (t ) . Funcia de transfer a filtrului analogic
poate fi descompus n fracii simple, motiv pentru care, n continuare,
analiza se efectueaz pentru un filtru liniar analogic cu funcia de transfer
b
(3.50)
H a ( s) =
s+a
Ecuaia diferenial care caracterizeaz filtrul liniar analogic este
atunci de forma
dy a (t )
+ ay a (t ) = bx a (t )
(3.51)
dt
Rspunsul ya(t) se poate determina cu relaia
t

y a (t ) = y a' ( )d + y a (t 0 )

(3.52)

t0

unde y a' (t ) este derivata rspunsului y a (t ) . Din aproximarea integralei


(3.52) prin metoda trapezelor, rezult pentru t=nT i t 0 =nT-T
T
y a (nT ) = [ y a' (nT ) + y ' (nT T )] + y a (nT T )
(3.53)
2
Relaia (3.51), evaluat la t=nT, este
y a' (nT ) = ay a (nT ) + bx a (nT )
(3.54)
Din nlocuirea relaiei (3.54) n (3.53) rezult
T
y a (nT ) = [ ay a (nT ) + bx a (nT ) ay a (nT T ) +
(3.55)
2
+ bx a (nT T )] + y a (nT T )
cu notaiile y[n]=ya(nT), x[n]=xa(nT), y[n-1]=ya(nT-T), x[n-1]=xa(nT-T),
relaia (3.55) devine
bT
aT
aT
(x[n] + x[n 1])
(3.56)
y[n 1] =
1 +
y[n] 1
2
2
2

Transformata Z a acestei ecuaii cu diferene este


144

bT
aT
aT 1
(1 + z 1 ) X ( z )
1 +
Y ( z ) 1
z Y ( z) =
2
2
2

Funcia de transfer a filtrului digital echivalent este


Y ( z)
(bT / 2)(1 + z 1 )
=
H ( z) =
X ( z ) 1 + aT / 2 (1 aT / 2) z 1

(3.57)

(3.58)

sau
H ( z) =

b
2 1 z 1

+a
T 1 + z 1

(3.59)

Se observ c H(z) se poate obine din H a (s ) , folosind substituia


s=

2 1 z 1
,
T 1 + z 1

(3.60)

adic
H ( z ) = H (s) |

s=

2 z 1
T z +1

(3.61)

Transformarea inversabil din relaia (3.60) se numete


transformare biliniar.
Dei obinerea transformrii biliniare s-a efectuat pentru o ecuaie
diferenial de ordinul 1, relaia (3.61) este adevrat indiferent de ordinul
N al ecuaiei difereniale sau, echivalent, al sistemului analogic, ntruct
acesta este echivalent cu conectarea n paralel a N sisteme de ordinul 1.
Rezolvnd (3.60) n raport cu z, rezult
z = (1 + T2 s ) / (1 T2 s )
(3.62)
Cnd s =j relaia (3.62) devine
z = (T2 + j ) / (T2 j )
(3.63)
Din aceast ecuaie rezult c |z|=1. Pentru =0, rezult z=1, iar
pentru = , z=-1. Dac n (3.62), se nlocuiete s=+j se obine
2
+ + j
T
z=
(3.64)
2
j
T
astfel nct, dac <0 (semiplanul stng) se obine |z|<1, iar dac
> 0 (semiplanul drept), se obine |z|>1.
145

Analog, dac se scrie variabila z n form polar, z = re j , i apoi


se nlocuiete n (3.60), rezult
2 z 1 2 re j 1
s=
=
=
T z + 1 T re j + 1
(3.65)

r 2 1
2
2r sin
= + j
=
+ j
T 1 + r 2 + 2r cos
1 + r 2 + 2r cos
Prin identificare, se obine
2
r2 1
(3.66)
=
T 1 + r 2 + 2r cos
2
2r sin
=
(3.67)
T 1 + r 2 + 2r cos
Pentru r<1, rezult <0 i dac r>1, rezult >0, deci semiplanul
stng se transform n interiorul cercului unitate, i semiplanul drept n
exteriorul cercului unitate. Pentru r=1, rezult =0 i
2 sin
2
=
= tg
(3.68)
T 1 + cos T 2
sau, echivalent
T
= 2tg 1
(3.69)
2
n figura 3.8 se arat corespondena dintre planul s i planul Z prin
transformarea biliniar. ntreaga ax j a planului s se transform n
conturul cercului unitate; semiplanul stng al planului s se transform n
interiorul cercului unitate iar semiplanul drept n exteriorul cercului
unitate.

Figura 3.8. Corespondena dintre planul s i planul Z prin transformarea biliniar


146

Se observ c utilizarea transformrii biliniare ofer posibilitatea


proiectrii de filtre numerice stabile, plecnd de la filtre analogice stabile.
Alt avantaj este acela c se nltur suprapunerea spectral ntlnit la
utilizarea metodei invarianei rspunsului la impuls, deoarece transform
ntreaga ax imaginar a planului s n conturul cercului unitate. Preul
pltit este introducerea unor distorsiuni pe axa frecvenelor, datorit
faptului c axa infinit j se comprim n conturul cercului unitate.
Relaia neliniar dintre frecvenele variabile din cele dou domenii,
exprimat prin relaia (3.69), este reprezentat n figura 3.9. Aceast
metod se folosete numai n aplicaii care tolereaz asemenea distorsiuni
sau atunci cnd ele pot fi compensate. Exist o larg clas de filtre pentru
care se poate compensa neliniaritatea pronunat dat de relaia (3.69). Se
observ c relaia ntre frecvena analogic i frecvena discret este
aproape liniar pentru valori mici ale lui , dar devine neliniar pentru
valori mari ale lui , conducnd la distorsiuni n rspunsul n frecven al
filtrului digital.

Figura 3.9. Relaia ntre frecvena analogic i cea digital n transformarea biliniar

De asemenea, este interesant de observat c, prin transformarea


biliniar, punctului s= i corespunde z=-1. n consecin, un FTJ
analogic cu un singur zero la s= are ca rezultat un filtru digital care are
un zero la z=-1.
Pai folosii n aplicarea metodei transformrii biliniare
1. Se definesc, conform aplicaiei, frecvenele caracteristice ale
filtrului numeric.
147

2. Se calculeaz frecvena sau frecvenele corespunztoare filtrului


analogic, cu relaia k = (2 / T )tg ( k T / 2) .
3. Se proiecteaz filtrul analogic cu funcia de transfer H a (s ) care
ndeplinete specificaiile de frecven { k }, de la punctul 2.
4. Se determin apoi funcia de transfer H(z) a filtrului numeric
dorit.
Exemplul 3.2.
S se transforme un filtru analogic cu funcia de transfer
s + 0,1
H a ( s) =
( s + 0,1) 2 + 16
ntr-un filtru digital IIR prin transformarea biliniar. Filtrul digital trebuie
s aib frecvena de rezonan la r = / 2 .
Soluie. Frecvena de rezonan a filtrului analogic este r = 4 .
Aceast frecven trebuie s fie transformat n r = / 2 prin selectarea
valorii parametrului T din relaia (3.69). Rezult T=1/2 i, deci, conform
relaiei (3.60), se poate scrie
1 z 1
s=4
1 + z 1
Filtrul digital rezultat are funcia de transfer
0,128 + 0,006 z 1 0,122 z 2
H ( z) =
1 + 0,0006 z 1 + 0,975 z 2
innd cont c termenul 0,0006 z 1 e foarte mic n comparaie cu
ceilali termeni, acesta se neglijeaz i rezult c H(z) are polii
p1, 2 = 0,987e j / 2 i zerouri la z1 = 1, z 2 = 0,95 . n acest exemplu

parametrul T a fost ales astfel nct frecvena de rezonan a filtrului


analogic s corespund cu frecvena de rezonan a filtrului digital.
De obicei, proiectarea filtrului ncepe cu specificaiile n domeniul
digital. Aceste specificaii n frecven sunt transformate n domeniul
analogic, prin relaia (3.68). Filtrul analogic este proiectat pentru aceste
specificaii i convertit ntr-un filtru digital prin transformarea biliniar
(3.60). n aceast procedur parametrul T dispare din expresia lui H(z),
astfel nct poate avea o valoare arbitrar (fie T=1). Urmtorul exemplu
ilustreaz acest lucru.
148

Exemplul 3.3.
S se proiecteze un FTJ cu un singur pol, cu limea de band de
0,2 la 3dB, prin transformarea biliniar aplicat filtrului analogic
H(s)= c /( s + c ) , unde c este limea de band a filtrului analogic la
3dB.
Soluie. Filtrul digital are ctigul de -3dB la c = 0,2 . n
domeniul frecvenelor filtrului analogic, c = 0,2 corespunde frecvenei
2
c = tg (0,1 ) = 0,65 / T , rezultnd funcia de transfer a filtrului
T
0,65 / T
H (s) =
analogic
s + 0,65 / T
Aplicnd transformarea biliniar pentru a converti filtrul analogic
n filtrul digital dorit, rezult
0,245(1 + z 1 )
H ( z) =
1 0,509 z 1
Rspunsul n frecven al filtrului digital este
0,245(1 + e j )
H ( ) =
1 0,509e j
La =0, H(0)=1 i la = 0,2 , |H( 0,2 )|=0,707, care este rspunsul dorit.

3.2.5. Metoda transformrii n Z adaptate


Aceast metod se bazeaz pe exprimarea funciei de transfer a
filtrului analogic H a (s ) n form factorizat i const n transformarea
direct a polilor i zerourilor din planul s n planul Z. Metoda
transformrii n Z adaptate transform un pol s k = p k din planul s n
polul z pk = e pk T n planul z, adic transform factorul s-pk n factorul

1 z 1e pk T . Similar, un zerou s k = z k se transform n z zk = e zk T .


Presupunnd funcia de transfer a filtrului analogic factorizat n forma
M

H ( s) =

(s z

k =1
N

(s p
k =1

149

(3.70)
k

unde z k i p k sunt zerourile i, respectiv, polii filtrului analogic, funcia


de sistem a filtrului digital este
M

H ( z) =

(1 e

zk T

z 1 )

(1 e

pk T

k =1
N

(3.71)
z )

k =1

unde T este perioada de eantionare.


Pentru o pereche de poli complex conjugai transformarea
factorilor devine
(s + + j 0 )(s + j 0 ) = (s + )2 + 02
(3.72)
1 2 z 1e T cos( 0T ) + e 2T z 2
Polii funciei de transfer H ( z ) a filtrului digital astfel obinut sunt
identici cu cei obinui prin metoda invarianei rspunsului la impuls, n
schimb zerourile sunt diferite. Dei foarte comod, metoda nu este
folosit datorit faptului c erorile de tip alias sunt mult mai puternice
dect la metoda invarianei rspunsului la impuls.

3.2.6. Proiectarea FTS, FTB, FOB prin transformri de


frecven
Pn acum s-a insistat n principal asupra proiectrii FTJ, IIR.
Dac se dorete proiectarea unui FTS, FTB, FOB, aceasta se face cu un
model de FTJ, cruia i se aplic o transformare de frecven. O
posibilitate este de a realiza transformarea de frecven n domeniul
analogic i apoi s se converteasc filtrul analogic ntr-un filtru digital,
fcnd corespondena dintre planul s i planul Z. O alt cale este de a
transforma nti filtrul TJ analogic ntr-un FTJ digital i apoi de a
transforma FTJ digital n filtrul dorit printr-o transformare de frecven
direct n domeniul discret. n general aceste metode produc rezultate
diferite, cu excepia transformrii biliniare, cnd proiectrile sunt identice.

3.2.6.1. Transformri de frecven n domeniul analogic


Transformrile de frecven n domeniul analogic sunt
transformri generale care permit obinerea filtrelor trece sus, trece band
150

i oprete band pornind de la un filtru trece jos proiectat. Ele realizeaz


schimbarea caracteristicilor de selectivitate printr-o simpl substituire a
variabilei s n expresia funciei de transfer H a (s ) . Se noteaz cu s i s
variabilele frecven complex pentru filtrul trece jos i cel derivat din
acesta.
a) Se presupune c este proiectat un FTJ cu frecven tiere c i
se dorete convertirea sa n altul, tot trece jos, cu frecvena de tiere
'c .Transformarea care realizeaz acest lucru este [48]

(TJ n TJ)
(3.73)
s c' s
c
Funcia de transfer a FTJ obinut este
H l (s ) = H p [( c / 'c ) s ] ,
(3.74)
unde H p (s ) este funcia de transfer a filtrului prototip, cu frecvena de

tiere c .
b) Dac se dorete conversia unui FTJ n unul TS cu frecvena de
tiere 'p transformarea necesar este [48]
c 'c
(TJ n TS)
s
Funcia de transfer a FTS este H h (s ) = H p c 'c / s
s

(3.75)

c) Transformarea unui FTJ analogic cu frecvena tiere c n


banda de trecere a filtrului, n unul TB cu frecvena de tiere inferioar
cl i cea superioar cu , poate fi realizat nti prin transformarea FTJ
n alt FTJ cu frecvena de tiere 'c =1 i apoi realiznd transformarea
[48]
s 2 + cl cu
s
(TJ n TB)
(3.76)
s ( cu cl )
Echivalent, se poate obine acelai rezultat ntr-un singur pas, prin
transformarea [48]
s 2 + cl cu
s c
(TJ n TB)
(3.77)
s ( cu cl )
Se obine astfel
151


s 2 + cl cu
.
(3.78)
H b (s ) = H p c
s ( cu cl )

d) Transformarea unui FTJ analogic cu frecvena de tiere c


ntr-un FOB se face prin transformarea invers a relaiei (3.76), cu
factorul c servind la normalizarea frecvenei de tiere a FTJ. Astfel,
transformarea este [48]
s ( cl )
(TJ n OB)
s c 2 cu
s + cu cl
(3.79)
ceea ce conduce la

s( cl )

(3.80)
H bs (s ) = H p c 2 cu

s
+

cu
cl

Programele de proiectare a filtrelor analogice utilizeaz


transformarea biptratic general [48]
c + c s + c2 s 2
s 0 1
(3.81)
d 0 + d1 s + d 2 s 2
care, prin particularizarea coeficienilor ci , d i , permite realizarea tuturor
transformrilor anterioare.

3.2.6.2. Transformri de frecven n domeniul digital

Ca i n domeniul analogic, transformrile de frecven pot fi


aplicate i unui FTJ digital pentru a-l transforma ntr-un FTB, FOB, FTS.
Transformarea implic nlocuirea variabilei z 1 cu o funcie raional
g ( z 1 ) , care trebuie s satisfac urmtoarele proprieti [48]:
1. Corespondena z 1 g ( z 1 ) trebuie s transpun punctele
din interiorul cercului unitate din planul z , n el nsui.
2. Cercului unitate trebuie s-i corespund tot cercul unitate.
Condiia (2) implic faptul c pentru r=1,
e j = g (e j ) g ( ) =| g ( ) | e j arg[ g ( )]
(3.82)
Rezult, deci, c trebuie ca |g()|=1 pentru toi . Corespondena
este de tipul trece tot, adic de forma
152

z 1 a k
(3.83)
1
k =1 1 a k z
unde | a k |< 1 , pentru a asigura faptul c un filtru stabil este transformat n
alt filtru stabil. Din relaia general (3.83) se obine setul de transformri
digitale pentru transformarea unui FTJ digital, cu frecvena de tiere c ,
n alt FTJ, n unul TB, OB sau TS.
Astfel, transformarea TJTJ este dat de corespondena [48]
z 1 a
z 1
(3.84)
1 az 1
sin[( c c' ) / 2]
cu: a =
, 'c - frecvena de tiere a noului filtru.
sin[( c + c' ) / 2]

( )

g z 1 =

Transformarea TJTS [48]


cu: a =

z 1

z 1 + a
1 + az 1

(3.85)

cos[( c + c' ) / 2]
, 'c - frecvena de tiere a noului filtru.
'
cos[( c c ) / 2]

z 2 a1 z 1 + a 2
(3.86)
a 2 z 2 a1 z 1 + 1
cos[( cu + cl ) / 2]
cu: a1 = 2K /( K + 1); a 2 = ( K 1) /( K + 1) , =
,
cos[( cu cl ) / 2]
( cl ) c
K = ctg cu
tg
, cl - frecvena inferioar de tiere a filtrului
2
2
dorit, cu - frecvena superioar de tiere a filtrului dorit.
Transformarea TJTB [48] z 1

z 2 a1 z 1 + a 2
(3.87)
a 2 z 1 a1 z 1 + 1
cos[( cu + cl ) / 2]
Cu a1 = 2 /( K + 1); a 2 = (1 K ) /( K + 1) , =
,
cos[( cu cl ) / 2]
( cl ) c
K = tg cu
tg
, cl - frecvena inferioar de tiere a filtrului
2
2
dorit, cu - frecvena superioar de tiere a filtrului dorit.
n realizarea transformrilor de frecven trebuie avut grij de
tipul filtrului care trebuie s fie proiectat.
Transformarea TJOB [48] z 1

153

S-a artat c metoda invarianei rspunsului la impuls nu este


adecvat pentru proiectarea FTS i a multor FTB, datorit efectului de
aliere spectral. n consecin, nu se va efectua o transformare de
frecven analogic, urmat de o conversie a rezultatului n domeniul
digital, folosind aceast coresponden. n schimb, este mai bine s a se
realiza conversia dintr-un FTJ analogic n unul digital prin metoda
invarianei rspunsului la impuls i abia apoi s se realizeze transformarea
de frecven n domeniul digital. Astfel se evit problema erorii alias.
n cazul transformrii biliniare, unde aliasingul nu este o
problem, nu conteaz cnd are loc transformarea de frecven, n
domeniul analogic sau n cel digital, n acest caz rezultatele fiind identice.

3.3. Tehnici directe de proiectare a filtrelor digitale


IIR
Ca o alternativ la tehnica transformrii unui filtru analogic n
unul digital exist metoda proiectrii filtrelor IIR digitale direct n
domeniul timp sau Z, fr a face referire la cele analogice.
Metodele de proiectare din aceast categorie se bazeaz pe
optimizare numeric i, n principiu, permit obinerea de filtre digitale ce
aproximeaz orice tip de rspuns n domeniul timp sau frecven.
Proiectarea direct a filtrelor IIR presupune urmtoarele etape:
1) Considerarea unei funcii raionale H (z ) de forma (3.3) cu
ordinele M i N ale polinoamelor B ( z ) i A( z ) fixate;
2) Alegerea unui criteriu de minimizare a erorii adecvat aplicaiei
concrete. Eroarea se poate referi fie la modulul funciei de transfer, fie la
faza acesteia, fie simultan la cele doua caracteristici, n cazul aproximrii
n domeniul frecven, sau la rspunsul la impuls h[n ] , n cazul
aproximrii n domeniul timp.
3) Utilizarea unui algoritm, n general iterativ, pentru determinarea
coeficienilor {a k }, {bk } ai lui H (z ) sau a secvenei h[n ] , astfel nct
eroarea dintre rspunsul dorit i cel realizat s fie minimizat.
n continuare vor fi prezentate cteva metode de proiectare direct
a filtrelor IIR, n care specificaiile i proiectarea sunt n domeniul timp.

154

3.3.1. Metoda de aproximare Pad


n aceast metod se presupune c rspunsul la impuls dorit hd [n]
este specificat pentru n 0 . Filtrul ce urmeaz a fi proiectat are funcia
de sistem
M

H ( z) =

b z
k =0
N

1 + ak z

= h[n]z n

(3.88)

n=0

k =1

unde h[n] este rspunsul la impuls. Filtrul are L = M + N + 1 parametri,


i anume, coeficienii {a k } i {bk }, ce pot fi alei astfel nct s
minimizeze un criteriu de eroare.
Criteriul celor mai mici ptrate este adesea folosit n problemele de
optimizare. n acest caz se minimizeaz suma ptratelor erorilor
U

E = [hd [n] h[n]]

n =0

(3.89)

n raport cu parametrii {a k } i {bk } ai filtrului, unde U este o limit


superioar prestabilit pentru sumare, aleas astfel nct eroarea de
aproximare a a funciei de transfer sau a rspunsului la impus s fie n
limitele impuse de datele de proiectare.
n general, h[n] este o funcie neliniar de parametrii filtrului i
minimizarea lui E implic rezolvarea unui sistem de ecuaii neliniare.
Dac limita superioar se selecteaz ca fiind U = L 1 , este posibil a
adapta perfect rspunsul dorit hd [n] pentru 0 n M + N . Aceasta se
poate realiza n felul urmtor: filtrul ce urmeaz a fi proiectat este descris
de ecuaia cu diferene
y[n] = a1 y[n 1] a 2 y[n 2] a N y[n N ] +
(3.90)
+ b0 x[n] + b1 x[n 1] + + bM x[n M ]
Dac intrarea n filtru este impulsul unitate, adic x[n] = [n] ,
rezult c y[n] = h[n] , adic
h[n] = a1 h[n 1] a 2 h[n 2] a N h[n N ] +
(3.91)
+ b0 [n] + b1 [n 1] + + bM [n M ]
Deoarece [n k ] = 0 pentru n k , relaia (3.91) devine
155

h[n] = a1 h[n 1] a 2 h[n 2] a N h[n N ] + bn , 0 n M (3.92)


Pentru n > M , relaia (3.91) devine
h[n] = a1 h[n 1] a 2 h[n 2] a N h[n N ]
(3.93)
Ecuaiile (3.92) i (3.93) pot fi folosite n determinarea coeficienilor
filtrului {a k } i {bk }. Se impune h[n] = hd [n] pentru 0 n M + N i se
folosete sistemul de ecuaii (3.93) pentru a determina {a k } . Apoi, acetia

se introduc n (3.92), pentru a determina coeficienii {bk }. Astfel, se


obine o potrivire perfect ntre h[n] i hd [n] pentru primele L valori ale
rspunsului la impuls. Aceast tehnic se numete, de obicei,
aproximarea Pad [49].
Msura n care aceast metod permite obinerea de filtre
acceptabile depinde, n parte, de numrul de coeficieni selectai. Evident,
cu ct acesta este mai mare, cu att va fi mai bun aproximarea. Acesta
este un dezavantaj important al metodei, i anume, filtrul rezultat va avea
muli poli i multe zerouri, motiv pentru care folosirea sa n practic este
limitat.
Exemplul 3.4.
n

1
Se presupune c rspunsul la impuls dorit este hd [n] = 2 u[n] .
2
S se determine parametrii filtrului cu funcia de sistem
b + b z 1
H ( z ) = 0 1 1 , folosind aproximarea Pad.
1 + a1 z
Soluie. n acest exemplu simplu H(z) se poate potrivi perfect cu
1
H d (z ) selectnd b0 = 2 , b1 = 0 , a1 = . Acelai lucru se poate obine
2
si cu aproximarea Pad, dup cum este ilustrat n continuare.
Cu intrarea [n] , se obine
h[n] = a1 h[n 1] + b0 [n] + b1 [n 1]
pentru n>1, h[n] = a1 h[n 1] sau, impunnd h[n] = hd [n 1] ,
hd [n] = a1 hd [n 1] .
nlocuind hd [n] n ultima relaie, se obine
156

n 1

1
1
1
2 u[n] = a1 2 u[n 1] a1 = .
2
2
2
Pentru a determina b0 i b1 se folosete relaia (3.92), de
asemenea, cu condiia h[n] = hd [n] .
1
Se obine hd [n] = hd [n 1] + b0 [n] + b1 [n 1] .
2
1
Pentru n=0 2= b0 , n=1 1 = 2 + b1 b1 = 0 , deci H(z)= H d (z ) .
2
Acest exemplu arat c aproximarea Pad are ca rezultat o
potrivire perfect cu H d (z ) , cnd funcia de sistem dorit este o funcie
raional i se cunoate numrul de poli i zerouri din funcia de sistem.
Acesta nu este, n general, cazul n practic, deoarece hd [n] se determin
din specificaiile rspunsului dorit n frecven, H d ( ) . O soluie de a
obine o aproximare bun a filtrului dorit cu metoda Pad este de a
ncerca diverse valori pentru M i N pn cnd rspunsul n frecven al
filtrului rezultat converge la rspunsul n frecven dorit cu o eroare de
aproximare acceptabil de mic.

3.3.2. Proiectarea filtrelor digitale IIR folosind metoda


celor mai mici ptrate
n aceast metod se adopt un model pentru sistem i se
determin parametrii modelului care minimizeaz n sensul celor mai mici
ptrate eroarea dintre rspunsul sistemului real i rspunsul dorit.
Se presupune din nou c hd [n] este specificat pentru n 0 . Se
ncepe cu cazul simplu, n care filtrul numeric ce urmeaz a fi proiectat
conine numai poli, adic
b0
H ( z) =
(3.94)
N
k
1 + ak z
k =1

Fie conectarea n cascad a filtrului dorit H d (z ) cu filtrul invers


1
, care este un filtru numai cu zerouri, ca n figura 3.10. Se
H ( z)
157

presupune c la intrarea cascadei din figura 3.10 se aplic excitaia [n] ,


astfel nct intrarea n sistemul invers este hd [n] i ieirea y[n]. Ideal,
ieirea dorit este y d [n] = [n] . Ieirea real, y[n] , se obine astfel:
Y ( z) = H d ( z)

N
1
1

= H d ( z )1 + a k z k
H ( z ) b0
k =1

(3.95)

N
1

hd [n] + a k hd [n k ]
b0
k =1

(3.96)

sau, n domeniul timp


y[n] =
[n]

H d (z )

hd [n]

1
H ( z)

[n]

y[n]
+

Minimizeaz
suma erorilor
ptratice
Figura 3.10 Proiectarea filtrului invers prin metoda celor mai mici ptrate

Condiia y d [0] = y[0] = 1 este satisfcut prin alegerea


b0 = hd [0] . Pentru n > 0 , y[n] reprezint eroarea dintre ieirea dorit
y d [n] = 0 i ieirea real. Parametrii {a k } vor fi selectai astfel nct s
minimizeze suma ptratelor secvenei de eroare:
2

h
[
n
]
a k hd [n k ]
+

k =1

E = y 2 [n] = n =1
(3.97)
2
hd [0]
n =1
Minimul acestei mrimi se obine pentru coeficienii {a k } rezultai
din egalarea cu zero a derivatei lui E n raport cu {a k } , de unde se obine
un sistem de ecuaii liniare.

158

'

N
N
N
2

hd [n] + 2hd [n] a k hd [n k ] + a k hd [n k ] al hd [n l ]


n =1
k =1
k =1
l =1

E' =
=0
2
hd [0]

2h [n]h [n k ] + a h [n k ]h [n l ] + a h [n k ]h [n l ] = 0
n =1

l =1

k =1

rdd [k ,0] + al rdd [k , l ] = 0 , k = 1, 2, , N


l =1

a r
l =1

l dd

[k , l ] = rdd [k ] , k = 1, 2, , N

(3.98)

unde rdd [k , l ] este secvena de autocorelaie a lui {hd [n]}, definit ca

rdd [k , l ] = hd [n k ] hd [n l ]

(3.99)

n =1

Pentru secvene staionare

rdd [k , l ] = hd [n] hd [n + k l ] =rdd [k l ]

(3.99)

n=0

rdd [k ,0] = hd [n] hd [n k ] =rdd [k ]

(3.99)

n =0

Sistemul de ecuaii (3.98) poate fi scris matriceal


[R dd ][a ] = [rdd ]
(3.100)
unde [Rdd ] este matricea de corelaie, cu elementele {rdd [k l ]}, de
dimensiune N N , [a ] este vectorul N 1 al coeficienilor filtrului i

[rdd ] este un vector

din (3.100) rezult

N 1 cu elementele { rdd [k ]}. Dac exist [Rdd ] ,


1

[a ] = [R dd ]1 [rdd ]

(3.101)
Metoda descris se numete metoda celor mai mici ptrate de
proiectare a filtrului invers.
Pentru o problem de proiectare particular, rspunsul la impuls
dorit hd [n] este specificat pentru un numr finit de puncte; fie acesta
0 n L , cu L >> N . ntr-un astfel de caz, secvena de corelaie rdd [k ]
se poate calcula din secvena finit hd [n] cu relaia
159

rdd [k l ] =

L k l

h [ n] h [ n + k l ] ,
n =0

0 k l N

(3.102)

aceste valori putnd fi folosite pentru a forma pe [Rdd ] i [rdd ] . Astfel,


sistemul de ecuaii liniare (3.100) devine
[ R dd ] [a ] = [rdd ]
(3.103)
[a ] = [ R ]1 [r ]
(3.104)
dd

dd

O metod alternativ de rezolvare a problemei de aproximare a


filtrului numai cu poli se bazeaz pe conceptul de predicie liniar pe
baza minimizrii erorii n sensul celor mai mici ptrate [49]. Dup cum se
arat n Figura 3.11, ieirea filtrului numai cu poli la un impuls [n] este
N

y[n] = a k y[n k ] + b0 [n]

(3.105)

k =1

sau, echivalent
N

h[n] = a k h[n k ] + b0 [n], n = 0,1,...

(3.106)

k =1

[n]

H ( z) =

h[n] = a k h[n k ] + b0 [n]

b0
1 + ak z k

k =1

hd [n]

H d (z )

Figura 3.11. Proiectarea filtrului prin metoda celor mai mici ptrate bazat pe predicia
liniar

Rspunsul dorit este hd [n] . Dac i acesta ar fi furnizat de un


filtru numai cu poli, atunci
N

hd [n] = a k hd [n k ] + b0 [n] , n = 0, 1,

(3.106)

k =1

Deoarece h[0] = b0 , se impune b0 = hd [0] . Pentru n 1 , (3.106) devine


160

h[n] = a k h[n k ]

(3.107)

k =1

cu condiia iniial h[n] = 0 pentru n < 0 .


n ipoteza c H d (z ) este un filtru numai cu poli, atunci
N

hd [n] = ak hd [n k ] , n 1

(3.108)

k =1

dar aceasta nu se ntmpl de obicei. Combinaia liniar din membrul


drept al relaiei (3.108) poate fi considerat ca un estimat al lui hd [n] ,
adic
N

hd [n] = ak hd [n k ] , n 1

(3.109)

k =1

hd [n] se numete valoarea prediciei liniare a lui hd [n] . Suma ptratelor


erorii de predicie dintre h [n] i h [n] este
d

E = hd [ n] hd [ n] = hd [n] + a k hd [ n k ]
(3.110)
n =1
n =1
k =1

Expresia din relaia (3.110) este chiar aceeai funcie de eroare


rezultat din setul de ecuaii (3.98), ceea ce nseamn c predicia liniar
pe baza metodei celor mai mici ptrate conduce la acelai rezultat ca
metoda celor mai mici ptrate de proiectare a filtrului invers.

3.3.3. Metoda Prony


Metoda prediciei pe baza celor mai mici ptrate poate fi extins la
o aproximare a lui H d (z ) care conine poli i zerouri. Dac filtrul H (z )
care aproximeaz H d (z ) are att poli ct i zerouri, atunci rspunsul su
la un impuls [n] devine
N

k =1

k =0

h[n] = a k h[n k ] + bk [n k ] , n 0

(3.111)

sau, echivalent,
N

h[n] = a k h[n k ] + bn , 0 n M
k =1

i
161

(3.112)

h[n] = ak h[n k ] , n > M

(3.113)

k =1

Dac H d (z ) este un filtru cu poli i zerouri, rspunsul su la [n]


ar trebui s satisfac aceleai ecuaii (3.111) (3.113), lucru care n
general nu se ntmpl. Pe baza relaiei (3.113) se definete valoarea de
predicie liniar a lui hd [n] , ca fiind
N

hd [n] = ak hd [n k ] , n > M

(3.114)

k =1

Ca i n cazul filtrului numai cu poli, suma ptratelor erorii de


predicie este

+
E1 =
h
[
n
]
a k hd [n k ]
(3.115)

d
n = M +1
n = M +1
k =1

Minimizarea lui E1 n raport cu coeficienii {ak } conduce la


sistemul de ecuaii liniare

2
hd [n] hd [n] =

a r
l =1

l dd

[k , l ] = rdd [k ,0] , k = 1, 2 , , N

(3.116)

unde
rdd [k , l ] =

h [n k ] h [n l ]

n = M +1

(3.117)

Ecuaiile (3.116), care dau estimaii coeficienilor {ak } , notai


{a k }, se reduc la aproximarea filtrului numai cu poli, cnd M se impune
a fi zero. Parametrii {bk } ce determin zerourile filtrului se obin din
relaia (3.112), unde h[n] = hd [n] , prin nlocuirea valorilor {ak } obinute
din (3.116).
N

bn = hd [n] + ak hd [n k ] , 0 n M
k =1

(3.118)

n concluzie, parametrii {ak } ce determin polii se obin prin


metoda celor mai mici ptrate, n timp ce parametrii {bk }, care determin
zerourile, se obin ca n metoda de aproximare Pad. Aceast tehnic
pentru determinarea polilor i zerourilor lui H (z ) se numete metoda
Prony.
162

Metoda celor mai mici ptrate furnizeaz estimai buni pentru


parametrii polilor. Metoda Prony poate s nu fie eficace pentru estimarea
parametrilor {bk }, deoarece acetia nu rezult din aplicarea unui criteriu
de minimizare a erorii.

3.3.4. Metoda Shanks


O alt metod, n care ambele seturi de parametri, {ak } i {bk }, se
determin pe baza minimizrii erorii de aproximare n sensul celor mai
mici ptrate a fost propus de Shanks (1967) [61] Parametrii {ak } sunt
calculai prin aplicarea metodei celor mai mici ptrate, ca n relaia
(3.116), prezentat anterior. Aceasta produce estimaii {ak } ce permit
sintetizarea filtrului numai cu poli
1
H1 ( z) =
(3.119)
N
1 + a k z k

Rspunsul acestui filtru la [n] este

k =1

v[n] = a k v[n k ] + [n] , n 0

(3.120)

k =1

Secvena {v[n]} este folosit pentru a excita un filtru numai cu


zerouri, cu funcia de sistem
M

H 2 ( z ) = bk z k

(3.121)

k =0

Dup cum se arat n figura 3.12, rspunsul acestuia este


M

hd [n] = bk v[n k ]

(3.122)

k =0

[n]

Filtru numai cu
poli H1(z)

v[n]

Filtru numai cu
zerouri H2(z)

hd [n]

Figura 3.12. Folosirea metodei celor mai mici ptrate pentru determinarea polilor i
zerourilor unui filtru

Se poate defini o secven de eroare


M

e[n] = hd [n] hd [n] = hd [n] bk v[n k ]


k =0

163

(3.123)

i, n consecin, parametrii {bk } pot fi, de asemenea, determinai cu


ajutorul metodei celor mai mici ptrate, i anume, prin minimizarea
relaiei
2

E 2 = hd [n] bk v[n k ]
(3.124)
n =0
k =0

n raport cu {bk }. Astfel, se obine un sistem de ecuaii liniare pentru


parametrii {bk }, n forma

b r
k =0

k vv

[k , l ] = rhv [l ,0] , l = 0 , 1, , M

(3.125)

unde

rvv [k , l ] = v[n k ] v[n l ]

(3.126)

rhv [l ,0] = hd [n] v[n l ]

(3.127)

n =0

n=0

3.3.5. Filtrul FIR invers obinut prin metoda celor mai


mici ptrate
Pn acum, criteriul de minimizare a erorii n sensul celor mai mici
ptrate s-a folosit n proiectarea filtrelor cu poli si zerouri. O abordare
similar va fi folosit pentru a determina filtrul invers FIR, pe baza
metodei celor mai mici ptrate pentru un filtru dorit.
Sistemul invers al unui SDLIT, caracterizat de rspunsul la impuls
h[n] i funcia de sistem H(z), se definete ca fiind sistemul al crui
rspuns la impuls, hI[n], i funcie de sistem, HI(z), satisfac relaiile
h[n] * hI [n] = [ n]
(3.128)
H ( z) H I ( z) = 1
(3.129)
n general HI(z) este cu rspuns infinit la impuls, cu excepia
cazului cnd H(z) are numai poli, i HI(z) este cu rspuns finit la impuls.
n multe aplicaii practice este de dorit a restriciona filtrul invers s fie
FIR i o metod simpl de a obine acest lucru este de a trunchia hI[n],
caz n care eroarea ptratic total de aproximare devine
Et =

n = M +1

164

2
I

[ n]

(3.130)

unde M + 1 este lungimea filtrului trunchiat i Et este energia cozii


rspunsului la impuls hI[n].
Criteriul de minimizare a erorii de aproximare n sensul celor mai
mici ptrate poate fi folosit la optimizarea celor M + 1 coeficieni ai
filtrului FIR. Fie d[n] secvena de ieire dorit a filtrului de lungime M +
1 i fie h[n] secvena de intrare. Atunci, dac y[n] este secvena de ieire
din filtrul invers, cum se arat n figura 3.13, secvena de eroare dintre
secvena dorit si cea real este
M

e[n] = d [n] bk h[n k ]

(3.131)

k =0

unde bk sunt coeficienii filtrului.

Figura 3.13. Filtrul FIR invers obinut prin metoda celor mai mici ptrate

Suma ptratelor secvenei de eroare este


2

E = d [n] bk h[n k ]
(3.132)
n=0
k =0

Prin minimizarea lui E n raport cu coeficienii filtrului, rezult


sistemul de ecuaii liniare
M

b r
k =0

k hh

[k l ] = rdh [l ] ,

l = 0,1, M

(3.133)

unde rhh[l] este funcia de autocorelaie a lui h[n], presupus staionar,


definit ca

rhh [l ] = h[n]h[n l ]

(3.134)

n =0

i rdh[l] este secvena de corelaie dintre rspunsul dorit d[n], de asemenea


presupus staionar, i secvena de intrare h[n], definit ca
165

rdh [l ] = d [n]h[n l ]

(3.135)

n=0

Filtrul FIR optim n sensul celor mai mici ptrate, care satisface
ecuatiile liniare (3.133) se numeste filtru Wiener. Cum filtrul FIR optim
n sensul celor mai mici ptrate, urmeaz s aproximeze filtrul invers,
rspunsul dorit este d [n] = [n] , caz n care corelaia dintre d[n] i h[n]
este
h[0], l = 0
rdh[l] =
(3.136)
0, n rest
Prin urmare, coeficienii filtrului FIR obinut cu metoda celor mai
mici ptrate se obin din soluia ecuaiei liniare (3.133), care se scrie
matriceal
rhh [ M ] b0 h[0]
rhh [0] rhh [1] ..........
r [1] r [0] .......... r [ M 1] b 0
hh
hh
1 =
hh

(3.137)
......... .......... ..........
.......... ..... .....

rhh [0] bM 0
rhh [ M ]
Matricea este simetric i are elementele de pe fiecare diagonal egale,
adic este Toeplitz, caz n care poate fi inversat eficient cu
algoritmul Levison - Durbin care necesit un numr de operaii
proportional cu M2 n loc de M3, cum rezult de obicei [49].
nlocuind (3.133) n (3.132), rezult valoarea minim a sumei
ptratelor erorilor obinut cu filtrul FIR optim

n =0

k =0

E min = d 2 [n] bk rdh [k ]

(3.138)

n cazul cnd filtrul FIR este filtrul invers obinut pe baza metodei
celor mai mici ptrate, d [n] = [n] i r dh [n] = h[0] [n] . Prin urmare,
E min = 1 h[0] b0
(3.139)
Exemplul 3.5.
S se determine filtrul FIR invers, de lungime 2, folosind metoda
celor mai mici ptrate, al sistemului care are rspunsul la impuls

166

1, n = 0

h[n] = , n = 1
0, n rest

unde | |< 1. S se compare soluia obinut folosind metoda celor mai


mici ptrate cu inversul aproximat prin trunchierea lui hI[n].
Soluie.Deoarece sistemul are funcia de sistem H ( z ) = 1 z 1 ,
1
sau, echivalent,
filtrul invers este IIR i H I ( z ) =
1 z 1
hI [n] = n u[n] . Dac acesta este trunchiat dup n termeni,
rezidual este

Et = 2 k = 2 n (1 + 2 + 4 + ) =
k =n

energia

2n
1 2

Din (3.137) rezult c filtrul FIR obinut prim metoda celor mai
mici ptrate, de lungime 2, satisface ecuaiile
1 + 2
b0 1

=
2
1 + b1 0
1+ 2

. Pentru comparaie, filtrul


, b1 =
2
4
1+ +
1+ 2 + 4
invers trunchiat de lungime 2 are coeficienii b0 = 1, b1 = .
Eroarea obinut prin aplicarea metodei celor mai mici ptrate este
cu soluia b0 =

E min =

4
4
E
=
, pentru filtrul invers aproximat
,
fa
de
t
1+ 2 + 4
1 2

prin trunchiere. Se observ c Et > Emin, astfel nct filtrul FIR invers
obinut cu metoda celor mai mici ptrate are performane superioare.
n acest exemplu rspunsul la impuls h[n] al sistemului este de
faz minim. ntr-un astfel de caz, se selecteaz rspunsul dorit d[0]=1 i
d[n]=0 pentru n 1. Dac sistemul nu este de faz minim, ar trebui
introdus o ntrziere n rspunsul dorit pentru a obine un filtru bun, care
s aproximeze ct mai fidel rspunsul dorit. Valoarea ntrzierii potrivite
depinde de caracteristica lui h[n]. n acest caz se poate calcula eroarea
filtrului obinut prin metoda celor mai mici ptrate pentru diferite
ntrzieri i apoi se selecteaz filtrul care produce cea mai mic eroare, ca
n exemplul 3.6.
167

Exemplul 3.6.
S se determine filtrul FIR invers, de lungime 2, folosind metoda
celor mai mici ptrate, al sistemului cu rspunsul la impuls
, n = 0

unde < 1 .
h[n] = 1, n = 1
0, n rest

Soluie. Acesta este un sistem de faza maxim. Dac se


selecteaz d[n] = [1 0] se obine aceeai soluie ca n exemplul 3.5, cu
eroarea minim obinut prin metoda celor mai mici ptrate egal cu
1+ 2
E min = 1 h[0]b0 = 1 +
1+ 2 + 4
Dac 0 < < 1, atunci Emin > 1, care reprezint un filtru invers
neperformant. Dac 1 < < 0 atunci Emin < 1.
1
1
,
n particular, pentru = , Emin = 1,57, iar pentru =
2
2
Emin = 0,81, care este totui o valoare foarte mare pentru eroarea ptratic.
Dac se presupune c rspunsul dorit este d [n] = [n 1] ,
coeficienii filtrului obinui din (3.137) sunt
1 + 2
b0 b(1) 1

=
=
2
1 + b1 b(0)
3
.
1+ 2 + 4
1+ 2 + 4
Valoarea minim a erorii obinute prin metoda celor mai mici
ptrate, dat de relaia (3.138), este
E min = 1 b0 rdh [0] b1 rdh [1] = 1 b0 h[1] b1 h[0] =
b0 =

1
1+ 2 + 4

, b1 =

4
1 4
=
1

1+ 2 + 4
1+ 2 + 4

1
Emin = 0,21. n
2
consecint, rspunsul dorit d[n] = [n-1] are ca rezultat un filtru invers
mult mai bun. mbuntiri suplimentare se obin prin creterea lungimii
filtrului invers.
n particular, dac se presupune =

168

n general, cnd rspunsul dorit este prevzut s conin o


ntrziere D, atunci secvena de corelaie este

n =0

n =0

rdh [l ] = d [n]h[n l ] = [n D]h[n l ] =

(3.140)

= h[ D l ] , l = 0,1 M
n acest caz, ecuaiile liniare (10.137) devin
M

b r
k =0

k hh

[k l ] = h[ D l ] l = 0,1 M

(3.141)

Expresia erorii, n cazul minimizrii acesteia n sensul celor mai


mici ptrate, dat n general de (3.138), devine
M

E min = 1 bk h[ D k ]

(3.142)

k =0

i este cea mai mic n comparaie cu erorile rezultate din alte metode de
aproximare.

3.4. Alegerea ntre filtrele FIR i IIR


Alegerea dintre cele dou tipuri de filtre depinde de avantajele
oferite de fiecare dintre ele i cerinele de proiectare.
1. Filtrul FIR poate avea rspunsul de faz perfect liniar, deci filtrul
nu introduce nici o distorsiune de faz. Aceast cerin este
important n transmisiile de date, biomedicin, procesare de
imagini. Caracteristica faz - frecven a filtrelor IIR este
neliniar, mai ales la capetele benzii de trecere.
2. Filtrele FIR pot fi realizate totdeauna nerecursiv [63], fapt care le
asigur stabilitatea. Stabilitatea filtrelor IIR nu e garantat
ntotdeauna.
3. Efectele folosirii unui numr limitat de bii n zgomotul de
rotunjire i n eroarea de cuantizare a coeficienilor sunt mai puin
severe pentru filtrele FIR dect pentru filtrele IIR i nu le
afecteaz stabilitatea [21].
4. Filtrele FIR necesit mai muli coeficieni pentru o band de
tranziie la fel de ngust ca a filtrelor IIR, deci, pentru o
specificare a rspunsului n amplitudine, timpul necesar unui filtru
FIR pentru procesare i stocare este mai mare.
169

5. Filtrele analogice pot fi transformate n echivalente digitale IIR,


respectnd specificaii similare. Aceasta nu este posibil pentru un
filtru FIR, acesta neavnd corespondent analogic.
6. Filtrele FIR cu faz liniar prezint un dezavantaj major constnd
n faptul c, n anumite aplicaii, lungimea M a filtrului ce
satisface cerinele de proiectare este mare. Aceasta implic n mod
evident o ntrziere de grup normat mare, egal cu (M-1)/2, ceea
ce poate fi un impediment n acele aplicaii din telecomunicaii
pentru care ecourile semnalelor transmise nu pot fi tolerate. De
asemenea, n sistemele de control cu reacie, o ntrziere mare n
bucla de reacie este n general inacceptabil.
n concluzie,
- Se folosesc filtre IIR, cnd se cere numai o caracteristic cu
tiere abrupt, n special folosind caracteristici eliptice, astfel rezultnd
mai puini coeficieni dect n cazul filtrelor FIR.
- Se folosesc filtre FIR, cnd numrul de coeficieni nu este prea
mare i nu sunt tolerate distorsiuni de faz.

170

Anexa 3a
Filtre analogice clasice folosite n proiectarea filtrelor IIR
digitale
n continuare vor fi prezentate succint cteva filtre analogice
prototip ntlnite mai frecvent n aplicaii. Se va face referire numai la
FTJ, trecerea la celelalte tipuri de filtre efectundu-se prin transformri de
frecven. Dup cum se tie, filtrul trece jos ideal nu este realizabil fizic
[14], [26], [66], de aceea, caracteristica sa se poate doar aproxima. Exist
mai multe posibiliti de aproximare [9]:
Aproximare de tip maxim plat a caracteristicii amplitudine
frecven, care conduce la o familie de filtre din care fac parte
filtrele Butterworth.
Aproximarea de tip maxim plat a timpului de ntrziere de grup,
care conduce la o familie de filtre din care fac parte filtrele Bessel.
Aproximarea cu ripluri egale n banda de trecere i comportare
monoton n banda de oprire (filtre de tip Cebev I).
Aproximarea cu ripluri egale n banda de oprire i comportare
monoton n banda de trecere (filtre de tip Cebev II).
Aproximarea cu ripluri egale att n banda de trecere, ct i n
banda de oprire (filtre Cauer sau eliptice).

3a.1. Filtre Butterworth


Filtrele Butterworth [66] sunt FTJ caracterizate de funcia de
transfer care conine numai poli:
1
1
2
=
H ( ) =
(3a.1)
2 2N
2N
1 + c
1+ p

( )

( )

unde c este frecvena de tiere ( frecvena la -3dB), N ordinul filtrului,


1
1
p marginea benzii de trecere, iar (1 p ) 2 =
este
=
N
2
2
p
1
+

1+

( )
c

valoarea lui H( )

la captul benzii de trecere.

Deoarece H (s )H ( s ) evaluat la s = j este chiar H( ) , rezult


2

171

H (s )H ( s ) =

(3a.2)
1 + ( s / c2 ) N
Polii lui H (s )H ( s ) sunt plasai pe un cerc de raz c , n puncte
egal distanate.
s k = c e j / 2 e j ( 2 k +1) / 2 N , k = 0,1,..., N 1
(3a.3)
Figura 3a.1 ilustreaz poziia polilor unui filtru Butterworth pentru
N=4 i N=5.
2

Figura 3a.1 Poziiile polilor unui filtru Butterworth, N=4, N=5.

Filtrul Butterworth realizeaz o caracteristic de tip maxim plat la


=0 n sensul c primele 2N-1 derivate sunt nule la =0 [28].
n figura (3a.2) este reprezentat rspunsul de amplitudine pentru
cteva valori ale ordinului N al funciei de transfer. Se observ caracterul
monoton al caracteristicii att n banda de trecere, ct i n cea de oprire.

Figura 3a.2. Ptratul modulului normalizat al funciei de transfer a unui FTJ de tip
Butterworth, pentru diverse valori ale ordinului filtrului
172

Se remarc urmtoarele particulariti:


La = c, indiferent de ordinul N, ptratul modului normalizat
este ;
Creterea lui N atrage dup sine:
o ngustarea zonei de tranziie;
o aproximarea mai bun a cerinelor FTJ ideal n sensul c
H( ) 0 , respectiv H( ) 1 ntr-o poriune mai mare
din B.O., respectiv din B.T.;
2
Caracteristica H( ) realizeaz o aproximare de tip maxim plat i

la , pentru aceast frecven toate derivatele fiind nule;


Determinarea ordinului N care ndeplinete cerina de atenuare s
la o frecven specificat s , artat n figura 3a.3, se face cu ajutorul
relaiei (3a.1). Astfel, la = s
1
2s =
(3a.4)
2N

2 s
1+

p
de unde rezult
lg[(1 / 2s ) 1]
lg( / )
N=
=
(3a.5)
2 lg( s / c ) lg( s / p )
unde, prin definiie s = 1 / 1 + 2 . Astfel, filtrul Butterworth este
complet caracterizat de parametrii N , s , i raportul s / p .

Figura 3a.3. Specificarea restriciilor la proiectarea FTJ analogic de tip Butterworth


173

3a.2. Filtre Bessel


Ca i filtrele Butterworth, filtrele Bessel au funcia de transfer de
tipul numai cu poli, adic:
K
H ( s) =
(3a.6)
B N (s )
unde BN(s) este polinomul Bessel de ordinul N [1]. Acesta poate fi
exprimat n forma
N

B N (s) = a k s k

(3a.7)

k =0

unde coeficienii {a k } sunt dai de expresia


(2 N k )!
ak = N k
, k = 0,1,..., N
(3a.8)
2 k!( N k )!
Polinoamele Bessel pot fi generate recursiv cu ajutorul relaiei [49]
BN (s ) = (2 N 1)BN 1 (s ) + s 2 BN 2 (s )
(3a.9)
cu iniializarea:
B0 (s ) = 1, B1 (s ) = s + 1
(3a.10)
O caracteristic important a filtrelor Bessel este aceea c au
rspunsul de faz liniar n banda de trecere a filtrului. n figura 3a.4 se
prezint, comparativ, caracteristicile amplitudine frecven i faz frecven pentru filtrele Butterworth i Bessel de ordinul 4. Banda de
tranziie a filtrului Bessel este mare, comparativ cu alte tipuri de filtre.
Din pcate, metodele de transformare ale filtrelor analogice n filtre
digitale, nu conserv caracteristica de faz liniar a filtrelor Bessel
analogice.

Figura 3a.4. Rspunsul de modul i de faz pentru filtrele Butterworth i Bessel de


ordinul N= 4.
174

3a.3 Filtre Cebev de tipul I


Filtrele Cebev de tipul I sunt filtre numai cu poli, a cror
caracteristic de modul prezint ripluri egale n banda de trecere, iar n
banda de oprire caracteristica este monoton descresctoare. Filtrele
Cebev sunt filtre optimale, n sensul c, pentru o band de trecere dat i
o atenuare specificat n banda de oprire, au cea mai mic regiune de
tranziie, s p , dintre toate filtrele care au numai poli finii.
Ptratul modulului funciei de transfer este dat de relaia
1
2
(3a.11)
H ( ) =

2 2
1 + CN

p
unde este un parametru al filtrului ce depinde de riplul din banda de
trecere, iar CN(x) este polinomul Cebev de ordinul N definit cu relaia
cos(N cos 1 x ), pentru x 1
(3a.12)
C N (x ) =
1
cosh (N cosh x ), pentru x > 1
Polinoamele Cebev pot fi generate cu relaia recursiv
C N +1 (x ) = 2 xC N ( x ) C N 1 ( x ) , N > 1 ,cu C0 (x ) = 1, C1 ( x ) = x (3a.13)
Din definiia (3a.12) se observ c
1. C N ( x) 1, x 1 i toi N.
2. C N ( x) > 1, x > 1 i toi N.
3. C N (1) = 1 .
4. Toate rdcinile polinomului C N ( x ) sunt n intervalul 1 x 1 .

C N2 (1) = 1 , iar C N2 (0) este 0 sau 1, dup cum N este impar, respectiv par.
n consecin,
1, pentru N impar
2
1
2

(
)
H
0
=
H ( p ) =
i
1 , pentru N par (3a.14)
2
1+
1 + 2
innd cont de relaiile (3a.12) i (3a.14) rezult reprezentrile
grafice pentru H() din figurile 3a.5a pentru N impar, respectiv 3a.5b
pentru N par, ambele oscilnd ntre 1 i 1/(1+2)1/2 n banda de trecere.
175

n banda de oprire, caracteristica monoton descresctoare a


modulului funciei de transfer realizeaz o aproximare de tip maxim plat a
valorii ideale zero, deoarece toate derivatele sale se anuleaz pentru
. Panta de cdere a caracteristicii este cu att mai mare cu ct
ordinul filtrului este mai mare, iar pentru dou filtre de acelai ordin panta
este mai abrupt pentru cel cu ripluri mai mari n banda de trecere.
Performanele filtrului sunt complet determinate de parametrul
ce fixeaz mrimea riplului n banda de trecere i de ordinul N ce
determin limea benzii de tranziie.

Figura 3a.5 Modulul funciei de transfer pentru filtrul analogic TJ Cebev de tipul I
(a ) pentru N=5 i (b) pentru N=6

Parametrul se determin din riplul p impus la proiectare, astfel:


(1 p )2 = 1 2 2 = 1 2 1
(3a.15)
1+
(1 p )
Pentru gsirea ordinului N se impune condiia ca la frecvena
limit a benzii de oprire (s), modulul funciei de transfer s ia valoarea
s.
1
2
=
H ( ) =
2 2
1 + C N ( s / p )
(3a.16)
1
2
=
= s
1 + 2 {cosh[ N cosh 1 ( s / p )]}2
Din relaia de mai sus rezult

176

N=

cosh 1 [( 1 / 2s 1) / ]
cosh 1 ( s / p )

cosh 1 ( / )
cosh 1 ( s / p )

(3a.17)

unde, prin definiie s = 1 / 1 + 2 .


Polii filtrului Cebev de tip I se afl pe o elips n planul s, cu axa
mare
2 +1
r1 = p
(3a.18)
2
i axa mic

2 1
r2 = p
2

(3a.19)
1/ N

1 + 2 + 1
unde
(3a.20)
=

Pentru un filtru de ordin N, poziia polilor se determin mai uor,


localiznd nti polii pentru un filtru echivalent Butterworth de ordinul N
pe un cerc de raz r1 sau r2 ca n figura 3a.6.

Figura 3a.6 Determinarea poziiei polilor pentru un filtru Cebev de ordin N=3

Dac se noteaz unghiul polilor filtrului Butterworth cu


k = / 2 + (2k + 1) / 2 N
(3a.21)
atunci polii filtrului Cebev se afl pe o elips de coordonate (xk,yk),
k=0,1,...,N-1, unde
x k = r2 cos k , k = 0,1,..., N 1
(3a.22)
y k = r1 sin k , k = 0,1,..., N 1
177

3a.4. Filtre Cebev de tipul II


Funcia de transfer a filtrului Cebev II conine att poli ct i
zerouri i caracteristica sa amplitudine frecven are o comportare
monoton n banda de trecere i prezint ripluri egale n banda de oprire.
Zerourile sunt pe axa imaginar a planului s.
Ptratului modulului funciei de transfer pentru filtrul trece jos
Cebev de tipul II este
1
2
(3a.23),
H ( ) =
C N2 s
p
1 + 2 2 s
CN

unde C N (x) este polinomul Cebev de ordin N i s este frecven


nceputului benzii de oprire.
Caracteristica amplitudine frecven a filtrului Cebev II este
reprezentat n figura 3a.7a pentru N=5 i n 3a.7b pentru N=6.

( )
( )

Figura 3a.7 Modulul funciei de transfer pentru filtrul analogic TJ Cebev de tipul II
(a ) pentru N=5 i (b) pentru N=6

Zerourile filtrului sunt localizate pe axa imaginar la


s
sk = j
, k = 0,1,..., N 1
(3a.24)
sin k
Polii sunt localizai n puncte de coordonate (v k , wk ) , unde
vk =

s xk
x k2 + y k2

, k = 0,1,..., N 1

178

(3a.25)

wk =

s yk
x k2 + y k2

, k = 0,1,..., N 1

(3a.26)

unde coordonatele {x k } i { y k } sunt definite de relaiile (3a.22), cu


dat de relaia
1/ N

1 + 1 2
s

=
(3a.27)

s
s fiind riplul din banda de oprire.
Din cele prezentate pn acum se observ c filtrele Cebev sunt
complet caracterizate de parametrii N , s , i raportul s / p . Date
fiind specificaiile , s i raportul s / p , ordinul filtrului se
determin din condiia | H ( j s |= s .
N=

lg[( 1 2s + 1 2s (1 + 2 ) ) / s ]
lg[( s / p ) + ( s / p ) 2 1]

cosh 1 ( / )
cosh 1 ( s / p )

(3a.28)

Observaie. La aceleai specificaii de proiectare, filtrele Cebev


rezult cu numr de poli mai mic dect filtrele Butterworth. Dac, ns,
se compar un filtru Butterworth cu unul Cebev, avnd acelai numr de
poli i aceleai specificaii n B.T. (Banda de Trecere) i B.O. (Banda de
Oprire), filtrul Cebev are o band de tranziie mai mic.

3a.5. Filtre eliptice


Filtrele eliptice (denumite i filtre Cauer) au o caracteristic de
modul cu ripluri egale n ambele benzi, de trecere i oprire, motiv pentru
care li se mai spune i filtre echiriplu. Aproximarea n sens Cebev a
cerinelor filtrului trece jos ideal este extins la ambele benzi.
Ptratul modulului funciei de transfer are forma
1
2
H ( ) =
(3a.29)
2
1 + FN2 ( )
unde FN ( ) este funcia raional Cebev sau funcia eliptic iacobian,
introdus pentru prima dat de Cauer n teoria circuitelor liniare, i
tabulat de Zverev (1967) [69] iar este un parametru ce depinde de
riplul din banda de trecere. Funcia FN ( ) se exprim astfel:
179

N2
2 i2
C
2
4
2
1
i =1 0 i
FN ( ) = N 1

2
2 i2
C 2

i =1 2 04 i2

pentru N par

(3a.30)
pentru N impar

Din relaia precedent se observ c funcia FN ( ) are polii i


zerourile nebanale n numr egal, polii fiind plasai simetric fa de
zerouri n raport cu frecvena 0 , definit ca medie geometric a
frecvenelor limit ale benzilor de trecere i oprire
02 = p s
(3a.31)
Zerourile filtrului eliptic se gsesc pe axa j a planului s.
n figura 3a.8 este reprezentat ptratul modulului funciei de
transfer pentru un filtru eliptic de ordinul N=4 i N=5.

Figura 3a.8. Caracteristicile de modul ale filtrelor eliptice trece jos analogice : a) N=4,
b) N=5

Din relaiile (3a.29) i (33a.30) se observ c


= i , N par
2
FN ( ) = 0 H ( ) = 1
= 0 i = i , N impar
= 02 i , N par
2
FN ( ) H ( ) = 0
(3a.32)
= 02 i i , N impar
Aceste relaii conduc la urmtoarele observaii:
180

a) pentru N par H ( )

prezint N/2 maxime (de valoare 1) n

banda de trecere i N/2 minime (de valoare 0) n banda de oprire; pentru N


impar sunt (N-1)/2+1=(N+1)/2 maxime n B.T. i tot (N+1)/2 minime n
B.O.
b) numrul total al extremelor locale (minime i maxime) este 2N
pentru [0, ] , N extreme n B.T. i tot N extreme n B.O.
Egalitatea numrului de extreme din cele dou benzi este un
dezavantaj al filtrelor eliptice n sensul c din punct de vedere al
minimizrii erorii maxime (la aproximarea Cebev) ar fi de dorit ca
distribuirea extremelor erorii ntre cele dou benzi s se fac proporional
cu ponderile benzilor n intervalul de aproximare considerat.
Ordinul filtrului necesar ndeplinirii specificaiilor referitoare la
riplul din banda de trecere, p , riplul din banda de oprire, s i raportul
c / s este dat de relaia [58]:
N=

unde K (

K ( p / s )K 1 2s (1 + 2 ) / 1 2s

)(

K s / 1 K 1 ( p / s )
2
s

2
K ( p / s )K 1 ( / ) )

=
2
K ( / )K 1 ( p / s )

)=
(3a.33)

) este integrala eliptic complex de prima spe, definit ca

K (x ) = 2

(3a.34)
12
sin 2
Proiectarea cea mai eficient se produce cnd eroarea de
aproximare este ntins n mod egal peste band de trecere i banda de
oprire. Filtrele eliptice ntrunesc aceste condiii i, n comparaie cu
celelalte tipuri de filtre, pentru aceleai specificaii date, rezult cu ordinul
cel mai mic. Echivalent, pentru un set de specificaii i un ordin dat,
filtrele eliptice au cea mai mic band de tranziie. Filtrele eliptice sunt
considerate optimale (ntocmai ca filtrele FIR proiectate cu metoda de
schimb Remez), n sensul c, pentru un acelai ordin N i aceleai
frecvene limit p , s , realizeaz cele mai mici ripluri p , s ,
0

(1 x

comparativ cu toate celelalte tipuri de filtre.


181

Rspunsul n faz al filtrelor eliptice este puternic neliniar n


banda de trecere, n special spre capetele acesteia, n comparaie cu
celelalte tipuri de filtre, motiv pentru care filtrele Butterworth i Cebev
se prefer n aplicaii care necesit o au caracteristic de faz bun.

182

CAPITOLUL 5

EFECTELE LUNGIMII FINITE A CUVINTELOR


N FILTRAREA DIGITAL
5. 1. Introducere
Teoria filtrelor digitale s-a bazat pe presupunerea c att
semnalele, ct i parametrii filtrelor pot avea orice valoare finit. n
realitate, datorit limitrii lungimilor cuvintelor din orice sistem digital,
sunt permise numai valori discrete ale amplitudinii semnalelor, respectiv
coeficienilor. Lund n consideraie aceste valori discrete n relaiile care
caracterizeaz filtrele, vor rezulta ecuaii neliniare, care, n general, nu vor
putea fi riguros prelucrate.
Implementarea sistemelor discrete, fr a considera efectele
lungimii finite a cuvintelor, inerente n orice implementare digital, a
condus la obinerea unor caracteristici liniare. De fapt, au fost analizate
sisteme modelate liniar, dar ale cror realizri digitale sunt implicit
neliniare. Aceast problem reprezint un dezavantaj major al filtrelor
digitale i, prin urmare, analiza efectelor lungimii finite a cuvintelor
asupra performanelor filtrelor constituie o etap important n proiectarea
filtrelor digitale.
n cazul filtrelor recursive, caracteristicile neliniare rezultate din
operaia de cuantizare din multiplicatoare, pot cauza un comportament
oscilatoriu la ieirea filtrelor, chiar i n absena semnalului de intrare.
Mai mult, n sumatoare poate aprea depirea aritmetic care produce, de
asemenea, oscilaii la ieire.
n cazul calculatoarelor care lucreaz cu lungimi mari ale
cuvintelor (adic au un numr mare de bii disponibili pentru
reprezentarea numerelor), efectele cuantizrii pot fi nesemnificative.
Acestea cresc cu descreterea numrului de bii. Din acest motiv sunt
necesare modele matematice care s permit estimarea efectelor lungimii
finite a cuvintelor asupra performanelor filtrelor. Un model simplu este
251

cel care se bazeaz pe presupunerea c erorile de cuantizare sunt mici n


comparaie cu nivelul semnalului sau al parametrului, adic este o
cuantizare fin n care erorile pot fi tratate ca zgomot i problema
devine liniar [23].
Principalele tipuri de erori de cuantizare care apar n filtrarea
digital sunt:
1. Erori de cuantizare ale semnalului de intrare n conversia
analog digital (A/D);
2. Erori rezultate din cuantizarea coeficienilor filtrelor
digitale;
3. Erori rezultate din rotunjirea produselor;
4. Depirea aritmetic;
5. Oscilaii cu cicluri limit.
Dintre aceste tipuri de efecte, erorile de cuantizare ale semnalului
de intrare au loc n afara filtrului, naintea calculelor interne, restul
efectelor sunt interne filtrului i influeneaz metoda prin care sistemul va
fi implementat.
De exemplu, pentru un filtru digital de ordinul nti
y[n] = Ay[n 1] + x[n]
(5.1)
eroarea de tipul 1 se refer la cuantizarea intrrii x[n] , eroarea de tipul 2
apare n reprezentarea parametrului A iar cea de tipul 3 apare la formarea
produsului Ay[n 1] , necesar la fiecare iteraie.
Elementul de baz dintr-un calculator numeric este circuitul cu
dou stri echiprobabile, cruia i se asociaz o informaie de 1 bit. N
astfel de dispozitive pot fi cascadate pentru a forma un registru care
conine N bii de informaie. Implementarea unui filtru digital recursiv de
ordinul nti descris de ecuaia (5.1) i redat n figura 5.1, ilustreaz cele
mai importante operaii ce trebuie efectuate.
Ieirea anterioar y[n 1] este stocat n registrul de ieire sub
forma unui numr pe N bii. Acesta este multiplicat cu numrul pe N bii
care reprezint coeficientul A care a fost stocat n registrul pentru
coeficieni. Produsul A y[n 1] (dup rotunjire la N bii) este adunat la
intrarea curent x [n] (de asemenea un numr pe N bii) pentru a forma
ieirea actual y[n] care este stocat pentru multiplicare cu A n iteraia
urmtoare. ntreaga procedur ncepe cu o valoare iniial y[1] stocat
n registrul de ieire. Aceasta poate fi sau nu, egal cu zero. Filtrele de
ordin superior pot fi implementate ntr-un mod similar.
252

Figura 5.1. Implementarea unui filtru recursiv de ordinul nti

Diferitele structuri de implementare ale unui sistem descris de


ecuaii cu diferene cu coeficieni constani sunt echivalente dac
furnizeaz aceeai ieire pentru o intrare dat, presupunnd calculele
interne ca fiind efectuate cu precizie infinit. Acestea nu sunt echivalente
cnd sunt realizate cu precizie finit.
Trei factori importani contribuie la alegerea unei anumite realizri
a filtrelor:
- complexitatea calculelor,
- necesarul de memorie,
- efectele lungimii finite a cuvintelor.
Efectul lungimii finite a cuvintelor reprezint un factor important
n implementarea sistemelor digitale de prelucrare a semnalelor i trebuie
luat n calcul la realizarea filtrelor digitale, deoarece limitarea numrului
de bii conduce la degradarea performanelor filtrelor digitale. nainte de a
examina aceste efecte, se va prezenta o scurt introducere n aritmetica
digital.

5.2.

Reprezentarea numerelor

n procesarea digital a semnalelor analogice, eantioanele


semnalului analogic sunt reprezentate n format digital. n principiu,
procesul de conversie A/D implic eantionarea semnalului analogic i
reprezentarea eantioanelor ca secvene de bii care definesc amplitudinea
cuantizat a semnalului. Principala caracteristic a aritmeticii digitale
const n numrul limitat (de obicei fix) de bii folosii n reprezentarea
numerelor. Aceast constrngere are ca rezultat precizia finit a
253

calculelor, care conduce la erori i efecte neliniare n comportamentul


filtrelor digitale.
n cadrul reprezentrii binare a numerelor reale sunt mai multe
metode prin care un eantion al unui semnal analogic poate fi reprezentat
n format binar. Clasa reprezentrilor binare poate fi mprit n
reprezentrile n virgul fix, virgul mobil i virgul mobil cu blocuri.

5.2.1. Reprezentarea numerelor n virgul fix


Reprezentarea numerelor n virgul fix este generalizarea
reprezentrii zecimale, n care numerele din stnga virgulei reprezint
partea ntreag a numrului, iar cele din dreapta virgulei, partea
fracionar.
x = (b a

b1 b0 ,

bb ) r =

b r

i = a

0 bi (r 1)

(5.2)

unde bi reprezint cifra, r baza, a+1 numrul de cifre ale prii ntregi
i b numrul de cifre ale prii fracionare.
Datorit vitezei i costului sczut al prii hard asociate,
reprezentarea n virgul fix este deseori preferat n computere mai puin
performante i n circuite dedicate care lucreaz n timp real. Cea mai
cunoscut reprezentare este cea pentru care r=2, n care numerele bi se
numesc numere binare sau bii i pot lua valorile {0,1}, obinndu-se
codul binar natural direct. Virgula binar dintre b0 i b1 nu exist fizic
n calculator. Circuitele logice ale acestuia sunt proiectate astfel nct
calculele s aib ca rezultat numere ce corespund poziiei virgulei binare.
Totui, n cele ce urmeaz, se va folosi virgula pentru a sublinia caracterul
fracionar al numrului reprezentat.
Folosind un format ntreg pe n bii (a=n-1, b=0), se pot reprezenta
ntregi fr semn cuprini n domeniul 0 (2n-1). De obicei se folosete
formatul fracionar (a=0, b=n-1), cu virgula binar ntre b0 i b1, care
permite reprezentarea numerelor n domeniul 0 (1 - 2-n).
Indiferent dac codul binar reprezint o fracie, un ntreg, sau
ambele, primul bit din stnga este numit cel mai semnificativ bit (most
significant bit, MSB) iar bitul cel mai din dreapta, cel mai puin
semnificativ bit (least significant bit, LSB). n reprezentarea unei fracii,
MSB are o pondere de 2-1=1/2 iar LSB are o pondere de 2-b=1/2b, unde b
este numrul de bii pe care este reprezentat fracia. Ponderea 2-b=1/2b
desemnat de LSB este numit i rezoluie.
254

Orice ntreg sau numr cu parte ntreag i fracionar poate fi


reprezentat n format fracionar prin factorizarea termenului ra n relaia
(5.2). n aceast notaie un cuvnt de cod de a+1 bii, cum ar fi 10011,
corespunde numrului ntreg

A = 1 20 + 1 21 + 0 22 + 0 23 + 1 24 = 1 + 2 + 16 = 19
Pe de alt parte, numrul 0,10011 reprezint o fracie
corespunztoare numrului zecimal
1 1
1 19
B = 1 2 1 + 0 2 2 + 0 2 3 + 1 2 4 + 1 2 5 = + +
=
2 16 32 32
Se observ c o deplasare a virgulei binare spre stnga cu n poziii
corespunde unei mpriri a numrului cu 2n, iar o deplasare a virgulei
binare spre dreapta cu n poziii corespunde unei nmuliri a numrului cu
2n.
Pentru a transforma un numr zecimal n corespondentul su
binar, se procedeaz astfel: se divide n mod repetat numrul zecimal din
stnga virgulei la 2, reinndu-se restul. Acesta, scris n ordine invers (de
la dreapta spre stnga) este reprezentarea binar a prii ntregi. Partea din
dreapta virgulei se multiplic n mod repetat cu 2, nlturnd de fiecare
dat partea zecimal i reinnd partea ntreag. Scriind aceasta n ordine
normal, (de la stnga la dreapta), se obine reprezentarea binar a prii
fracionare.
Exemplul 5.1.
S se transforme numrul zecimal 627,625 n format binar.
Soluie.
Partea ntreag
Partea zecimal
627 : 2 = 313
1
0.625 x 2 = 1.250
1
313 : 2 = 156
1
0.250 x 2 = 0.500
0
156 : 2 = 78
0
0.500 x 2 = 1.000
1
78 : 2 = 39
0
0.000 x 2 = 0.000
0
39 : 2 = 19
1
19 : 2 = 9
1
9:2= 4
1
4:2= 2
0
2:2= 1
0
1:2= 0
1
Prin urmare (627,625)10 = (1001110011,101)2
Operaiile cu numere binare se execut similar celor zecimale.
255

1. Adunarea
0+0=0
0+1=1
1+0=1
1 + 1 = 0 se transport 1
2. Scderea
00=0
10=1
0 1 = 1 se import 1
11=0
3. Multiplicarea
0x0=0
1x0=0
0x1=0
1x1=1
4. mprirea
1:1=1
0:1=0
mprirea la 0 nu este definit.
Aritmetica n virgul fix este potrivit att pentru operaii cu
numere ntregi, ct i fracionare.
Dac este necesar rotunjirea produsului a dou numere, este mai
bine a se limita reprezentarea n virgul fix a numerelor fracionare,
dect a celor care au att parte ntreag, ct i fracionar, deoarece
reducerea numrului de bii ai prii ntregi ar cauza erori mari.
n conversia semnalelor analogice bipolare, este necesar un bit
adiional pentru a purta informaia de semn. De obicei cel mai
semnificativ bit este rezervat semnului numrului, cu convenia ca zero s
indice un numr pozitiv, iar unu, un numr negativ. Rezultatul este un cod
bipolar. Exist mai multe posibiliti de reprezentare a codurilor bipolare
binare, alegerea dintre acestea fcndu-se n funcie de avantajele i
dezavantajele pe care le prezint fiecare pentru aplicaia respectiv. Patru
metode sunt frecvent folosite pentru reprezentarea numerelor bipolare. n
continuare se va considera c numerele sunt reprezentate pe N=b+1 bii,
din care unul pentru semn.
Formatul mrime cu semn sau semn valoare este cea mai simpl
metod pentru reprezentarea numerelor cu semn n format digital. Un zero
n poziia MSB reprezint un numr pozitiv, iar un unu n aceeai poziie
256

reprezint un numr negativ. Restul de b bii reprezint modulul sau


amplitudinea numrului.
n cazul numerelor fracionare, reprezentarea mrime cu semn
pentru un numr pozitiv x 0 este de forma
( x) ms = 0, b1b2 ...bb ,
(5.3)
iar pentru numrul negativ x N = x = 0, b1b2 bb , de forma
( x N ) ms = 1, b1b2 ...bb ,
(5.4)
Aa cum s-a precizat deja, virgula nu exist fizic n reprezentarea
numrului, dar, n cele ce urmeaz va fi utilizat pentru a specifica
numerele fracionare. Se observ c n acest format zero are dou
reprezentri: 0,00 i 1,000.
Valoarea zecimal a unui numr fracionar pozitiv este
b

(x )ms = bi 2 i ,

(5.5)

i =1

iar a unui numr fracionar negativ este


b

( x N ) ms = bi 2 i .

(5.6)

i =1

Modulul unui numr fracionar reprezentat n formatul mrime cu


semn este dat de
b

x = x N = bi 2 i .

(5.7)

i =1

Reprezentarea n complement fa de unu este identic celei n


reprezentarea mrime cu semn pentru numere pozitive, dar difer prin
modul cum sunt formate numerele negative. n acest format, un numr
negativ este obinut prin complementarea numrului pozitiv
corespunztor.
n cazul formatului fracionar, numerele pozitive se reprezint ca
n relaia (5.3), iar cele negative x N = x = 0, b1b2 bb sub forma
( x N )1C = 0, b1 b2 ...bb = 1, b1 b2 ...bb
(5.8)
Plecnd de la relaia (5.8), reprezentarea n complement fa de
unu a unui numr negativ fracionar mai poate fi exprimat n forma
b

( x N )1C = 1 2 0 + (1 bi )2 i = 2 2 b x

(5.9)

i =1

Se observ ambiguitate n reprezentarea lui zero, ca 0,00 sau 1,11.


257

Modulul numrului negativ b0 , b1b2


fa de unu este

bb reprezentat n complement

x N = 1 bi 2 i 2 b

(5.10)

i =1

Valoarea zecimal a numrului negativ b0 , b1b2


complement fa de unu este

bb reprezentat n

((x N )1C )10 = 1 + bi 2 i + 2 b

(5.11)

i =1

Spre exemplu, reprezentarea lui 3/8 este 1,100, care este


complementul fa de unu al lui 0,011 (3/8).
Reprezentarea n complement fa de doi este identic cu formatul
mrime cu semn n cazul numerelor pozitive. Prin urmare numerele
pozitive sunt reprezentate cu un zero n poziia bitului de semn. Pentru a
obine reprezentarea n complement fa de doi a unui numr negativ, se
scrie modulul acestuia n formatul mrime cu semn, se inverseaz biii
acestei reprezentri i se adun o unitate logic n poziia LSB.
Similar, un numr fracionar pozitiv se reprezint sub forma (5.3),
iar numrul fracionar negativ x N = x = 0, b1b2 bb , sub forma
( x N ) 2 c = 0, b1 b 2 b b + 0,0 01
(5.12)
Semnul + indic adunarea modulo 2 care ignor bitul de transport, dac
acesta este prezent n MSB.
Plecnd de la relaia (5.12), reprezentarea n complement fa de
doi a unui numr fracionar negativ mai poate fi exprimat n forma

( x N )2 C

= 1 + (1 bi )2 i + 2 b = 2 x ,

(5.12)

i =1

adic, un numr fracionar negativ este complementul fa de doi al


numrului pozitiv corespunztor, care se obine scznd numrul pozitiv
din 2, reprezentat n binar. De aici provine denumirea formatului.
Din (5.9) i (5.12) rezult
(x N )2C = (x N )1C + 2 b
(5.13)
Valoarea zecimal a unui numr b0 , b1b2 bb reprezentat n
complement fa de doi, este
b

( x 2C )10 = b0 2 0 + bi 2 i
i =1

258

(5.14)

unde b0 = 0 , pentru numere pozitive i b0 = 1 , pentru numere negative.


Modului numrului negativ reprezentat n complement fa de doi
este
b

x N = 1 bi 2 i

(5.15)

i =1

De exemplu, reprezentarea n complement fa de doi a numrului


3/8 se obine din complementarea lui 0,011 (3/8), rezultnd 1,100, i
apoi adugnd 0,001. Rezultatul final este 1,101.
Codul binar deplasat sau offsetul binar este similar codului binar
direct, obinndu-se din acesta prin deplasarea n domeniul valorilor
negative cu jumtate din ntreaga scal. Cu b+1 bii se pot reprezenta 2b+1
numere. Pentru un cod bipolar exist 2M numere, cu M=2b, cuprinse n
intervalul -2b (2b -1) pentru numere ntregi i n intervalul -1(1-2-b)
pentru numere fracionare. n acest format cel mai mic numr negativ este
reprezentat de un numr format din b+1 bii de zero iar cel mai mare
numr pozitiv este format din b+1 bii de unu. n acest caz zero are o
singur reprezentare i, prin urmare, se evit ambiguitatea ntlnit la
formatul mrime cu semn. Marele dezavantaj al acestei notaii este dat de
posibilele erori ce pot aprea la citirea MSB-ului, n loc de unu, zero sau
invers, rezultnd o eroare de amplitudine mare.
Dac se compar formatul complement fa de doi i offsetul
binar, se constat c ele difer prin MSB si, prin urmare, este uor a se
trece de la o reprezentare la alta.
n Tabelul 5.1 sunt date codurile bipolare prezentate pentru
reprezentarea numerelor ntregi pe 4 bii, dintre care unul pentru semn.
TABEL 5.1 Coduri bipolare
Ofset
Numr
Formatul
mrime cu binar
semn
7
0111
1111
6
0110
1110
5
0101
1101
4
0100
1100
3
0011
1011
2
0010
1010
1
0001
1001
0
0000
1000
259

Complement Complement
fa de doi
fa de unu
0111
0110
0101
0100
0011
0010
0001
0000

0111
0110
0101
0100
0011
0010
0001
0000

0
-1
-2
-3
-4
-5
-6
-7
-8

1000
1001
1010
1011
1100
1101
1110
1111
-

1000
0111
0110
0101
0100
0011
0010
0001
0000

0000
1111
1110
1101
1100
1011
1010
1001
-

1111
1110
1101
1100
1011
1010
1001
1000
-

n Tabelul 5.2 sunt date, comparativ, diferite reprezentri ale


numerelor fracionare pentru o lungime de 3 bii a cuvintelor.
Tabelul 5. 2
Echivalentul zecimal folosind reprezentarea
Numr
Mrime i Complement
Complement
binar
semn
fa de 1
fa de 2
0,11
3/4
3/4
3/4
0,10
2/4
2/4
2/4
0,01
1/4
1/4
1/4
0,00
0
0
0
1,00
-0
-3/4
-4/4=-1
1,01
-1 / 4
-2/4
-3/4
1,10
-2/4
-1/4
-2/4
1,11
-3/4
-0
-1/4

Din tabel se observ, aa cum s-a mai specificat, c exist dou


reprezentri pentru zero n format mrime cu semn i complement fat de
1 i nici o reprezentare pentru 1. Formatul complement fa de 2 are o
singur reprezentare pentru 0 i poate reprezenta numere cuprinse ntre 1
i 1 2 2 sau, n general, ntre 1 i 1 2 ( N 1) pentru un registru de N
bii. Reprezentarea n complement fa de 2 este adesea utilizat n
implementarea filtrelor digitale datorit uurinei efecturii operaiilor de
adunare i scdere, caz n care desczutul se adun cu complementul fa
de doi a scztorului.
Diferena dintre numrul maxim i cel minim ce poate fi
reprezentat se numete domeniu dinamic.
260

Exemplul 5.2.
Folosind reprezentarea n complement fa de 2 pe 4 bii s se
efectueze operaiile a) A - B i b) B - A unde A = 0,250 i B = 0,625
Soluie
a)
zecimal
complement fa de 2
0,250 0,010 +
0,625
1,011
-0,375
1,101 = - 0,375

b)

0,650 0,101 +
0,250
1,110
0,375
0,011 = 0,375
Se observ c n reprezentarea n complement fa de 2 bitul de
transport n poziia cea mai semnificativ este neglijat.
Adunarea i scderea n complement fa de 1 sunt similare, dar
bitul de transport din poziia cea mai semnificativ este deplasat n poziia
celui mai puin semnificativ bit.
4 3 1
De exemplu, = . n formatul complement fa de unu,
8 8 8
transportul din MSB, dac este prezent, este purtat spre LSB. Astfel,
4 3 1
calculul = devine 0,100 1,100=0,000 0,001=0,001.
8 8 8
Adunarea i scderea n sistemul mrime cu semn sunt mai
complexe i, ca urmare, acesta este folosit mai mult la multiplicare, care
se efectueaz prin multiplicarea modulelor i stabilind semnul produsului.
Exemplul 5.3.
S se multiplice numerele 0,625 i 0,250 folosind reprezentarea
mrime cu semn.
Soluie.
Zecimal
Mrime cu semn
0,625
0,101
0,250
0,010
0000
000
3125
101
1250
000
0,156250
0,001010 = 0,156250
Multiplicarea n aritmetica complement fat de 1 i fa de 2 este
mai dificil i necesit un hard sau algoritmi speciali.
261

Dac rezultatul unei operaii aritmetice depete numrul maxim


ce poate fi reprezentat pe b bii, apare depirea. n procesarea digital se
folosete, de obicei, formatul fracionar, numerele care reprezint
mrimile ce intervin n procesare i rezultatele operaiilor aritmetice sunt
scalate, astfel nct modulul lor s nu depeasc valoarea 1.
La multiplicarea numerelor fracionare, nu exist probleme de
depire n cele trei aritmetici. Depirea poate aprea numai cnd suma
numerelor fracionare este mai mare dect 1. Dac depirea apare ntr-o
etap intermediar a adunrii, n final nu va exista depire, cu condiia ca
valoarea absolut a rezultatului final s fie subunitar.

Exemplul 5.4.
S se adune 0,3125 + 0,7500 + (-0,6250) folosind aritmetica n
complement fat de 1 pe cinci bii.
Soluie.
zecimal
complement fa de 1
0,3125
0,0101
+0,7500
0,1100
1,0625
1,0001
incorect, MSB = 1 implic numr negativ
-0,6250
1,0101
0,4375
0,0111
ultimul 1 se datoreaz transportului
Exemplul 5.5.
7
7
i n formatele: mrime cu semn,
8
8
complement fa de 1 i complement fa de 2.
7
Soluie. x = , este reprezentat ca 2-1+2-2+2-3, care, n formatul
8
7
mrime cu semn conduce la x = 0,111 , iar x = este reprezentat ca x =
8
7
1,111. Reprezentarea n complement fa de unu i fa de doi a lui x =
8
este aceeai ca formatul mrime cu semn, adic x = 0,111 . Reprezentarea
7
n complement fa de unu a lui x =
este x1C = 1,000 i n
8
complement fa de doi este x 2C = 1,000 + 0,001 = 1,001 .
S se exprim fraciile

262

Dei sunt posibile o mare varietate de alte reprezentri n virgul


fix, cele descrise anterior sunt cele mai utilizate n practic. Cele mai
multe procesoare de semnal n virgul fix folosesc aritmetica n
complement fa de doi. Aritmetica complementului fa de doi este de
fapt aritmetica modulo-2b+1 (adic orice numr care depete domeniul,
este redus la acest domeniu, prin scderea celui mai apropiat multiplu de
2b+1).
La adunarea sau scderea a dou numere n virgul fix, fiecare de
b bii lungime (cu un bit adiional de semn), rezultatul este un numr de b
bii. Dac rezultatul adunrii depete cel mai mare numr care poate fi
reprezentat pe b bii, apare depirea. Singura metod pentru evitarea
acestei probleme este creterea numrului de bii din acumulator i, prin
urmare, creterea gamei dinamice care poate fi acoperit.
n general, nmulirea a dou numere n virgul fix, fiecare n
lungime de b bii, are ca rezultat un produs de lungime 2b bii. n
aritmetica cu virgul fix, produsul este de obicei trunchiat sau rotunjit la
b bii, ceea ce conduce la o eroare de trunchiere sau rotunjire cauzat de
eliminarea celor mai puin semnificativi b bii.
Depirea n cazul adunrii numerelor n reprezentarea n
aritmetica n virgul fix este un dezavantaj cauzat de domeniul dinamic
redus. Aritmetica n virgul mobil nu prezint acest dezavantaj.

5.2.2. Reprezentarea numerelor n virgul mobil


Reprezentarea n virgul fix a numerelor, permite acoperirea unui
domeniu dinamic, xmax-xmin cu o rezoluie
x max x min
,
(5.16)
m 1
unde m=2b+1 este numrul de nivele, iar b+1 numrul de bii. O
caracteristic de baz a reprezentrii n virgul fix este c rezoluia este
fix. n plus, crete direct proporional cu creterea domeniului
dinamic.
Reprezentarea n virgul mobil poate fi folosit ca o metod de
acoperire a unui domeniu dinamic mai larg. Reprezentarea n virgul
mobil cel mai des ntlnit n practic const dintr-o mantis M, care este
partea fracionar a numrului i se ncadreaz n domeniul 1/2 M < 1,
nmulit cu factorul exponenial 2E unde exponentul E este un ntreg
pozitiv sau negativ. Un numr X, este reprezentat ca: X = M 2 E .
=

263

Figura 5.2 Reprezentarea n virgul mobil

Mantisa i exponentul necesit fiecare cte un bit de semn pentru


reprezentarea numerelor pozitive sau negative. Deoarece mantisa este o
fracie cu semn, se poate folosi oricare din reprezentrile n virgul fix
descrise anterior.
De exemplu, numrul X1=5 este reprezentat de urmtoarea mantis
si exponent:
M1=0,101000
E1=011
3
n timp ce numrul X2= este reprezentat de urmtoarea mantis i
8
exponent:
M2=0,110000
E2=101
Dac cele dou numere se nmulesc, mantisele sunt nmulite i
exponenii adunai. Prin urmare produsul celor dou numere date mai sus
este:
X 1 X 2 = M 1 M 2 2 E1 + E2 = (0,011110) 2 010 = (0,111100) 2 001
mprirea a dou numere reprezentate n virgul mobil se
efectueaz prin mprirea mantiselor i scderea exponenilor.
X 1 M 1 ( E2 E2 )
=
2
X2 M2
Adunarea a dou numere n virgul mobil necesit ca exponenii
s fie egali. Aceasta se poate obine deplasnd virgula binar a mantisei
celui mai mic numr spre stnga i compensnd prin creterea
corespunztoare a exponentului. Atunci numrul X2 poate fi exprimat n
forma
M2=0,000011
E2=011
Cu E1=E2, se pot aduna cele dou numere X1 i X2. Rezultatul este
264

X 1 + X 2 = (0,101011) 2 011
Se observ c operaia de deplasare, impus de egalarea
exponenilor lui X2 i X1, poate conduce la o precizie mai mic n
reprezentarea lui X2. n exemplul anterior, mantisa pe ase bii a fost
suficient de lung pentru a se face deplasarea a patru bii la dreapta pentru
M2, fr a pierde nici unul. Totui o deplasare a cinci bii va cauza
pierderea unui singur bit iar deplasarea a ase bii va conduce la mantisa
M2=0,000000; de aceea aceasta va trebui rotunjit dup deplasare astfel
nct M2=0,000001.
Eroarea de depire apare la multiplicarea a dou numere n
virgul mobil cnd suma exponenilor depete domeniul dinamic al
reprezentrii n virgul fix a exponentului.
Comparnd reprezentarea n virgul fix cu cea n virgul mobil,
cu acelai numr total de bii, rezult c reprezentarea n virgul mobil
permite acoperirea unui domeniu mai larg prin varierea rezoluiei n acel
interval. Rezoluia scade odat cu creterea mrimii numerelor succesive.
Cu alte cuvinte, distana succesiv dintre dou numere reprezentate n
virgul mobil crete odat cu creterea numerelor n mrime. Astfel,
pentru acoperirea aceluiai domeniu dinamic cu ambele reprezentri, n
virgul fix i virgul mobil, reprezentarea n virgul mobil ofer
rezoluie fin pentru numere mici, dar rezoluie slab pentru numere mari,
spre deosebire de reprezentarea n virgul fix, care ofer o rezoluie
uniform n reprezentarea numerelor.
De exemplu, pentru un calculator care lucreaz pe 32 bii, este
posibil reprezentarea a 232 numere. Dac se dorete reprezentarea
ntregilor pozitivi ncepnd cu zero, cel mai mare numr ntreg ce poate fi
reprezentat este: 232-1=4.294.967.295. Distana dintre dou numere
succesive (rezoluia) este 1. Altfel, se poate folosi bitul cel mai din stnga
ca bit de semn i ceilali 31 de bii rmai pentru valoare. ntr-un astfel de
caz reprezentarea n virgul fix permite acoperirea domeniului
-(231-1)= -2.147.483.647 la (231-1)= 2.147.483.467
tot cu o rezoluie de 1. Dac, ns, se aloc 10 bii pentru partea
fracionar, 21 de bii pentru partea ntreag i un bit pentru semn, aceast
reprezentare permite acoperirea domeniul dinamic:
(2 31 1) 2 10 = (2 21 2 10 ) la
(2 31 1) 2 10 = 2 21 2 10 adic
de la
-2.097.151,999 la 2.097.151,999

265

n acest caz, rezoluia este 2-10. Prin urmare domeniul dinamic a


fost sczut cu un factor de aproximativ 1000 (210 mai exact), n timp ce
rezoluia a crescut cu acelai factor.
Pentru comparaie, se presupune c cei 32 bii ai cuvntului sunt
folosii pentru a reprezenta numere n virgul mobil astfel: mantisa pe 23
de bii plus un bit de semn i exponentul cu 7 bii plus un bit de semn.
Cel mai mic numr, n modul, va avea reprezentarea:
semn

23 bii

semn

7 bii

1
x 2-127 0,3 x 10-38
2
n cealalt extrem, cel mai mare numr care poate fi reprezentat
cu acest format n virgul mobil este:
semn
23 bii
semn 7 bii
0,
11.....1
0
1111111 = (1-2-23) x 2127 1,7 x 1038
0,

100.....0

1111111 =

S-a obinut un domeniu dinamic de aproximativ 1076, dar cu o


rezoluie variabil, adic rezoluie fin pentru numere mici i rezoluie
slab pentru numere mari.

5.2.3. Reprezentarea n virgul mobil pe bloc


Acest mod de reprezentare a numerelor este un hibrid ntre
sistemele cu virgul fix i cele cu virgul mobil. n acest caz, n loc ca
fiecare numr s fie reprezentat individual, ca n cazul sistemelor cu
virgul mobil, un bloc sau un ir de numere are un exponent fix asociat.
Acest exponent fix este obinut din examinarea tuturor numerelor din bloc
i reprezentarea celui mai mare numr ca un numr cu virgul mobil cu o
mantis normalizat. Avantajul unui astfel de sistem const n folosirea
unui singur exponent pentru un bloc mare de numere. Astfel sistemul este
potrivit pentru implementarea algoritmilor ce necesit un volum mare de
calcule.
5.3. Efectele cuantizrii n conversia A/D a semnalelor

Operaiile de baz ndeplinite de un convertor A/D sunt:


1. S eantioneze semnalul n mod periodic i cu rat de
eantionare suficient de mare pentru a evita eroarea alias;
2. S cuantizeze amplitudinea eantioanelor ntr-un set discret
de nivele.
266

Prin urmare, dintr-un semnal analogic xa(t) eantionat cu frecvena


Fs=1/T, unde T este perioada de eantionare, va rezulta o secven
x[n]=xa(nT), a crei amplitudine este cuantizat, rezultnd secvena
x q [n] Q[ x[n]]

(5.17)

unde x q [n] reprezint semnalul cuantizat, iar Q[] operaia de cuantizare.


Dac un semnal al crui domeniu dinamic este R urmeaz a fi
reprezentat pe N=b+1 bii, numrul nivelelor de cuantizare ce pot fi
reprezentate este de 2 b +1 . n reprezentarea n virgul fix b bii dau 2 b
valori ale amplitudinii iar un bit d informaia de semn. Distana dintre
R
dou nivele adiacente sau pasul de cuantizare este = b +1 [63].
2
n reprezentarea n virgul fix a numerelor fracionare, dac
domeniul dinamic depete 1, de multe ori este necesar scalarea
semnalului, caz n care pasul de cuantizare al semnalului scalat este redus
2
corespunztor la 1 = N = 2 b .
2
Exemplul 5. 6.
S se determine nivelele de cuantizare ale unui semnal continuu cu
domeniul dinamic 20V dup ce a fost eantionat i apoi procesat cu un
convertor A/D pe N=4 bii.
Soluie. Pasul de cuantizare pentru semnalul nescalat este
40
= 4 = 2,5V . Pasul de cuantizare pentru semnalul scalat la domeniul
2
2
1 este 1 = 4 = 0,125V care este 2 b = 2 3 , adic valoarea
2
corespunztoare unui 1 n poziia bitului cel mai puin semnificativ.

5.3.1. Cuantizarea semnalului de intrare. Erori rezultate


din rotunjire i trunchiere
n executarea calculelor folosind aritmetica n virgul fix sau
mobil, apare problema cuantizrii numerelor prin trunchiere sau rotunjire
de la o reprezentare pe un anumit numr de bii bn (posibil a fi, la limit,
i infinit n cazul unui eantion al unui semnal analogic) la o alta, pe un
numr mai mic de bii, b. Dac valoarea semnalului se afl ntre dou
nivele, aceasta poate fi aproximat fie prin cel mai apropiat nivel superior,
267

fie prin cel mai apropiat nivel inferior. Efectul cuantizrii este c
introduce o eroare a crei valoare depinde de numrul de bii din numrul
original i de numrul de bii de dup cuantizare.
Sunt trei metode de cuantizare frecvent folosite:
- Rotunjirea, caz n care valoarea semnalului este aproximat de
cel mai apropiat nivel de cuantizare.
- Trunchierea, caz n care valoarea semnalului este aproximat
de cel mai mare nivel care este inferior sau egal valoric cu
eantionul semnalului.
- Trunchierea semn valoare, care este asemntoare cu
trunchierea pentru numere pozitive, dar valorile negative ale
semnalului sunt aproximate de cel mai apropiat nivel de
cuantizare mai mare sau egal cu semnalul.
Aceste descrieri se aplic cuantizrii n aritmetica n virgul fix.
Cele dou metode de trunchiere rezult din tratrile diferite ale numerelor
negative n reprezentrile: mrime cu semn, complement fat de 1,
complement fat de 2.
La un moment dat, nT, eroarea datorat cuantizrii este
Ei = Qi [ x[n]] x a (nT ) = x qi x a
(5.18)

unde i = r n cazul rotunjirii i i = t n cazul trunchierii, x a = x a (nT )


reprezint valoarea necuantizat a semnalului reprezentat pe bn +1 bii,
iar Qi [ x[n]] = x qi , valoarea cuantizat a semnalului reprezentat pe b+1
bii.
Rotunjirea
n cazul rotunjirii

E r , = 2 b
(5.19)
2
2
i x a este reprezentat n figura 5.3

E r = Qr [ x[n]] x a (nT ) = x qr x a i
Relaia neliniar dintre x qr

unde x a este un semnal cu amplitudine continu ( bn = ).


n reprezentarea n virgul fix, eroarea de rotunjire satisface
relaia (5.19), indiferent de aritmetica folosit pentru reprezentarea
numerelor negative, deoarece rotunjirea este independent de semn, ea
depinznd numai de mrimea numrului.

268

Figura 5.3 Relaia dintre valorile cuantizate i necuantizate n cazul rotunjirii

n reprezentarea n virgul mobil, mantisa este cea trunchiat sau


rotunjit.
Dac
xa = M a 2 E
(5.20)
Qr [ x[n]] = M 2 E
(5.21)
i
E
E r = Qr [ x[n]] x a = (M M a ) 2
atunci
(5.22)
Dar pentru rotunjire
2 M Ma 2
(5.23)
i atunci din relaia (5.19) rezult
2 E 2 Er 2 E 2 ,
(5.24)
care d eroarea absolut n virgul mobil datorat cuantizrii mantisei.
Se definete eroarea relativ , astfel nct
Qr [ x[n]] = x a (1 + )
(5.25)
Datorit rezoluiei neuniforme, eroarea corespunztoare
reprezentrii n virgul mobil este proporional cu numrul, adic
Er = xa
(5.26)
i relaia (5.24) devine
2 E 2 xa 2 E 2
(5.27)
sau
2 E 2 M a 2 E 2 E 2
(5.28)
adic
2 M a 2
(5.29)
Mantisa satisface relaia
1
Ma <1
(5.30)
2
269

Dac M a =
relative ca fiind

1
, din (5.29) se obine domeniul maxim al erorii
2

(5.31)

Trunchierea
Dac metoda de cuantizare este trunchierea, numrul este
aproximat n aritmetica n virgul fix, prin cel mai mare nivel care este
mai mic sau egal cu valoarea semnalului. Trunchierea numerelor pozitive,
negative i relaia neliniar dintre x qt i x a sunt reprezentate n figura 5.4,

unde x a este un semnal cu amplitudine continu.

Figura 5.4. Relaia dintre valorile cuantizate i necuantizate n cazul trunchierii


a) pentru numere pozitive, b) pentru numere negative, c) caracteristica de trunchiere n
complement fa de 2

Eroarea de trunchiere Et = Qt [ x[n]] x a este negativ sau zero.


< Et 0
(5.32)
Acest lucru este valabil pentru toate numerele pozitive reprezentate n
formatul mrime cu semn, complement fa de 1 i complement fa de 2.
n continuare se examineaz trunchierea numerelor negative
reprezentate n diverse formate. Fie nti reprezentarea n complement fat
de 2. Se consider c numrul ce urmeaz a fi trunchiat este reprezentat
270

pe bn +1 bii (la limit, se poate considera c bn = pentru eantioane ale


unui semnal analogic). Modulul acestui numr negativ este
bn

A1 = 1 bi 2 i

(5.33)

i =1

Dac acesta este trunchiat la b bii, modulul numrului devine


b

A = 1 bi 2 i

(5.34)

i =1

Diferena de mrime a modulului numrului negativ rezultat prin


trunchiere este
bn

A A1 = bi 2 i bi 2 i =
i =1

i =1

bn

b 2

i =b +1

(5.35)

Deoarece modulul crete prin trunchiere, numrul negativ


reprezentat n complement fa de 2 devine mai mic. Valoarea maxim a
modulului erorii se obine cnd toi coeficienii bi sunt egali cu 1, caz n
care
A A1 = 2 b 2 bn < ,
(5.36)
b
deoarece = 2 . Prin urmare, n reprezentarea n complement fat de 2,
eroarea se situeaz n domeniul
< Et 0
(5.37)
Situaia descris anterior este reprezentat n figura 5.4.
n cazul reprezentrii numerelor negative n complement fa de 1
pe bn +1 bii, modulul numrului negativ este
bn

A1 = 1 bi 2 i 2 bn

(5.38)

i =1

Prin trunchierea la b+1 bii, modulul numrului negativ devine


b

A = 1 bi 2 i 2 b ,

(5.39)

i =1

astfel nct diferena acestora este


bn

i =1

i =1

A A1 = bi 2 i bi 2 i + 2 bn 2 b =
=

bn

b 2

i =b +1

bn

) 0

(5.40)

Modulul numerelor negative descrete prin trunchiere, adic, de


fapt, acestea cresc. Situaia este ilustrat n Figura 5.5. care reprezint
271

trunchierea n reprezentarea semn - valoare. Prin urmare, domeniul n care


poate lua valori eroarea ce apare prin trunchierea numerelor negative
reprezentate n complement fa de 1 este
0 Et <
(5.41)

Figura 5.5. Relaia dintre valorile cuantizate i necuantizate n cazul trunchierii semn
valoare a) numere pozitive, b) numere negative, c) caracteristica de trunchiere n
semn valoare

n reprezentarea numerelor negative n formatul mrime cu semn,


biii care reprezint modulul numrului negativ sunt aceeai cu cei
corespunztori numrului pozitiv, diferind numai bitul de semn. Aceasta
nseamn c prin trunchierea unui numr negativ modulul acestuia scade,
iar valoarea trunchiat este dat de cel mai apropiat nivel de cuantizare
care nu este mai mic dect numrul, situaie reprezentat n Figura 5.5.
n continuare se va considera trunchierea mantisei n cazul
reprezentrii n virgul mobil.
Et = Qt [ x[n]] x a = (M M a ) 2 E
(5.42)
n reprezentarea n complement fa de 2 a mantisei
<M Ma 0
(5.43)
sau

2 E < Et 0
Deoarece Et = x a , se obine
2 E < xa 0
272

(5.44)
(5.45)

sau
care implic
Dac M a =
fiind

2 E < M a 2 E 0
< M a 0

(5.46)
(5.47)

1
se obine domeniul maxim al erorii relative , ca
2
2 < 0

(5.48)

1
Dac M a = , domeniul erorii relative este
2
0 < 2
(5.49)
n reprezentarea n complement fat de 1, eroarea de trunchiere
pentru valori pozitive ale mantisei este:
<M Ma 0
(5.50)
sau

2 E < Et 0

Cu

Et = x a = M a 2

(5.51)
E

(5.52)

1
se obine domeniul maxim al erorii relative pentru M a
2
pozitiv, ca fiind
2 < 0
(5.53)
Pentru valori negative ale mantisei, eroarea este
0 M Ma <
(5.54)
i M a =

sau

0 Et < 2 E

(5.55)

1
Pentru M a = , domeniul maxim pentru eroarea relativ este
2
2 < 0 ,
(5.56)
aceeai ca i pentru M a pozitiv.
Acest lucru este valabil, de asemenea, i pentru cazul n care
mantisa este reprezentat n formatul mrime cu semn.

5.3.2. Model statistic pentru cuantizarea fin


n calculele aritmetice ce implic cuantizare prin trunchiere sau
rotunjire, este convenabil s se adopte o metod statistic pentru
caracterizarea erorilor rezultate. Cuantizorul poate fi modelat prin
273

introducerea unui zgomot aditiv e[n] ce se suprapune peste semnalul x[n],


cu respectarea unor ipoteze ce vor fi specificate n cele ce urmeaz, adic
Q[ x[n]] = x q [n] = x[n] + e[n]

(5.57)

unde e[n]= Er pentru rotunjire i e[n]= Et pentru trunchiere, iar


modelul este ilustrat n figura 5.6.

Figura 5.6. Modelul zgomotului aditiv pentru procesul liniar de cuantizare:


(a) sistemul real; (b) model de cuantizare

Cum x[n] poate fi orice numr care se ncadreaz n domeniul


cuantizorului, eroarea de cuantizare este uzual modelat ca o variabil
aleatoare care se ncadreaz n limitele specificate anterior pentru erori.
Mai mult, n practic, bn >> b, deci mrimea 2 b n poate fi neglijat n
relaiile precedente. n aceste condiii, erorile de cuantizare ale numerelor
reprezentate n virgul fix i virgul mobil se ncadreaz n intervalele
prezentate n Tabelul 5.3.
Tabelul 5.3 Intervalele erorii de cuantizare
Tipul
Tipul de
Numere
Numere
cuantizrii
aritmetic
reprezentate
reprezentate
cu virgul fix
cu virgul mobil
Rotunjire -Semn-valoare
-Complement
fa de 1
-2-b-1 Er 2-b-1
-2-b 2-b
-Complement
fa de 2
Trunchiere Complement
-2-b < Et 0
-2-b+1 < 0 , x > 0
fa de 2
0 < 2-b+1 , x < 0
Trunchiere -Complement
-2-b < Et 0 , x > 0
semnfa de 1
-2-b+1 < 0
0 Et < 2-b , x < 0
valoare -Semn-valoare

274

n aceste condiii, funciile densitate de probabilitate pentru erorile


de rotunjire i trunchiere pentru formatele de reprezentare n virgul fix
prezentate sunt ilustrate n figura 5.7 [49]. Se observ c n cazul
trunchierii n formatul complement fa de doi, valoarea medie a erorii are
o deplasare de 2b/2, n timp ce pentru celelalte cazuri ilustrate anterior,
eroarea are o valoare medie nul.

Figura 5.7 Caracterizarea statistic a erorilor de cuantizare. Funciile densitate de


probabilitate ale (a) erorii de rotunjire; (b) erorii de trunchiere n formatul semn-valoare;
(c) erorii de trunchiere n formatul complement fa de doi

Analiza rezultatelor din Tabelul 5.3 i a expresiilor densitilor de


repartiie pentru erorile de rotunjire i trunchiere conduce la concluzia c
rotunjirea este preferat altor metode de cuantizare, din urmtoarele
motive[34]:
semnalul de eroare este independent de tipul de aritmetic;
media semnalului eroare este zero;
nici o alt metod de cuantizare nu conduce la o dispersie mai
mic.
Cuantizarea reprezint o operaie neliniar i ireversibil.
Efectele erorii de cuantizare datorate rotunjirii pot fi evideniate
dac e[n] se consider o secven aleatoare care satisface urmtoarele
proprieti:
275

1. Eroarea e[n] este uniform distribuit n domeniul [ / 2, / 2] ,


2. Secvena de eroare {e[n]} este o secven de zgomot alb
staionar, pentru care e[n] i e[m], pentru m n, sunt necorelate.
3. Secvena de eroare {e[n]} este necorelat cu semnalul x[n].
Ipotezele de mai sus sunt ndeplinite cnd pasul de cuantizare este
mic i semnalul x[n] traverseaz mai multe nivele de cuantizare ntre dou
eantioane succesive. Efectul zgomotului aditiv, e[n], asupra semnalului
dorit poate fi studiat evalund raportul semnal-zgomot (SNR) care, pe
scar logaritmic (n decibeli), este
P
SNR = 10 log 10 x
(5.58)
Pn
unde Px este puterea semnalului, iar Pn este puterea zgomotului de
cuantizare.
Dac eroarea de cuantizare este uniform distribuit n domeniul
(-/2, /2), aa cum este reprezentat n figura 5.7a, valoarea medie a
erorii este zero i dispersia (puterea zgomotului de cuantizare) este
/2
/2
1
2 2 2b
2
2
(5.59)
Pn = e = e 2 p (e)de =
e
de
=
=
/ 2
12
12
/ 2
Prin urmare, SNR este
P
SNR = 10 log10 x = 10 log10 Px + 10 log10 (12 2 2 b )
(5.60)
Pn
(5.61)
SNR = 10 log10 Px + 10,8 + 6b
Aceast expresie pentru SNR indic faptul c fiecare bit folosit n
convertorul A/D sau cuantizor, mrete raportul semnal/zgomot de
cuantizare cu 6 dB sau reduce puterea zgomotului de cuantizare cu 6 dB.
De exemplu, dac se stabilete nivelul puterii zgomotului de
cuantizare la 70 dB fa de nivelul puterii semnalului, trebuie folosit un
cuantizor pe 10 bii (sau convertor pe 10 bii).
Pentru a analiza efectul zgomotului de cuantizare asupra
rspunsului unui sistem discret, liniar, invariant n timp, se consider un
astfel de sistem caracterizat de funcia pondere h[n] , la intrarea cruia se
aplic semnalul cuantizat x q [n] = x[n] + e[n] . Datorit liniaritii
sistemului, ieirea sa este suma rspunsurilor sistemului la semnalul
necuantizat x[n] i la eroarea de cuantizare e[n] . Notnd semnalul de
276

ieire datorat zgomotului sau erorii de cuantizare cu z[n] , conform figurii


5.8, se poate scrie
n

z[n] = h[k ] e [n k ]

(5.62)

k =0

relaie din care poate fi determinat dispersia zgomotului de ieire cauzat


de eroarea de cuantizare.

Figura 5.8. Model pentru eroarea datorat cuantizrii semnalului de intrare


a) Modelul de eroare, b) ieirea datorat zgomotului de cuantizare
b)

n cazul cuantizrii prin rotunjire, innd seama de ipotezele


asumate pentru eroare i de relaia (5.59), dispersia fiecrui termen din
suma (5.62) este
2
e2 h 2 [k ] = h 2 [k ]
(5.63)
12
Deoarece dispersia unei sume de variabile aleatoare independente
este egal cu suma dispersiilor lor, rezult c, n ipoteza c erorile de
cuantizare s-au presupus independente la diferite momente de timp,
dispersia ieirii z[n] este
2 n 2
(5.64)
h [k ]
12 k =0
Dispersia crete pn la o valoare de regim permanent cu condiia
ca filtrul s fie stabil. Dispersia de regim permanent se calculeaz cu
relaia
2
2
ozss
= lim 02z [n] = h 2 [k ]
( 5.65)
n
12 k = 0

02z [n] =

277

O alt form pentru expresia dispersiei de regim permanent a


ieirii poate fi obinut cu ajutorul funciei de sistem a filtrului, H ( z ) , n
felul urmtor:

H ( z ) = h[k ] z k

( 5.66)

H (z 1 ) = h[m] z m

( 5.67)

k =0

m=0

Prin urmare,
H ( z )H (z 1 ) =

h[k ]h[m] z

mk

( 5.68)

k =0 m =0

Multiplicnd ambii membri cu z 1 i integrnd dup z pe un


contur nchis ce conine originea n planul z, rezult

H ( z )H (z 1 ) z 1 dz =

h[k ]h[m] z

m k 1

dz

k =0 m =0

( 5.69)

( )

Cnd conturul c este n regiunea de convergen pentru H ( z ) i H z 1 ,


se poate schimba ordinea de sumare i integrare din membrul drept. Se
observ c cercul unitate este inclus n domeniul rezultat din intersecia
regiunilor de convergen pentru H ( z ) i H z 1 , cu condiia ca H ( z ) s
fie stabil. Astfel se justific alegerea cercului unitate drept contur de
integrare. Relaia (5.69) devine

( )

( )

H ( z )H z 1 z 1 dz =

h[k ]h[m]

k =0 m =0

z m k 1 dz

(5.70)

Deoarece conturul de integrare conine originea planului Z,


conform teoremei lui Cauchy [48]
m=k
2j
m k 1
( 5.71)
cz dz = 0
mk
Cu (5.71), relaia (5.70) devine

H ( z )H (z 1 ) z 1 dz = 2j h 2 [k ]

( 5.72)

k =0

i, deci,
1
H (z )H (z 1 ) z 1 dz
(5.73)

c
j
2

k =0
Din (5.65) i (5.73) rezult urmtoarea expresie pentru dispersia
de regim permanent

[k ] =

278

2
ozss
=

2
12

reziduurile lui H (z ) H (z ) z
1

(5.74)

polii din
cercul unitate

expresie care, de multe ori, este mai uor de evaluat dect (5.65).
Exemplul 5. 7.
S se determine dispersia de regim permanent a zgomotului de la
ieirea unui sistem cauzal, stabil, de ordinul nti, datorat cuantizrii
semnalului de intrare.
Soluie. Ecuaia cu diferene care caracterizeaz sistemul este
y[n] = Ay[n 1] + x[n] , cu | A |< 1 . Rspunsul la impuls al acestui sistem
este h[n] = A n u[n] . Din (5.65) rezult dispersia zgomotului de ieire

oz2 [n] =

2 n 2 k 2 1 A 2( n +1)
A = 12 1 A 2
12 k =0

Dispersia de regim permanent, cnd n , este 02zss =


H (z ) =
z=

2
.
12 1 A 2

1
1
, cu un pol n z = A , i H (z 1 ) =
cu un pol n
1
1 A z
1 A z

1
n afara cercului unitate. Conform relaiei (5.74) rezult
A

z
1
2
1

reziduuril
e
lui
z
=

12 1 A 2
z A 1 Az
z= A

identic, evident, cu expresia obinut anterior.


Pentru sisteme de ordin superior este mai uor a se folosi relaia (5.74)
dect (5.65) din cauza complexitii expresiei rspunsului la impuls.

02zss =

2
12

5.4. Erori cauzate de cuantizarea coeficientilor


filtrelor
5.4.1. Efectul cuantizrii parametrilor filtrului asupra
stabilitii. Analiza senzitivitii la cuantizarea
coeficienilor filtrelor IIR
Pentru a asigura stabilitatea unui filtru recursiv cauzal, toi polii
acestuia trebuie s fie n interiorul cercului unitate din planul Z. n multe
279

cazuri este de dorit ca un pol sau o pereche de poli s fie n apropierea


cercului unitate. Dac n acest caz pasul de cuantizare este att de mare
nct reprezentarea polilor s fie pe sau n afara cercului unitate, filtrul
astfel implementat devine instabil.
Fie, de exemplu, un filtru de ordinul nti
y [n] = A y [n 1] + x [n]
(5.75)
i fie N =b+1, numrul biilor disponibili reprezentrii coeficientului A
care, pentru un filtru stabil, este cuprins n domeniul 1 < A < 1 .
Mrimea pasului de cuantizare este = 2 b . Dac = 1 A este
distana de la pol la cercul unitate, cea mai mic valoare a lui care
poate fi precis reprezentat este = 2 b . Pentru asigurarea stabilitii
trebuie ca pasul de cuantizare s fie mai mic sau egal cu distana de la pol
la cercul unitate, , adic 2 N +1 (1 A) , de unde rezult
log10
log10 (1 A)
N
+1 =
+1
(5.76)
log10 2
log10 2
Exemplul 5. 8.
a) Fie A = e aT , unde a = 1 rad s , T = 10 3 secunde. Dac se
folosete trunchierea ca metod de cuantizare, s se determine numrul
minim de bii, N, necesar reprezentrii lui A, astfel nct s nu rezulte
instabilitate.
b) Dac sunt disponibili 9 bii i T = 10 3 secunde, s se gseasc
a, astfel nct filtrul s fie stabil.
log10 a T
+ 1 = 11 bii
Soluie. a) 1 A = 1 e aT a T , prin urmare, N
log10 2

log10 (10 3 a )
+ 1 care necesit a = 4 rad secund .
0.3
Pentru filtrele de ordin superior localizarea polilor depinde, n
general, de mai muli coeficieni. Pentru a ilustra efectul cuantizrii
coeficienilor asupra localizrii polilor i, implicit, asupra caracteristicii
de frecven, fie un filtru IIR cu funcia de sistem
b)

9=

H ( z) =

b z
k =0
N

1 + ak z
k =1

280

(5.77)
k

Filtrul IIR cu coeficieni cuantizai are funcia de sistem


M

H (z ) =

b z

k =0
N

1 + ak z

(5.78)
k

k =1

unde coeficienii cuantizai { bk } i { a k } pot fi exprimai n funcie de


coeficienii necuantizai {bk} i {ak} prin relaiile
a k = a k + a k
k = 1,2,..., N
(5.79)
k = 0,1,... M
bk = bk + bk
{bk} i {ak} reprezentnd erorile de cuantizare ale coeficienilor.
Numitorul lui H(z) poate fi exprimat n forma
N

k=0

k =1

D( z ) = 1 + a k z k = (1 pk z 1 )

(5.80)

unde {pk} sunt polii lui H(z). Similar, se poate descompune numitorul lui
H ( z ) n forma
N

D ( z ) = (1 pk z 1 )

(5.81)

k =1

unde pk = pk + pk , k=1, 2, ..., N, i pk este eroarea sau perturbaia care


rezult din cuantizarea coeficienilor filtrului.
n continuare, se urmrete a se exprima perturbaia total pi a
polului pi, n funcie de eroarea de cuantizare {ak} a coeficienilor.
Perturbaia pi poate fi exprimat ca [48]
N
p
pi = i a k
(5.82)

k =1 a k
pi
reprezint variaia poziiei polului pi determinat de variaia
unde
a k
coeficientului ak. Astfel, eroarea total este exprimat ca o sum a erorilor
datorate schimbrilor n fiecare din coeficienii {ak}.
Derivatele pariale pi / a k , k=1, 2, ..., N, pot fi obinute
difereniind D(z) n funcie de fiecare {ak}, dup cum urmeaz [48]:

D( z )
pi
D( z )
=

a k z = pi z z = pi a k
Din (5.83) rezult
281

(5.83)

pi (D( z ) / a k ) z = p
=
a k (D( z ) / z ) z = p

(5.84)

Numrtorul relaiei (5.84) este


D( z )
= z k

a k z = pi

z = pi

= pi k

(5.85)

Numitorul relaiei (5.84) este


N

D( z )
= (1 pl z 1 )
=

z z = pi z l =1
z = pi
N
p
= 2k
k =1 z

(1 pl z )
= N

pi
l =1

lk
z = pi
N

(p

pl )

(5.86)

l =1
l i

Prin urmare, relaia (5.84) poate fi exprimat sub forma

pi
=
a k

pi N k
N

( pi pl )

(5.87)

l =1
l i

nlocuind rezultatul din (5.87) n (5.82) rezult eroarea total de


perturbaie pi n forma
pi N k

p i =
k =1

( pi pl )

a k

(5.88)

l =1
l i

Aceast expresie ofer o msur a senzitivitii polului pi la o


schimbare a coeficienilor {ak}.
Un rezultat analog se poate obine pentru senzitivitatea zerourilor
la erorile cauzate de cuantizarea parametrilor {bk}.
Termenii (pi - pl) din numitorul relaiei (5.88) reprezint vectori, n
planul Z, orientai de la polii {pl} la polul {pi}. Dac polii sunt foarte
grupai, ca n cazul unui filtru de band ngust reprezentat n figura 5.9,
lungimile pi - pl vor fi mici pentru polii din vecintatea lui pi. Aceste
lungimi mici vor contribui la erori mari i va rezulta o perturbaie pi
mare. Eroarea pi poate fi minimizat prin maximizarea lungimii pi - pl.
282

Figura 5.9 Poziii ale polilor unui filtru IIR trece band

Acest lucru se poate realiza prin implementarea filtrelor de ordin


mare cu celule cu un singur pol sau cu doi poli. Fltrele cu un singur pol (i
un singur zero) au valori complexe pentru coeficieni i necesit operaii
aritmetice n complex pentru realizarea lor. Aceast problem poate fi
evitat combinnd polii i zerourile complex conjugate, pentru a forma
seciuni de filtru de ordin doi cu coeficieni reali. Deoarece polii complex
conjugai sunt suficient de deprtai, eroarea de cuantizare pi este
minimizat i, n consecin, filtrul cu coeficienii cuantizai rezultat
aproximeaz mai bine caracteristica rspunsului n frecven a filtrului cu
coeficienii necuantizai.
Exemplul 5. 9.
Un filtru digital de ordinul doi are polii reali p1 i p 2 . Acesta
este implementat n forma direct. Se cere:
a) Din relaia general (5.82) s se scrie o relaie pentru modificarea
poziiei polilor datorat modificrilor coeficienilor ecuaiei cu
diferene corespunztoare.
b) Dac p1 = 0,98 i p 2 = 0,94 , care este numrul minim de bii
necesar ca filtrul s rmn stabil n urma cuantizrii coeficienilor?
Metoda de cuantizare se presupune a fi rotunjirea.
pi
pi2 k
= 2
, k = 1, 2 i i = 1, 2 .
Soluie. a) Din (5.87) rezult
ak
( pi pl )
l =1
l i

p1
p1
=
a1
p1 p 2

p1
1
=
a2
p1 p 2
283

p2
p2
p2
1
=
=
a2
p 2 p1
a1
p 2 p1
variaia total n poziia polilor este
2
pi
pi =
ak
k =1 a k
p1
p1
1
[ p1 a1 + a 2 ]
a1 +
a2 =
adic p1 =
a1
a2
p1 p 2
p2
p2
1
i
[ p 2 a1 + a 2 ]
p2 =
a1 +
a2 =
a1
a2
p 2 p1
b)Este necesar a determina a1 i a 2 . Numitorul funciei de

transfer a filtrului are forma ( z p1 )( z p 2 ) = z 2 a1 z + a 2 unde


a1 = p1 + p 2 i a 2 = p1 p 2 . Pentru asigurarea stabilitii trebuie ca
2 < a1 < 2 i 1 < a 2 < 1 [63]. n aritmetica n virgul fix
coeficientul a1 poate fi scalat pentru a se obine un numr fracionar, dei
pentru coeficienii filtrului virgula binar este adesea mutat spre dreapta
pentru a adapta coeficienii la mrimi mai mari ca unitatea. n orice caz se
poate calcula pasul de cuantizare i numrul de bii, N=b+1.
4
2

Pentru a1 , = N i pentru rotunjire a1 = = N


2 2
2
S-ar putea alege acelai pas de cuantizare i pentru a 2 , caz n care
ar fi necesari N - 1 bii deoarece domeniul lui a 2 este jumtate din cel
pentru a1 . n schimb, s-ar putea adopta N bii pentru ambele registre,
2

pentru a1 i a 2 i pasul de cuantizare pentru a 2 s fie N = , astfel


2
2
1
nct, pentru rotunjire a 2 = 4 = N .
2
Pentru ultima alegere, din expresia menionat anterior pentru
schimbarea poziiei polului rezult
1
p1 =
[(0,98) 2 + 1,0] 2 N = 74 2 N i
0,98 0,94
1
[(0,94) 2 + 1,0] 2 N = 72 2 N
p2 =
0,94 0,98
284

Polul p1 , fiind mai apropiat de cercul unitate este posibil s


cauzeze instabilitatea filtrului, dac nu este reprezentat adecvat. Pentru
stabilitate, trebuie s fie ndeplinit relaia 1 p1 = 0,02 > p1 = 74 2 N
sau 2 N > 3700 , care implic N=12 bii lungimea minim a registrului.
Pentru a completa analiza, este necesar a considera i cazul polilor
complex conjugai n expresia funciei de transfer (5.77). Numitorul
acesteia se poate scrie
q

1 + a k z k = 1 pi z 1
k =1

i =1

) [1 2r (cos ) z
s

+ rk2 z 2

(5.89)

k =1

N q
, cu q poli simpli i s perechi de poli complex conjugai.
2
Difereniind (5.89) n raport cu al , cu 1 l N se determin
rg
pm
, 1 m q i
, i
senzitivitatea la cuantizarea coeficienilor
al
al
g
, 1 g s . Dup cteva prelucrri matematice rezult pentru polii
al
simpli pm, 1 m q [58]
pm
p m l +1
= q
,
(5.90)
s
al
1
1
2 2
(1 pi p m ) 1 2 rk (cos k ) p m + rk p m
unde s =

i =1
im

i pentru polii compleci rg e


rg
al

g
al

k =1

, 1 g s

rg l +1 sin (l 1) g

2 C g sin g

rg l {sin (l 2 ) g cos g sin (l 1) g

(5.91)

]}

(5.92)

2 C g sin g
2

unde
q

C g = 1 pi z 1
i =1

) (1 2 r
N

k =1
kg

Deviaiile totale sunt

285

cos k z 1 + rk2 z 2

z = rg e

j g

(5.93)

pm
al
l = 1, , q
(5.94)
l =1 a l
N r
g
rg =
al
g = 1, , s
(5.95)

a
l =1
l
N
g
g =
al
g = 1, , s
(5.96)
l =1 a l
Din nou se observ c, dac polii sunt grupai, ca n cazul filtrelor
de band ngust, polii realizrii n forma direct sunt sensibili la erorile
de cuantizare a coeficienilor i, cu ct este mai mare numrul de poli
grupai, cu att i senzitivitatea este mai mare.
Este interesant de observat modul n care influeneaz structura de
implementare a filtrului erorile cauzate de cuantizarea coeficienilor.
Pentru a ilustra acest lucru, fie un filtru cu doi poli complex conjugai,
caracterizat de funcia de sistem
N

pi =

H (z ) =

1
1 (2r cos )z 1 + r 2 z 2

(5.97)

Filtrul are polii la z1,2 = rej . Cnd este realizat ca n figura 5.10,
exist doi coeficieni: a1 = 2rcos i a2 = r2. Cu precizie infinit este
posibil s obinem un numr infinit de poziii ale polilor. Evident, cu
precizie finit (adic a1 i a2 cuantizai), poziiile posibile ale polilor sunt
n numr finit.

Figura 5.10. Realizare direct a unui filtru cu doi poli

De exemplu, pentru b=3, sunt posibile 7 valori nenule pentru a1 i


a2. n figura 5.11 sunt reprezentate poziiile posibile ale polilor, numai
pentru primul cadran al planului z. Sunt posibile 40 de poziii ale polilor
n acest caz. Neuniformitatea n poziia polilor este datorat faptului c se
cuantizeaza r2 iar polii se gsesc pe un arc de cerc de raz r. Pentru o
anumit cuantizare a coeficienilor, polii se afl pe o gril din planul z
286

definit de intersecia cercurilor concentrice corespunztoare cuantizrii


lui r2 i liniilor verticale corespunztoare cuantizrii lui 2rcos. De
importan particular este setul rar de poli, pentru apropiat de zero i,
datorit simetriei, pentru n apropierea lui . Aceast situaie va fi critic
nefavorabil pentru filtrele trece jos i filtrele trece sus care au n mod
normal polii grupai in jurul frecventei unghiulare =0 i, respectiv, =.

Fig. 5.11 Poziii posibile ale polilor structurii de ordinul doi n planul Z, pentru
cuantizarea pe trei bii

O alternativ n realizarea filtrelor cu doi poli este forma cuplat,


reprezentat n figura 5.12.

Figura 5.12. Realizare n forma cuplat a filtrului IIR cu doi poli

Cele dou ecuaii cuplate sunt:


y1 [n] = x[n] + (r cos ) y1 [n 1] (r sin ) y[n 1]
y[n] = (r sin ) y1 [n 1] + (r cos ) y[n 1]
287

(5.98)

Transformnd aceste ecuaii n domeniul Z, se poate scrie


Y(z)
( r sin )z 1
= H(z) =
(5.99)
1 ( 2r cos )z 1 + r 2 z 2
X (z)
n forma cuplat se observ c sunt de asemenea doi coeficieni,
1 = r sin i 2 = r cos. Deoarece ambii sunt liniari n r, poziiile
posibile ale polilor sunt acum puncte egal spaiate pe un caroiaj
dreptunghiular, ca n figura 5.13.

Figura 5.13. Poziii posibile ale polilor filtrului cu doi poli, realizat n forma
cuplat din figura 5.12

Ca urmare, poziionarea polilor este acum uniform distribuit n


interiorul cercului, lucru mult mai favorabil dect realizarea precedent,
mai ales pentru filtrele trece jos. Preul pltit pentru aceast distribuire
uniform a poziiei polilor este o cretere a volumului de calcule.
Realizarea n form cuplat necesit patru multiplicri, cte dou pentru
fiecare ieire, n timp ce realizarea din figura 5.10 necesit doar dou
multiplicri. Este interesant de observat faptul c pentru o anumit
lungime a coeficienilor, forma direct permite o plasare mai adecvat a
polilor cu r apropiat de unitate i mare, pe cnd forma cuplat este mai
avantajoas pentru mic.
Deoarece sunt diverse metode de a realiza seciunile de ordin doi
ale filtrelor, este, de asemenea, clar c sunt multe posibiliti pentru
localizarea polilor n cazul coeficienilor cuantizai. Ideal ar fi s se
selecteze o structur care conduce la un set dens de puncte n regiunea
unde se afl polii. Din nefericire nu exist o metod simpl i sistematic
pentru determinarea realizrii filtrului care s duc la rezultatul dorit.
Avnd dat un filtru IIR de ordin nalt care trebuie implementat ca
o combinaie de seciuni de ordinul doi, va trebui s se decid ntre o
structur n cascad i una n paralel, adic ntre realizarea
288

H (z ) =
k =1

bk 0 + bk 1 z 1 + bk 2 z 2
1 + a k1 z 1 + a k 2 z 2

(5.100)

i realizarea
ck 0 + ck1 z 1
(5.101)
1
+ a k 2 z 2
k =1 1 + a k 1 z
Dac filtrul IIR are zerouri pe cercul unitate, cum este cazul
filtrelor eliptice i Cebyshev de tipul doi, fiecare seciune de ordin doi din
configuraia n cascad din (5.100) conine o pereche de zerouri complex
conjugate. Coeficienii {bki} din (5.100) determin n mod direct poziiile
acestor zerouri, iar cuantizarea lor tinde s le deplaseze de pe cercul
unitate. Senzitivitatea rspunsului sistemului la eroarea de cuantizare este
uor i direct controlabil prin alocarea unui numr suficient de bii pentru
reprezentarea coeficienilor cuantizai {bki} cu o precizie specificat.
Astfel va exista control direct asupra polilor i zerourilor care rezult din
procesul de cuantizare. De fapt, se poate evalua efectul perturbrii
rezultate din cuantizarea coeficienilor {bki}, cu o anumit precizie cerut.
Realizarea n paralel a lui H(z), conform relaiei (5.101), asigur
un control direct doar asupra polilor sistemului. Coeficienii
numrtorului {ck0} i {ck1} sunt obinui prin descompunerea n fracii
simple a lui H(z). Prin urmare polii influeneaz indirect localizarea
zerourilor, prin combinarea tuturor termenilor din descompunerea n
fracii simple a lui H(z) i, n consecin, este mult mai dificil a se
determina efectul erorii de cuantizare datorat coeficienilor {cki}, n
localizarea zerourilor sistemelor.
Cuantizarea parametrilor {cki} poate produce o perturbaie
semnificativ a poziiilor zerourilor i, de obicei, va fi suficient de mare n
implementrile cu virgul fix pentru a deplasa zerourile de pe cercul
unitate. Aceasta este o situaie foarte neplcut, care poate fi ns
remediat folosind o reprezentare n virgul mobil. n orice caz, structura
n cascad este mult mai robust n prezena cuantizrii coeficienilor i
trebuie s fie alegerea preferat n aplicaii practice, mai ales unde este
folosit reprezentarea n virgul fix.
K

H(z) =

289

5.4.2. Cuantizarea coeficienilor filtrelor FIR


Aa cum s-a artat i n seciunea precedent, analiza senzitivitii
aplicat polilor unui sistem se aplic direct i zerourilor filtrelor IIR. Prin
urmare, o expresie asemntoare cu relaia (5.88) se poate obine pentru
zerourile unui filtru FIR. Pentru a minimiza senzitivitatea la cuantizarea
coeficienilor, va trebui ca filtrul FIR cu un numr mare de zerouri s fie
implementat ca o cascad de seciuni de ordinul unu i doi.
Un aspect important n practic l reprezint filtrele FIR cu
rspuns liniar de faz. Realizrile directe ale unor astfel de filtre menin
proprietatea de faz liniar chiar i n cazul cuantizrii coeficienilor.
Aceasta rezult din observaia c funcia de sistem a unui filtru FIR de
faz liniar satisface proprietatea
H ( z ) = z ( M 1) H ( z 1 ) ,
(5.102)
indiferent dac coeficienii sunt sau nu, cuntizai.
Prin urmare, cuantizarea coeficienilor filtrului FIR afecteaz doar
caracteristica de amplitudine.
Din practic se tie c pentru a reprezenta coeficienii unui filtru
FIR de faz liniar de lungime moderat (M=32 256) sunt necesari cel
puin 10 bii, dar, dac este posibil, se prefer a se folosi 12 pn la 14
bii. Cu creterea lungimii filtrului trebuie s creasc i numrul de bii
pentru reprezentarea coeficienilor, pentru a menine aceeai eroare n
rspunsul n frecven al filtrului. Se presupune, de exemplu, c fiecare
coeficient al filtrului este rotunjit la (b+1) bii. Prin urmare, eroarea de
rotunjire se ncadreaz n domeniul: 2b /2 < er[n] < 2b /2 .
Valoarea cuantizat a rspunsului la impuls poate fi reprezentat
ca hq [n] = h[n] + er [n] i eroarea n rspunsul n frecven este
E M ( ) =

M 1

e [ n] e
n =0

jn

(5.103)

Presupunnd c er[n] este o variabil aleatoare uniform distribuit


n intervalul [-2-b/2, 2-b/2] cu valoarea medie zero, EM() va fi, de
asemenea, de medie zero. Presupunnd, n continuare, c er[n] poate fi
modelat ca o secven de zgomot alb staionar, secvena erorilor er[n],
0 n M1, are eantioanele necorelate. Prin urmare, dispersia erorii n
rspunsul n frecven EM() este suma dispersiilor celor M termeni er[n]

E2 =

2 2b
M
12

290

(5.104)

Ecuaia (5.104) subliniaz faptul c dispersia erorii crete liniar cu


lungimea filtrului M. Deviaia standard a erorii EM() este
2 b
E =
M
(5.105)
12
Prin urmare, pentru fiecare cretere de patru ori a lui M, precizia n
reprezentarea coeficienilor filtrului trebuie crescut cu un bit, pentru a
menine deviaia standard fix. Din practic se constat c pentru a avea
o deviaie standard acceptabil se folosesc 12, 13 bii. Dac lungimea
filtrului, M, este mai mare dect 256 sau numrul de bii folosii pentru
reprezentarea coeficienilor este mai mic de 12, atunci filtrul trebuie
implementat ca o cascad de seciuni de filtre de lungimi mai mici.
ntr-o realizare n cascad, de forma
K

H (z ) = G H k (z )

(5.106)

k =1

seciunile de ordinul doi sunt:


H k ( z ) = 1 + bk 1 z 1 + bk 2 z 2 .

(5.107)

Coeficienii au forma bk 1 = 2rk cos k i bk 2 = rk . Cuantizarea lui bk1 i


bk2 conduce la localizarea zerourilor ca n figura 5.11, cu excepia faptului
c grid-ul se extinde n afara cercului unitate.
Ecuaia (5.102) arat c zerourile lui H(z-1) sunt identice cu cele
ale lui H(z). Dac H(z) are un zerou complex z = rk e jk atunci H(z)
trebuie s aib i o imagineoglind a acestuia, adic zeroul
z 1 = (1 / rk ) e jk . Pe de alt parte, dac rspunsul la impuls este real,
zerourile complexe ale lui H(z) apar n perechi conjugate. Problema care
apare n acest caz este meninerea proprietii de faz liniar, deoarece
perechea de zerouri cuantizate z 3, 4 = (1 / rk ) e j k poate s nu fie imaginea
n oglind a perechii de zerouri cuantizate z1, 2 = rk e j k .
Aceast problem poate fi evitat prin rearanjarea termenilor
corespunztori imaginii n oglind. Se pot scrie astfel coeficienii imaginii
n oglind, sub forma

2
1
1
2
1 cos k z 1 + 2 z 2 = 2 rk 2rk cos k z 1 + z 2
r
rk

rk
k

291

(5.108)

Factorul {1/rk2} poate fi combinat cu ctigul total G, sau poate fi


distribuit n seciunile de filtru de ordin doi. Termenul din (5.108) conine
2
exact aceeai parametri ca i factorul (1 2rk cos k z 1 + rk z 2 ) i, prin
urmare, zerourile apar acum n perechi imagine-oglind chiar dac
coeficienii sunt cuantizai.

5.5. Erori cauzate de cuantizarea produselor.


Caracterizarea statistic a efectelor cuantizrii n
realizarea n virgul fix a filtrelor digitale
Multiplicarea a dou numere reprezentate pe b bii fiecare,
exceptnd bitul de semn, are ca rezultat un numr reprezentat pe 2b bii.
n practic, datorit lungimii finite a registrelor cu care se lucreaz, se
impune exprimarea produselor prin b bii semnificativi, astfel nct,
inevitabil, cuantizarea este asociat cu formarea produsului. Indiferent de
tipul de cuantizare folosit, s-a ncetenit ca acesta s se numeasc
rotunjirea produsului. Efectul acestei cuantizri asupra performanelor
filtrului depinde de modul de implementare a acestuia.
Se presupune c eroarea de rotunjire asociat formrii produsului
este independent de la o iteraie la alta, astfel nct poate fi folosit
modelul cuantizrii fine, sursele de zgomot fiind introduse n sistem dup
multiplicatoare. Astfel, multiplicatorul este modelat cu o operaie n
precizie infinit urmat de o surs de zgomot aditiv e[n], aa nct
rezultatul final s fie egal cu un nivel de cuantizare, exact cum s-a
procedat la caracterizarea erorii de cuantizare la conversia A/D a unui
semnal analogic.
Se ncepe cu caracterizarea zgomotului de rotunjire ntr-un filtru
cauzal, cu un singur pol, care este implementat n aritmetica cu virgul
fix i este descris de ecuaia neliniar cu diferene
v[n] = Qr [av[n 1]] + x[n]
(5.109)
Efectul rotunjirii produsului av[n-1] este modelat cu o secven de
zgomot e[n] adunat la produsul necuantizat av[n-1], care este
Qr [av[n 1]] = av[n 1] + e[n]
(5.110)
Cu acest model pentru eroarea de cuantizare, sistemul considerat
este descris de ecuaia liniar cu diferene
v[n] = av[n 1] + x[n] + e[n]
(5.111)
Sistemul corespunztor este ilustrat n diagrama bloc din figura 5.14.
292

Figura 5.14. Modelul zgomotului aditiv pentru eroarea de cuantizare a produsului pentru
un filtru cu un singur pol

Secvena de ieire a filtrului v[n], poate fi separat n dou


componente. Prima este rspunsul sistemului, y[n], la secvena de intrare
x[n], iar a doua este rspunsul sistemului, z[n], la zgomotul aditiv de
cuantizare e[n]. Secvena de ieire se exprim ca o sum a acestor dou
componente, adic
v[n] = y[n] + z[n]
(5.112)
nlocuind v[n] din (5.112) n (5.111), se obine

y[n] + z[n] = ay[n 1] + az[n 1] + x[n] + e[n]


(5.113)
Pentru a simplifica analiza, se fac urmtoarele presupuneri n
legtur cu eroarea e[n]:
1. Pentru orice n, secvena de eroare {e[n]} este uniform distribuit n
1
1

intervalul 2 b , 2 b . Aceasta implic valoarea medie a lui


2
2

{e[n]} egal cu zero, i dispersia


2 2 b
e2 =
(5.114)
12
2. Eroarea {e[n]} este o secven staionar de zgomot alb i, ca urmare,
e[n] i e[m] sunt necorelate pentru nm.
3. Secvena de eroare {e[n]} este necorelat cu semnalul {x[n]}.
Ultima presupunere permite separarea ecuaiei cu diferene (5.113)
n dou ecuaii independente:
(5.115)
y[n] = ay[n 1] + x[n]
z[n] = az[n 1] + e[n]
(5.116)
Ecuaia cu diferene (5.115) reprezint relaia de intrare-ieire
pentru sistemul dorit, iar cea din (5.116) reprezint relaia pentru eroarea
de cuantizare la ieirea sistemului.
293

Pentru a completa analiza se face apel la dou relaii importante.


Prima este relaia pentru valoarea medie a ieirii z[n] pentru un filtru
liniar, invariant n timp, cu rspunsul la impuls h[n], cnd este excitat de o
secven e[n] cu media me. Rezultatul este [48]

m z = me h[n]

(5.117)

m z = me H (0)

(5.118)

n =0

sau, echivalent,
unde H(0) valoarea rspunsului n frecven H() la = 0.
Deoarece eroarea de cuantizare datorat rotunjirii are media zero,
valoarea medie a erorii la ieire este mz=0.
A doua relaie important este expresia pentru secvena de
autocorelaie a ieirii z[n] a unui filtru cu rspunsul la impuls h[n] la
secvena aleatoare de intrare e[n]. Aceasta este [63]

zz [n] =
k =0

h[k ]h[l ]
l =0

ee

[ k l + n]

(5.119)

unde ee [n] este funcia de autocorelaie a secvenei de intrare e[n].


n cazul particular cnd secvena aleatoare este zgomot alb,
secvena de autocorelaie ee[n] este un impuls scalat cu dispersia e2,
adic [34]

ee [n] = e2 [n]

(5.120)

Dup substituia relaiei (5.120) n (5.119), se obine secvena de


autocorelaie de la ieirea filtrului excitat cu zgomot alb

zz [n] = e2 h[k ]h[k + n]

(5.121)

k =0

Dispersia z2 a zgomotului de ieire este obinut evalund zz[n]


la n = 0, adic [34]

z2 = e2

[k ]

(5.122)

k =

sau, cu ajutorul teoremei lui Parseval [63], expresia alternativ

z2 =

e2
2

H ( ) d

n cazul filtrului cu un singur pol, rspunsul la impuls este


294

(5.123)

h[n] = a n u[n]

(5.124)

Dispersia erorii la ieirea filtrului rezult

z2 = e2 a 2 k =

e2

(5.125)
1 a2
Se observ c puterea zgomotului z2 la ieirea filtrului este
mrit fa de puterea zgomotului de la intrare, e2 , cu factorul 1/(1a2).
Acest factor crete odat cu apropierea polului de cercul unitate.
Fie, n continuare, un filtru recursiv de ordinul doi:
y [n] = a1 y [n 1] a 2 y [n 2] + b0 x [n] + b1 x [n 1]
(5.126)
k =0

n calculul ieirii sunt implicate patru multiplicri, dac a1 , a 2 , b0


i b1 nu sunt egali cu unitatea. Zgomotul de rotunjire asociat cu fiecare

multiplicare este ei [n] , i = 0,3 .


Se consider nti realizarea n forma direct I, ca n figura 5.15.

Fig. 5.15. Zgomotul de rotunjire la multiplicare pentru un filtru de ordinul doi


n forma direct I

Deoarece toate sursele de zgomot se adun n acelai punct,


acestea pot fi nlocuite cu o surs de zgomot echivalent
3

e [n] = ei [n]

(5.127)

i =0

Se observ c n implementarea n forma direct I, zgomotul trece


numai prin partea de sistem ce conine numai poli, adic zerourile nu au
nici un efect asupra zgomotului din ieire.
n cazul rotunjirii, cnd pasul de cuantizare este constant, dispersia
unei surse de zgomot este
295

2
, i = 0, 1, 2, 3 .
(5.128)
12
Presupunnd erorile de cuantizare independente, dispersia
zgomotului rezultat este suma dispersiilor componentelor
3
2
e2i = e2i =
(5.129)
3
i =0
Pentru cazul general al formei directe I, cnd sistemul are M+1
multiplicri pentru zerouri i N multiplicri pentru poli cu coeficieni
diferii de 0 i 1, dispersia surselor de zgomot este
2
e2 = ( M + N + 1)
(5.130)
12
Poriunea din filtru prin care trece zgomotul de rotunjire este
artat n figura 5.16. Ieirea z [n] datorat zgomotului formeaz o parte a
ieirii cuantizate.

e2i =

Figura 5.16. Poriunea din filtrul recursiv afectat de zgomotul de rotunjire pentru
realizarea n forma direct I.

Pentru figura 5.16 se poate scrie


Z ( z)
1
= H ' (z ) =
(5.131)
1
E( z)
1 + a1 z + a 2 z 2
Evident, aceast funcie de transfer difer de cea a filtrului care
include i zerouri, care este
b0 + b1 z 1
H (z ) =
(5.132)
1 + a1 z 1 + a 2 z 2
Conform relaiei (5.74), dispersia total de regim permanent a
ieirii datorate zgomotului de rotunjire este
2
02zss =
(5.133)
reziduurile lui H ' (z ) H ' z 1 z 1
3 polii din

( )

cercul unitate

296

cu H ' ( z ) dat de (5.131).


n cazul formei directe I dispersia total de regim permanent a
zgomotului datorat rotunjirii multiplicrilor este
2 1
H ' ( z ) H ' z 1 z 1 dz =
02zss = ( M + N + 1)

12 2j
(5.134)
2
2
= ( M + N + 1)
h' [ n]
12 n
1
este partea care conine toi polii sistemului.
unde H ' ( z ) =
N
k
1 + ak z

( )

k =1

n continuare, se consider implementarea canonic (forma direct


II) a filtrului descris de (5.126), caz n care erorile de rotunjire pot fi
reprezentate ca surse de zgomot poziionate ca n figura 5.17.

Figura 5.17. Zgomotul de rotunjire al produselor pentru un filtru recursiv implementat n


forma canonic

Se
2
observ c semnalule de eroare e A [n] = ei [n] cu dispersia
, trece
6
i=2
3

2
este un zgomot
6
i =0
adunat direct la ieire. n acest caz dispersia de regim permanent a ieirii
datorat zgomotului de rotunjire a produselor este suma dispersiilor
zgomotelor determinate de cele dou semnale de eroare e A [n] i e B [n] .
1

prin tot filtru, n timp ce e B [n] = ei [n] cu dispersia

297

2
1
1
=

1+
reziduurile lui H (z ) H z z (5.135)
6 polii din int eriorul
cercului unitate

cu H ( z ) dat de (5.132).
Pentru cazul general al formei directe II pentru filtrul IIR, cnd
coeficienii acestuia sunt diferii de 0 i 1, dispersia de regim permanent a
zgomotului de ieire este
2 1
2
1
1
(
)
02zss = N
H
z
H
z
z
dz
(
M
1
)
+
+
=
12 2j c
12
(5.136)
2
2
2
=N
h[n] + (M + 1) 12
12 n
Fr a considera valori numerice pentru coeficieni, numai din
compararea relaiilor (5.134) i (5.136), nu este posibil a decide care
dintre aceste forme de implementare produce un zgomot de ieire mai mic
datorat erorii de cuantizare a produselor.

( )

2
0 zss

( )

Exemplul 5. 10.
S se determine dispersia de regim permanent a zgomotului de
ieire, datorat rotunjirii aritmetice, a filtrului cu funcia de sistem
b0 + b1 z 1
H (z ) =
1 2r cos z 1 + r 2 z 2
implementat n
a) form direct I
b) forma direct II
dac r=0,9, = 4 , b0 = 1, 1 , b1 = 0, 3 i pasul de cuantizare .
Soluie. a) Din figura 5.15 i 5.16 rezult c dispersia de regim
permanent a zgomotului de ieire este
2
02zss =
reziduurile lui H ' (z ) H ' z 1 z 1 =
3 polii din cercul

( )

unitate ai lui H ' ( z )

2 1 + r 2
1
= 1,92 2
2
4
2
3 1 r r 2r cos 2 + 1
1
cu H ' ( z ) =
1 2r cos z 1 + r 2 z 2
b) Din figura (5.17) rezult
=

298

02zss =

2 2
+
6
6

e lui H ( z ) H (z ) z
reziduuril
( )
1

polii lui H z

)(

b02 + b12 1 + r 2 4b0 b1 r cos


2
1
+
= 1,07

4
2
2
2
cos
2
1
1
r
r

Se observ c forma direct II (canonic) produce un zgomot de


ieire mai mic pentru valorile date ale parametrilor dect forma directa I i
c valorile b0 i b1 nu afecteaz dispersia zgomotului de ieire n forma
direct I.
Ecuaiile (5.134) i (5.136) arat c structurile n forma direct I i
II sunt afectate diferit de cuantizarea produselor n implementarea
ecuaiilor cu diferene corespunztoare. n general, alte structuri
echivalente, cum ar fi cele n cascad, n paralel, lattice i formele
transpuse vor avea dispersii totale ale zgomotului la ieire diferite de cele
din structurile n form direct. Nu se poate spune care sistem va avea
dispersia de zgomot la ieire cea mai mic, dac nu se cunosc valorile
coeficienilor.
mbuntirea performanei de zgomot a sistemelor numerice este
posibil folosind sumatoare i acumulatoare pe un numr mai mare de
bii. Aceast soluie presupune ns o complicare semnificativ a realizrii
hard a schemei.
=

2
6

5.6.

)(

Oscilaii cu ciclu-limit n sisteme recursive

n seciunile anterioare au fost analizate erorile care apar n


operaiile aritmetice realizate de un filtru digital. Prezena unuia sau a mai
multor cuantizoare n implementarea unui filtru digital, conduce la un
dispozitiv neliniar a crui caracteristic poate fi semnificativ diferit de
cea a filtrului ideal. Efectele neliniare datorate aritmeticii cu precizie
finit, ngreuneaz analiza performanelor unui filtru digital. Pentru a
efectua o analiz a efectului cuantizrii, s-a adoptat o caracterizare
statistic a erorilor de cuantizare, ceea ce a condus n final la un model
liniar pentru filtru.
n sistemele recursive, neliniaritatea datorat efecturii operaiilor
matematice n aritmetic finit poate cauza oscilaii periodice la ieire,
chiar dac secvena de intrare este zero sau o valoare constant, nenul.
Astfel de oscilaii n sistemele recursive sunt numite cicluri limit i pot fi
direct atribuite erorii de rotunjire sau trunchiere la multiplicare. Aceste
299

oscilaii pot fi reduse folosind registre pe mai muli bii. Al doilea tip de
oscilaii numit oscilaii de depire poate aprea cnd intrarea
cuantizorului depete domeniul dinamic. Aceste oscilaii au, de obicei,
amplitudine mare i nu pot fi reduse prin creterea numrului de bii.

5.6.1. Cicluri limit datorate rotunjirii


Fenomenul ciclurilor limit este diferit de comportamentul
zgomotului cauzat de cuantizare. Efectele cuantizrii se identific cu
zgomotul cnd nivelul semnalului este mare i foarte variabil, fcnd
eroarea de cuantizare, la orice moment de timp, aproape independent de
erorile anterioare. Cnd nivelul semnalului este sczut, erorile cauzate de
cuantizare devin corelate. Ciclurile limit sunt periodice, dar nu neaprat
sinusoidale. Ele sunt susceptibile a aprea acolo unde exist reacie n
filtru; filtrele IIR au ntotdeauna mecanisme de reacie n interiorul lor,
deci astfel de oscilaii pot aprea la ieirea lor. Spre deosebire de acestea,
filtrele FIR nu conin mecanisme de reacie i, n consecin, ele nu vor
prezenta oscilaii la ieire. Acesta este un avantaj al filtrelor FIR fa de
cele IIR. Tratarea general a comportrii pe cicluri limit a filtrelor
digitale este dificil, motiv pentru care se vor analiza structurile de
ordinul 1 i 2.
Pentru a ilustra caracteristica unei oscilaii de ciclu limit, se
consider un sistem cu un singur pol, descris de ecuaia liniar cu
diferene

y[n] = ay[n 1] + x[n]

(5.137)

n care polul este situat la z=a. Sistemul ideal este prezentat n figura
5.18a.

Figura 5.18. a) Sistemul recursiv ideal cu un singur pol b) Sistemul neliniar real

Sistemul real, care este descris de ecuaia neliniar cu diferene

v[n] = Q[av[n 1]] + x[n]


300

(5.138)

este realizat ca n figura 5.18b.


Se presupune c sistemul real din figura 5.18b este implementat cu
o aritmetic n virgul fix cu patru bii pentru amplitudine i un bit
pentru semn. Cuantizarea care se face dup multiplicare este presupus a
rotunji produsul prin adaos. n Tabelul 5.4 se prezint rspunsul
sistemului real pentru patru poziii diferite ale polului z=a i intrarea
x[n]= [n], unde =15/16, care are reprezentarea binar 0,1111.
Tabel 5.4 Cicluri limit pentru un filtru cu un singur pol
n
0
1
2
3
4
5
6
7
8

a=0,1000
= 1/2
0,1111 (15/16)
0,1000 ( 7/16)
0,0100 ( 3/16)
0,0010 ( 1/16)
0,0001 ( 1/16)
0,0001 ( 1/16)
0,0001 ( 1/16)
0,0001 ( 1/16)
0,0001 ( 1/16)

a=1,1000
= 1/2
0,1111 (15/16)
1,1000 (7/16)
0,0100 ( 3/16)
1,0010 (1/16)
0,0001 ( 1/16)
1,0001 (1/16)
0,0001 ( 1/16)
1,0001 (1/16)
0,0001 ( 1/16)

a=0,1100
= 3/4
0,1011 (11/16)
0,1000 ( 8/16)
0,0110 ( 6/16)
0,0101 ( 5/16)
0,0100 ( 4/16)
0,0011 ( 3/16)
0,0010 ( 2/16)
0,0010 ( 2/16)
0,0010 ( 2/16)

a=1,1000
= 3/4
0,1011 (11/16)
1,1000 (8/16)
0,0110 ( 6/16)
1,0101 (5/16)
0,0100 ( 4/16)
1,0011 (3/16)
0,0010 ( 2/16)
1,0010 (2/16)
0,0010 ( 2/16)

n mod ideal, rspunsul sistemului ar trebui s scad exponenial


spre zero ( y[n]= an 0 cnd n ). n sistemul real, totui, rspunsul
v[n] atinge o stare stabil periodic la ieire, cu o perioad ce depinde de
valoarea polului. Cnd polul este pozitiv, oscilaiile au loc cu perioada
Np = 1, astfel nct ieirea atinge o valoare constant de 1/16 pentru a=1/2
i 1/8 pentru a=3/4. Acest fenomen este numit ciclu limit cu frecven
zero.
Pe de alt parte, cnd polul este negativ, secvena de ieire
oscileaz ntre valori pozitive i negative (1/16 pentru a = 1/2 i 1/8
pentru a = 3/4). Prin urmare, perioada este Np = 2. Se obine astfel o
oscilaie de amplitudine constant, a crei pulsaie este egal cu i a
crei amplitudine este 1/16 sau 1/8.
Aceste cicluri-limit apar ca rezultat al efectului de cuantizare n
multiplicri. Cnd secvena de intrare x[n] devine zero, ieirea intr ntrun ciclu limit dup un numr de iteraii. Ieirea rmne n acest ciclu
limit pn cnd este aplicat un alt semnal de intrare, suficient de
puternic, pentru a scoate sistemul din ciclu. n mod similar, ciclurile
limit cu intrare zero apar din condiii iniiale nenule. Amplitudinea ieirii
301

pe perioada ciclului limit este inclus ntr-un domeniu de valori care este
numit banda moart a filtrului. Frecvena i amplitudinea ciclului limit
depind de coeficieni, condiii iniiale, metoda de cuantizare i lungimea
cuvntului.
Este interesant de menionat faptul c atunci cnd rspunsul
filtrului cu un pol este n ciclu limit, sistemul neliniar real lucreaz ca un
sistem liniar echivalent, cu un pol la z=1, atunci cnd polul este pozitiv
(a>0), i z = -1, cnd polul este negativ (a<0). Aceasta nseamn
v[n 1], a > 0
Qr [av[n 1]] =
v[n 1], a < 0

(5.139)

Deoarece produsul av[n-1] este rotunjit, eroarea de cuantizare este


limitat de
1
Qr [a[v 1]] av[n 1] 2 b
(5.140)
2
unde b este numrul de bii (exclusiv semnul) utilizat n reprezentarea
polului a i a lui v[n]. Prin urmare, relaiile (5.139) i (5.140) conduc la
1
v[n 1] av[n 1] 2 b
2
i, deci
1 b
2
2
v[n 1]
(5.141)
1 a
Cnd coeficientul a este pozitiv, rspunsul ciclului limit se
numete de curent continuu (are amplitudine i semn constante), iar dac
a este negativ comportamentul ciclului limit are amplitudine constant
dar semn alternant.
Expresia din (5.141) definete zona sau banda moart pentru un
filtru cu un singur pol. De exemplu, cnd b = 4 i a=1/2 banda moart
este cuprins n domeniul (-1/16, 1/16) pentru amplitudini, iar pentru
b = 4 i a=3/4, banda moart crete la (-1/8, 1/8).
Comportarea ciclului limit n cazul unui filtru cu doi poli este
mult mai complex prin faptul c poate aprea o mai mare varietate de
oscilaii. n acest caz sistemul ideal cu doi poli este descris de ecuaia
liniar cu diferene
y[n] = a1 y[n 1] + a 2 y[n 2] + x[n]
(5.142)
n timp ce sistemul real este descris de ecuaia neliniar cu diferene
302

v[n] = Qr [a1v[n 1]] + Qr [a 2 v[n 2]] + x[n]


(5.143)
2
Cnd coeficienii filtrului satisfac condiia a1 < 4a2, polii
sistemului apar la z1, 2 = re j , unde a2 = r2 i a1 = 2rcos. Ca i n cazul

filtrului cu un singur pol, cnd sistemul este ntr-un ciclu limit cu intrare
zero [49],
Qr [a 2 v[n 2]] = v[n 2] ,
(5.144)
adic sistemul se comport ca un oscilator cu polii complex-conjugai
situai pe cercul unitate (a2 = r2 = 1 ). Rotunjirea produsului av[n-2]
implic
1
Qr [a 2 v[n 2]] a 2 v[n 2] 2 b
(5.145)
2
Dup substituia lui (5.144) n (5.145), se obine
1
v[n 2] a 2 v[n 2] 2 b
2
sau, echivalent
1 b
2
2
(5.146)
v[n 2]
1 a2
Expresia din (5.146) definete banda moart a unui filtru de ordin
doi cu poli complex conjugai. Se observ c limitele benzii moarte
depind doar de a2. Parametrul a1 = 2rcos determin doar frecvena
oscilaiilor.
Un alt ciclu limit posibil cu intrarea zero, care este numai amintit
i care apare ca rezultat al rotunjirii multiplicrilor, corespunde unui
sistem echivalent de ordinul doi cu polii la z = 1.
Este interesant de menionat cum ciclurile limit descrise anterior
au rezultat prin rotunjirea produsului dintre coeficienii filtrului i ieirile
precedente v[n -1] i v[n -2]. n locul rotunjirii, se poate alege a trunchia
produsul la b bii, caz n care se pot elimina multe din ciclurile limit, dar
aceast soluie nu este foarte agreat, deoarece trunchierea are ca rezultat
o deplasare a valorii medii a erorii, excepie fcnd cazul cnd se
folosete reprezentarea semn-valoare unde eroarea de trunchiere este
simetric fa de zero.
n realizarea n paralel a diverselor sisteme IIR de ordin nalt cu
seciuni de ordinul doi, fiecare seciune genereaz propriul ciclu limit,
fr interaciune ntre seciunile de filtru de ordin doi. Prin urmare, ieirea
303

este o sum a ciclurilor limit cu intrare zero a seciunilor individuale. n


cazul realizrii n cascad pentru un sistem IIR de ordin nalt, ciclurile
limit sunt mult mai greu de analizat. n particular, cnd prima seciune de
filtru genereaz un ciclu limit cu intrare zero, acesta este filtrat de
seciunile succesive. Dac frecvena ciclului limit este apropiat de
frecvena de rezonan a filtrului urmtor din succesiune, amplitudinea
secvenei va fi mrit de caracteristica de rezonan. n general, trebuie
evitate astfel de situaii.

5.6.2. Cicluri limit datorate depirii


Un tip mult mai sever de cicluri limit poate aprea datorit
depirii aritmetice din interiorul filtrelor care folosesc aritmetica n
complement fa de unu sau n complement fa de doi. Aceste cicluri
limit sunt cunoscute sub numele de oscilaii de depire. O depire la
adunarea a dou sau mai multe numere binare apare atunci cnd suma
depete lungimea disponibil a cuvntului la implementarea digital a
sistemului.
De exemplu, se consider seciunea de filtru de ordin doi
prezentat n figura 5.19, n care adunarea se face n aritmetica
complementului fa de doi.

Figura 5.19. Seciune de filtru de ordinul doi

Ieirea din filtru se poate scrie


y[n] = g[a1 y[n 1] + a 2 y[n 2] + x[n]]
(5.147)
unde funcia g[.] reprezint adunarea n complement fa de doi.
Figura 5.20 prezint caracteristica intrare-ieire g[v] a sumatorului
n complement fa de doi.

304

Figura 5.20. Caracteristica funcional pentru adunarea n complement fa de doi a dou


sau mai multe numere

Domeniul de valori al parametrilor (a1, a2) pentru un filtru stabil


este precizat de triunghiul de stabilitate [63]. Totui, aceste condiii nu
sunt de ajuns pentru a preveni oscilaiile datorate depirii din aritmetica
n complement fa de doi. Condiia necesar i suficient pentru a nu
aprea cicluri limit datorate depirii, este [49]
a1 + a2 < 1
(5.148)
care este o condiie extrem de restrictiv i duce la o constrngere
nerezonabil asupra oricrei seciuni de filtru de ordin doi.
Un remediu efectiv pentru rezolvarea problemei oscilaiilor
provocate de depire este de a modifica caracteristica sumatorului, ca n
figura 5.21, care opereaz cu saturare numeric. Atunci cnd este sesizat
o depire (sau o subdepire), ieirea sumatorului va avea valoarea
maxim de capt de scar 1. Distorsiunea cauzat de aceast neliniaritate
n sumator este de obicei mic deoarece saturaia apare rar. Folosirea unei
astfel de neliniariti nu elimin necesitatea scalrii semnalelor i a
parametrilor sistemului, aa cum va fi descris n paragraful urmtor.

Figura 5.21. Caracteristica funcional pentru adunare cu saturare la 1


305

Ilustrarea oscilaiilor datorate depirii se face pe exemplul


urmtor. Se consider seciunea de filtru de ordin doi caracterizat de
ecuaia (5.143) n care adunarea se face n aritmetica complementului fa
de doi, cu lungimea cuvintelor de 4 bii, incluznd bitul de semn, i se
folosete rotunjirea pentru reprezentrile n complement fa de doi. Se
presupune c a1 = 3/4 = 0,110 i a2 = - 3/4 = 1,010 i, de asemenea, c x[n]
rmne zero pentru n 0.
Se consider condiiile iniiale v[-1] = (3/4)10 = (0,110)2C i
v[-2] = (-3/4)10 = (1,010)2C. Eantionul de la ieire la momentul n=0 va fi
v [0] = 0,1100,110+1,0101,010 = 0,100100 + 0,100100.
Dac se rotunjete fiecare produs, rezult
v[0] = 0,101+0,101 = 1,010 = -3/4.
n mod similar se obine
v[1] = 1,011+1,011 = 0,110 = 3/4,
adic, v[n] va continua s oscileze ntre 3/4 i 3/4 pn ce este aplicat un
semnal de intrare care s scoat sistemul din acest ciclu limit. Acesta
este un exemplu de oscilaii de depire. Sistemele de ordin mai mare au o
comportare mai complex.

5.7. Scalarea pentru prevenirea depirii


Saturaia aritmetic descris n paragraful anterior elimin
ciclurile limit datorate depirii pe de o parte, dar, pe de alt parte, duce
la distorsiuni nedorite ale semnalelor, n acest caz nemaifuncionnd
regula conform creia, dac se adun mai multe numere a cror sum este
de modul subunitar, rezultatul este corect, chiar dac apar depiri n
etapele intermediare de calcul. Pentru a limita aceste distorsiuni neliniare
se scaleaz semnalul de intrare i rspunsul la impuls ntre intrare i orice
nod din sistem, astfel nct s nu se depeasc gama dinamic.
Efectul depirii este mult mai sever pentru un filtru recursiv,
dect pentru unul nerecursiv, deoarece erorile sunt filtrate din nou
(datorit reaciei) ceea ce face ca filtrul s devin inutilizabil n scurt
timp. Pentru ambele tipuri de filtre, scalarea este necesar pentru
reducerea amplitudinii semnalelor n anumite limite, evitndu-se
depirea n condiii normale de lucru. Exist mai multe reguli de scalare,
care vor fi prezentate n cele ce urmeaz.

306

5.7.1. Norme de scalare


5.7.1.1. Scalarea dup norma l1
Se analizeaz toate nodurile n care ar putea aprea depiri i
fiecare nod din reea este constrns s aib o amplitudine mai mic dect
1, pentru a evita depirea. Dac wi [n] reprezint valoarea variabilei
asociat nodului i iar hi [n] este rspunsul la impuls de la nodul de intrare,
cruia i este asociat variabila x[n] , pn la nodul i, atunci se poate scrie

wi [n] =

x[n m]h [m]


i

m=o

(5.149)

Considernd c x[n m] are valoarea maxim x max , rezult

wi [n] x max hi [m] .

(5.150)

m =0

O condiie suficient ca wi [n] < 1 este ca


x max <

(5.151)

h [ m]

m=0

pentru toate nodurile din reea. Mrimea l1 = hi

= hi [m] se numete
m =0

norma l1 a lui hi . Dac x max nu satisface ecuaia (5.151), atunci se poate

1
multiplica x[n] cu factorul de scalare s1 < min
la intrarea
i
hi 1
sistemului, astfel nct s1 x max s satisfac (5.151) pentru toate nodurile din
reea, adic
1
s1 x max <
(5.152)

max hi [m]
i
m =0

Scalnd intrarea pe aceast cale se garanteaz c depirea nu


apare niciodat la nici unul din nodurile de reea. La ieire se
1
, astfel nct s nu se
compenseaz scalarea prin nmulirea cu
s1
modifice funcia de transfer a filtrului. Relaia (5.152) conduce la o
307

scalare foarte sever, care se mai numete i scalare de sum. n practic


scalarea nu este fcut niciodat aa puternic, pentru c nrutete
raportul semnal-zgomot, fapt ce va fi artat ulterior.
5.7.1.2. Scalarea dup norma l
Dac se dispune de cunotine suplimentare despre intrare, se
poate alege factorul de scalare, s, mai mare, astfel nct s se garanteze
lipsa depirii. Dac intrarea este un semnal de band ngust modelat cu
x[n] = x max cos( 0 n) , variabilele de noduri vor fi [39]

wi [n] = H i ( 0 ) x max cos[ 0 n + H i ( 0 )]

(5.153)

Depirea este evitat pentru toate semnalele armonice dac


max H i ( ) x max < 1

(5.154)

i ,

Mrimea l = H i

= max H i ( ) se numete norma l a lui Hi.

Dac intrarea este


1
s < min
rezult
i
H i

scalat

s x max <

prin

factorul

de

scalare

1
max H i ( )

(5.155)

i ,

5.7.1.3. Scalarea dup norma l2


O alt abordare posibil este de a scala intrarea astfel nct energia
fiecrei variabile de nod s fie mai mic sau egal cu energia total a
secvenei de intrare. Se poate obine scalarea corespunztoare folosind
inegalitatea Schwartz Buniacovski i teorema lui Parseval [63].
2

wi [n] =

h [k ]x[n k ]

= hi [k ]
k =0

k =0
2

x[k ]

hi [k ]
k =0

x[n k ]

k =0

(5.156)

k =0

Pentru a asigura condiia de nedepire a energiei semnalului de


intrare de ctre varialilele de noduri, adic

wi [n] x[n] , unde


2

n =0

308

x[n]
n =0

= E x este energia semnalului de intrare, se poate multiplica

secvena x[n] cu factorul de scalare s 2 , ales astfel nct


1
1
=
s 22

2
1
2
max hi [n]
max
H i ( ) d

i
i
2
n =0
Mrimea l 2 = hi


2
= hi [n]
n =0

(5.157)

1/ 2

se numete norma l 2 a lui hi .

5.7.1.4. Scalarea dup norma lp


Metodele anterioare pot fi generalizaze n sensul normei lp.
Norma l p a unei transformate Fourier H ( ) este definit ca [39]
1

p
1
p
lp = H p =
H
(

)
d
(5.158)

Se poate arta c, n general, este ndeplinit inegalitatea [26]


wi [n] X p H i q
(5.159)

unde p i q sunt ntregi astfel nct


1 1
+ = 1.
(5.160)
p q
Pentru orice secven h[n] cu transformata Fourier H ( ) exist
relaia [23]
H H p , oricare ar fi p N * .
Ca urmare, scalarea l reduce nivelele de semnal ntr-o msur
mai mare dect alte scalri de tip l p . Cele mai folosite scalri sunt l 2 , l ,
precum i scalarea de sum. Se poate arta c exist relaia [23]

hi [n] max H i ( ) hi [n] ,


n =0

i ,

(5.161)

n =0

adic l 2 l l1.
Dintre acestea, cea mai sever este scalarea de sum, care este i
dificil de calculat. Cel mai uor de evaluat analitic este relaia (5.157),
deoarece aceast integral poate fi calculat folosind teorema reziduurilor
a lui Cauchy [1].
309

Deoarece n implementarea filtrelor recursive intervin mai multe


puncte de sumare, ieirea fiecruia trebuie scalat pentru a evita
depirea, deci vor fi mai multe rspunsuri la impuls hi[n] i funcii de
sistem corespunztoare, Hi(z), care fac legtura ntre intrarea x[n] i
semnalele intermediare wi[n].

5.7.2. Interaciunea dintre domeniul dinamic i zgomot


Normele de scalare l 2 , l , l1 reprezint trei moduri de a obine
coeficieni de scalare pentru intrarea unui filtru digital. Prin scalarea
intrrii cu factorul s p , p = 1, ,2 , raportul semnal / zgomot de cuantizare
la ieire scade.
n figura 22 a,b, se prezint un sistem IIR de ordinul doi,
implementat n forma direct I i forma direct II, cu intrarea scalat. n
figura 22 a, factorul de scalare s-a combinat cu coeficienii bk, astfel nct
sursa de zgomot este aceeai ca n cazul fr scalare, prezentat n figura
5.15. Deoarece acest zgomot este filtrat din nou de partea de filtru care
conine polii, puterea zgomotului de ieire este aceeai pentru sistemul
nescalat, reprezentat n figura 5.15 i cel scalat, reprezentat n 5.22a.
Pentru sistemul din figura 22a, funcia de sistem este s p H (z ) , fa de

H (z ) a sistemului cu intrarea nescalat i, corespunztor, ieirea este


y '[n] = s p y[n] , n loc de y[n] . Deoarece zgomotul este injectat dup
scalare, raportul dintre puterea semnalului i cea a zgomotului n sistemul
scalat este de s 2p ori raportul semnal/zgomot pentru sistemul nescalat din
figura 5.15. Cum s p < 1 atunci cnd este necesar scalarea, raportul
semnal / zgomot la ieirea filtrului se reduce prin scalare.

Figura 5.22. Scalarea sistemelor de ordinul doi. a) Forma direct I, b) Forma direct II
310

n cazul implementrii n forma direct II din figura 22b factorul


de scalare trebuie determinat astfel nct sa se evite depirea n ambele
noduri ncercuite. Funcia de sistem a filtrului scalat este s p H (z ) .
Factorul de scalare s p , p = 1, ,2 , contribuie cu o surs suplimentar de
zgomot la ea [n] a sistemului nescalat reprezentat n figura 5.17. Acest
zgomot este filtrat n acelai mod de sistemul nescalat i de cel scalat. Prin
urmare, puterea semnalului se multiplic cu s 2p , iar puterea zgomotului de
ieire este dat de relaia (5.136), cu N nlocuit cu (N+1), astfel nct
raportul semnal/zgomot se reduce i n acest caz, dac se efectueaz
scalarea pentru a evita depirea.
n concluzie, cu ct o regul de scalare conduce la un factor de
scalare mai sczut, se reduce probabilitatea depirii, dar se reduce i
raportul semnal/zgomot de cuantizare. Acest fapt reprezint interaciunea
dintre domeniul dinamic i zgomot. Din acest motiv prezint interes
gsirea unor structuri caracterizate de zgomot de cuantizare minim n
condiii de scalare precizate. Utilizarea unor structuri n form direct de
ordin mare nu conduce la rezultate satisfctoare din acest punct de
vedere, astfel nct sunt preferate structurile n cascad sau n paralel,
realizate cu seciuni de ordinul doi.
n continuare sunt date schemele de scalare pentru structurile n
cascad i n paralel.

5.7.3. Scalarea n realizarea n cascad i n paralel


5.7.3.1. Analiza realizrii n cascad
n figura 5.23 este prezentat un sistem implementat cu K module
de ordinul doi, fiecare din acestea implementat n forma canonic,
conectate n cascad.

Figura 5.23. Scalarea la realizarea n cascad a unui filtru cu K celule de ordinul doi
311

Se noteaz cu Fk, k=1,...K, funcia de sistem a unui modul de ordinul doi.


b + b1k z 1 + b2 k z 2
(5.162)
Fk ( z ) = 0 k
1 + a1k z 1 + a 2 k z 2
l pi = H i ( ) p ; i=1, 2, 3,,K, p = 1, 2, , reprezint norma dup care s-a
efectuat scalarea, iar H i ( ) - funcia de transfer de la intrare la nodul wi .
i 1

F ( z)
k

H i ( z) =

k =1

1 + a1i z 1 + a 2i z 2

(5.163)

l pi

pot fi ncorporai n b0i , b1i , b2i .


l p (i +1)
innd seama de cele prezentate n paragraful precedent, scalarea
este propriu-zis necesar numai pentru seciunile pentru care normele
l pi = H i ( ) p sunt supraunitare. Dac, ns, H i ( ) p 1 , rezult c nu
coeficienii

este necesar scalare pentru celula respectiv, ceea ce ar corespunde unui


factor de scalare unitar, fr efect asupra zgomotului de cuantizare.
Totui, dac se scaleaz intrarea ntr-o seciune de ordinul doi cu un factor
supraunitar, care va amplifica semnalul, va crete raportul
semnal/zgomot, prin utilizarea eficient a gamei dinamice a filtrului.
Astfel, scalarea poate fi privit nu numai ca un mod de a evita depirea,
ci i de adaptare a nivelului semnalului la gama dinamic a filtrului.
n cazul unui filtru numeric IIR de ordin mare realizat prin
conectarea n cascad a unor structuri de ordinul doi, puterea zgomotului
la ieire depinde de modul n care polii i zerourile sunt mperecheate
pentru a forma structuri de ordinul doi i de ordinea seciunilor n
cascad. Se poate observa c pentru K seciuni de ordin doi exist K!
posibiliti de a mperechea polii i zerourile i K! posibiliti de a ordona
seciunile de ordinul doi rezultate. Rezult n total (K!)2 sisteme diferite.
n plus, se poate alege oricare din formele directe I sau II (sau transpusele
lor) pentru implementarea seciunilor de ordinul doi. Chiar i pentru
sisteme de ordin mic problema mperecherii i ordonrii nu este simpl,
deoarece necesit un volum mare de calcule.
Se definete factorul (sau ctigul) de vrf pentru celula k cu
relaia

312

k =

max H k ( )

(5.164)
1
2
1

2
2 H k ( ) d

n ciuda dificultii gsirii unei mperecheri i ordonri optime,


Jackson a artat c o grupare optim minimizeaz factorii de vrf i a
gsit c se pot obine rezultate bune aplicnd urmtoarele reguli simple
[23]:
1. Polul care este cel mai apropiat de cercul de raz unitate din planul
Z, trebuie mperecheat cu zeroul cel mai apropiat de el;
2. Regula 1 se aplic repetat pn ce toi polii i zerourile au fost
mperecheate;
3. Seciunile de ordinul doi rezultate trebuie ordonate n funcie de
apropierea polilor de cercul unitate, fie n ordinea cresctoare, fie
descresctoare a apropierii polilor de cercul unitate.
Regulile de mperechere sunt bazate pe observaia c subsistemele
cu ctig (factor) de vrf foarte mare sunt nedorite pentru c ele pot cauza
depiri i pot amplifica zgomotul de cuantizare. mperechind un pol ce
este apropiat de cercul unitate, cu un zerou adiacent se tinde s se reduc
ctigul de vrf al seciunii.
O motivaie pentru regula 3 este aceea c pentru ca spectrul
zgomotului de ieire s nu aib o alur ascuit, cu un maxim puternic n
apropierea unui pol ce este apropiat de cercul de unitate din planul Z, este
de dorit ca aceti poli s fie la nceputul schemei n cascad. Pe de alt
parte, rspunsul n frecven la ieirea unui anumit nod implic produsul
rspunsurilor n frecven ale subsistemelor care preced nodul. Astfel,
pentru a evita reducerea excesiv a nivelului de semnal n etajele
anterioare ale cascadei ar trebui ca polii ce sunt apropiai de cercul unitate
s fie plasai ultimii n cascad. Se observ c problema ordonrii
seciunilor depinde de o varietate de factori, cum ar fi dispersia total a
zgomotului de ieire i forma spectrului zgomotului de ieire. Jackson a
folosit norme lp pentru a cuantifica analiza problemei mperecherii i
ordonrii polilor i zerourilor i a elaborat o serie de reguli empirice
pentru obinerea de rezultate satisfctoare, fr a evalua toate
posibilitile.
De multe ori, pentru obinerea unui zgomot ct mai mic, celulele
se ordoneaz n sens cresctor al factorului de vrf. n figura 5.24 este
prezentat ordonarea seciunilor de ordinul doi n cascad n ordinea

313

cresctoare a selectivitii, astfel nct celula cea mai selectiv s filtreze


zgomotele provenite de la toate filtrele, atenundu-le.

Figura 5. 24. Ordonarea seciunilor de ordinul doi n cascad n ordinea cresctoare a


selectivitii acestora

Urmtorul exemplu ilustreaz punctul de vedere conform cruia


ordonarea n cascad a seciunilor este important n controlarea
zgomotului de rotunjire a produselor la ieirea ntregului sistem.

Exemplul 5.11.
S se determine dispersia zgomotului cauzat de rotunjirea
produselor, la ieirea realizrii n cascad a filtrului cauzal, cu funcia de
sistem
H ( z ) = H1 ( z ) H 2 ( z )
1
1
unde
H1 ( z) =
; H 2 ( z) =
1
1
1 z 1
1 z 1
4
2
Soluie. Fie h[n], h1[n], i h2[n] rspunsurile la impuls
corespunztoare funciilor de transfer H(z), H1(z) i, respectiv, H2(z).
Acestea sunt:
n
n
1 n 1 n
1
1
h1 [n] = u[n], h2 [n] = u[n], h[n] = 2 u[n]
4
2
2 4

Cele dou realizri n cascad sunt prezentate n figura 5.25.


n prima realizare n cascad, dispersia zgomotului la ieire este

z21 = e2 h 2 [n] + h22 [n]


n =0
n =0

n a doua realizare n cascad, dispersia este

314

z22 = e2 h 2 [n] + h12 [n]

n =0
n =0

1
16
1
4
h12 [n] =
= ; h22 [n] =
=

1 15
1 3 n =0
n =0
1
1
16
4

4
4
1
h 2 [ n] =

+
= 1,83

1
1
1
n =0
1
1
1
4
8
16

Figura 5. 25. Realizri n cascad

n consecin,

z21 = 2,90 e2
z22 = 3,16 e2
iar raportul dispersiilor zgomotului de ieire este

z22
= 1,09 .
z21

Prin urmare, puterea zgomotului n a doua realizare n cascad


este cu 9% mai mare dect n primul caz.

315

5.7.3.2.

Analiza realizrii n paralel

n figura 5.26 este prezentat un sistem implementat cu K module


de ordinul doi, conectate n paralel.

Figura 5.26. Scalarea la realizarea n paralel a unui filtru cu K celule de ordinul 2

l pi = H i ( ) p ; i=1, 2, 3, ,K, p = 1, 2, , reprezint norma dup


care s-a efectuat scalarea.
H i ( ) - funcia de transfer de la intrare x[n] la nodul wi . Funcia
de sistem corespunztoare este
1
H i ( z) =
; i = 1, 2, 3. (5.165)
1
1 + a1i z + a 2i z 2
Analiza efectelor de cuantizare ntr-un filtru de ordin doi poate fi
direct aplicat la filtrele de ordin superior bazate pe realizri n paralel. n
316

acest caz, fiecare seciune de ordinul doi este independent de celelalte


seciuni i, deci, puterea total a zgomotului de cuantizare la ieire este
suma puterii zgomotului de cuantizare a fiecrei seciuni individuale.
Tehnicile de mperechere enunate anterior pot fi aplicate i la formele n
paralel unde se poate arta [23] c puterea de zgomot la ieire este
comparabil cu cele mai bune mperecheri i ordonri la conectarea n
cascad. Forma n cascad rmne totui cea mai folosit pentru
structurile IIR.
Deoarece structurile IIR cu formele directe I i II includ i
sistemele FIR n forma direct ca un caz particular, rezultatele i tehnicile
de analiz considerate mai sus se aplic la sistemele FIR, dac se elimin
toate referirile la polii funciei de sistem i se elimin cile de reacie n
toate grafurile de semnal.
Pentru sistemele FIR cu faz liniar, implementarea se poate face
cu aproximativ jumtate din multiplicrile sistemului FIR general, ceea ce
determin reducerea la jumtate a dispersiei zgomotului la ieire, dac
produsele sunt cuantizate nainte de adunare.
Rezultatele pentru realizrile n cascad de tip IIR sunt aplicabile
i pentru realizrile n cascad de tip FIR, pentru acestea urmrindu-se
numai problema ordonrii seciunilor de ordinul doi.

5.7.4. Analiza erorii de cuantizare n cazul scalrii intrrii


Pentru a obine o imagine mai clar a efectului erorii de
cuantizare, se va considera i efectul scalrii intrrii. Se reia cazul filtrului
cu un singur pol din exemplul 5.7 prezentat n figura 5.12. Se presupune
c secvena de intrare {x[n]} este o secven de zgomot alb, a crei
amplitudine a fost scalat cu norma l1 pentru a preveni depirea la
adunare. Atunci

y[n] x max h[n]


n =0

Cum se dorete cay[n] 1, rezult


1
x max
= 1 a
h[n]

(5.166)

n =0

Dac se presupune x[n] uniform distribuit n domeniul (-xmax,


xmax), atunci, dispersia semnalului de intrare este x2 = (1- |a|)2/3.
317

Potrivit relaiei (5.125), puterea zgomotului la ieirea filtrului este

z2 =

e2

.
1 a2
Puterea semnalului de la ieirea filtrului este

y2 = x2 a 2 k =

x2

(5.167)
1 a2
Raportul dintre puterea semnalului de ieire, y2 , i puterea erorii de
k =0

cuantizare, z2 , este

y2 x2
= 2 = (1 a ) 2 2 2(b +1)
2
z e

(5.168)

Aceast expresie pentru raportul semnal/zgomot de la ieirea


filtrului arat preul pltit ca urmare a scalrii intrrii, mai ales cnd polul
este apropiat de cercul unitate.
Prin comparaie, dac intrarea nu este scalat i sumatorul are un
numr suficient de mare de bii pentru a evita depirea, amplitudinea
semnalului este n intervalul (-1, 1). n acest caz, dispersia semnalului de
intrare este x2 = 1/3, independent de poziia polului. Atunci

y2
z

= 2 2 (b +1)

(5.169)

Diferena dintre rapoartele semnal/zgomot din (5.168) i (5.169)


demonstreaz necesitatea de a utiliza mai muli bii la adunare, fa de
multiplicare. Numrul biilor adiionali depinde de poziia polului i
trebuie crescut odat cu mutarea polului mai aproape de cercul unitate.
n continuare, se consider un filtru cu doi poli care, cu precizie
infinit, este descris de ecuaia liniar cu diferene
y[n] = a1 y[n 1] + a 2 y[n 2] + x[n]

(5.170)

unde a1=2rcos i a2= r2.


Cnd cele dou produse sunt rotunjite, rezult un sistem care este
descris de ecuaia neliniar cu diferene
v[n] = Qr [a1v[n 1]] + Qr [a 2 v[n 2]] + x[n]

(5.171)

Sistemul este prezentat n schema bloc din figura 5.27.


Fiind dou multiplicri, se produc dou erori de cuantizare pentru
fiecare ieire.
318

Prin urmare, trebuie s se introduc dou secvene de zgomot e1[n] i


e2[n], care corespund ieirilor cuantizoarelor
Qr [a1v[n 1]] = a1v[n 1] + e1 [n]
Qr [a 2 v[n 1]] = a 2 v[n 2] + e2 [n]

(5.172)

Figura 5.27 Filtru cu doi poli cu cuantizoare prin rotunjire a produselor

O diagram bloc pentru modelul corespunztor este ilustrat n


figura 5.28. Se observ c secvenele de eroare e1[n] i e2[n] pot fi mutate
direct la intrarea filtrului.
Ca i n cazul filtrului de ordinul nti, ieirea filtrului de ordin doi
poate fi separat n dou componente, componenta semnalului dorit i
componenta erorii de cuantizare. Prima poate fi descris de ecuaia cu
diferene
y[n] = a1 y[n 1] + a 2 y[n 2] + x[n]

(5.173)

n timp ce a doua satisface ecuaia cu diferene


z[n] = a1 z[n 1] + a 2 z[n 2] + e1 [n] + e2 [n]

(5.174)

Figura 5.28 Modelul zgomotului aditiv pentru erorile de cuantizare ale unui filtru cu doi
poli
319

Se presupune c secvenele e1[n] i e2[n] sunt necorelate.


Rspunsul la impuls al filtrului este [63]
h( n) =

rn
sin( n + 1) u[n]
sin

(5.175)

Prin urmare,
1+ r2
1
(5.176)
2
4
1 r r + 1 2r 2 cos 2
n =0
Aplicnd (5.122) se obine dispersia erorii de cuantizare la ieirea
filtrului, n forma [47]

h 2 [ n] =

1+ r 2

(5.177)
2
4
2
1 r r + 1 2r cos 2
Dac semnalul de intrare x[n] este scalat cu norma l1 ca n (5.151)
pentru a evita depirea, puterea semnalului de ieire este

z2 = e2

y2 = x2 h 2 [n]

(5.178)

n =0

unde puterea semnalului de intrare x[n] este dat de dispersia

x2 =

3 h[n]
n =0

(5.179)

n concluzie, raportul semnal/zgomot la ieirea filtrului cu doi poli


este

y2 x2
2 2 (b +1)
=
=
2
z2 e2

(5.180)

h[n]

n =0

Cu toate c este dificil evaluarea exact a numitorului n (5.180),


este uor s determinm marginile superioar i inferioar ale acestuia. n
particular, h[n] este mrginit superior
1 n
h[n]
r
n0
(5.181)
sin
astfel nct

320

h[n] sin r
n =0

1
(1 r ) sin

n =0

(5.182)

Marginea inferioar se poate obine dac se observ c


H ( ) =

h[n]e

jn

n=0

h[n]

(5.183)

n =0

Dar,
H ( ) =

(1 re

j j

)(1 re

j j

(5.184)

La =, care este frecvena de rezonan a filtrului, se obine cea


mai mare valoare a lui H(), deci

1
h[n] H ( ) =
(5.185)

n =0
(1 r ) 1 + r 2 2r cos 2
Prin urmare, raportul semnal/zgomot este mrginit superior i
inferior conform relaiei
2

2 ( b +1)

(1 r ) sin
2

y2
z2

2 2 (b +1) (1 r ) 2 (1 + r 2 2r cos 2 ) (5.186)

De exemplu, cnd = /2, expresia din (5.186) devine


2 2( b +1) (1 r ) 2

y2
2 2(b +1) (1 r ) 2 (1 + r ) 2
2
z

(5.187)

Termenul dominant n aceste margini este (1- r)2, care poate


reduce serios raportul semnal/zgomot odat cu apropierea polilor de
cercul unitate. Dac = 1r este distana de la pol la cercul unitate,
raportul semnal/zgomot din (5.187) este redus cu 2. Aceste rezultate
servesc la ntrirea aseriuni anterioare, referitoare la necesitatea utilizrii
mai multor bii la adunare dect la multiplicare, ca un mecanism de
evitare a erorilor rezultate din operaia de scalare.

321

CAPITOLUL 5

ESTIMAREA SPECTRULUI DE PUTERE


Analiza spectral a semnalelor deterministe a fost introdus
ca un mijloc de caracterizare a semnalelor n domeniul frecven.
Semnalele periodice sunt analizate n domeniul frecven cu ajutorul
seriei Fourier, iar cele aperiodice de energie finit, cu ajutorul
transformatei Fourier.
n capitolul de fa se urmrete estimarea caracteristicilor
spectrale ale semnalelor considerate a fi procese aleatoare, pentru
care, datorit fluctuaiilor aleatoare, nu este posibil aplicarea
direct a analizei Fourier, ci se adopt o tratare statistic a lor. n
particular, funcia de autocorelaie a proceselor aleatoare staionare
n sens larg este potrivit pentru caracterizarea lor statistic, iar
transformata Fourier a acesteia, care reprezint densitatea spectral
de putere, face legtura ntre domeniile timp i frecven. n
capitolul de fa, problema estimrii spectrale const n
determinarea componentelor spectrale ale procesului aleator
staionar n sens larg, pe baza unei mulimi finite de observaii
asupra procesului.

5.1. Estimarea spectrului semnalelor din observarea


pe intervale de lungime finit
Lungimea finit a datelor de analizat reprezint o limitare
285

esenial asupra calitii estimatului spectrului de putere. Pentru


semnale staionare, cu ct lungimea datelor este mai mare, cu att va
fi mai bun estimatul construit pe baza datelor. Pentru semnale
nestaionare nu se poate selecta o nregistrare de lungime finit
pentru estimarea spectrului, lungimea acesteia fiind determinat de
parametrii statisticii semnalului. Se urmrete selectarea datelor de
lungimea cea mai mic posibil, care s permit obinerea
caracteristicilor spectrale ale semnalului de date.
Una din problemele care poate aprea n metodele clasice de
estimare a spectrului de putere, pe baza unor date de lungime finit,
este distorsionarea spectrului datorit trunchierii datelor. Aceast
problem apare att n calculul spectrului semnalelor deterministe,
ct i n estimarea spectrului de putere al semnalelor aleatoare.
Deoarece este mai uor de observat efectul lungimii finite a datelor
pentru un semnal determinist, se va analiza nti acest caz,
considernd ulterior semnalele aleatoare i estimarea spectrului lor
de putere.
5.1.1. Calculul densitii spectrale de energie
Se urmrete calculul spectrului unui semnal determinist
dintr-o secven finit de date. Secvena x[n] este, de obicei,
rezultatul eantionrii unui semnal continuu xa(t) cu o frecven
constant Fs.
Se urmrete obinerea unui estimat al spectrului real dintr-o
secven de durat finit x[n]. Dac xa(t) este un semnal de energie
finit, adic

E = xa (t ) dt < ,

atunci transformata sa Fourier exist i este dat de relaia


286

(5.1)

X a ( F ) = xa (t )e j 2 Ft dt

(5.2)

Conform teoremei lui Parseval, energia semnalului este

E = xa (t ) dt = X a ( F ) dF

Cantitatea

X a (F )

(5.3)

reprezint distribuia de energie a

semnalului funcie de frecven i se numete densitate spectral de


energie S xx ( F ) , adic se poate scrie:
S xx ( F ) = X a ( F )

(5.4)

Pe de alt parte, Sxx(F) este transformata Fourier a funciei de


autocorelaie Rxx() a semnalului de energie finit

Rxx ( ) = xa (t ) xa (t + )dt

(5.5)

ntr-adevr,
S xx ( F ) = F { Rxx ( )} = Rxx ( )e j 2 F d =

= xa ( t )xa ( t + ) e j 2 F dt d

Cu schimbarea de variabil t + = p , d = dp , se obine

S xx ( F ) = xa ( t ) xa ( p ) e j 2 Fp e j 2 Ft dt dp =
= X a ( F ) X a ( F ) = X a ( F )

(5.6)

n continuare, se calculeaz densitatea spectral de energie a


semnalului xa(t) din eantioanele sale, prelevate cu frecvena Fs.
Pentru a evita eroarea alias, banda semnalului, B, se limiteaz prin
prefiltrare, astfel nct Fs> 2B.
Spectrul semnalului eantionat x[n] este

X ( ) =

n =

x [ n ] e jn sau X ( f ) =

x [ n] e

j 2 fn

(5.7)

n =

care se exprim n funcie de spectrul semnalului analogic, n forma


[70]
287

F
X(f)= X
Fs

F
=

s X a ( F kFs )
k =

(5.8)

n absena erorii alias, n domeniul fundamental F

Fs
exist
2

relaia
F
F
X = Fs X a ( F )
(5.9)
F s
2
Fs
Densitatea spectral de energie a semnalului eantionat este
2

F
F
2
S xx ( f ) = S xx = X = Fs2 X a ( F )
(5.10)
Fs
Fs
Se poate arta uor c, dac funcia de autocorelaie a
semnalului eantionat este
rxx [ k ] =

x [ n] x [ n + k ]

(5.11)

n =

atunci, transformat sa Fourier este egal cu densitatea spectral de


energie, S xx ( f ) , adic
S xx ( f ) = F {rxx [k ]} =

r [k ]e

k =

j 2 k f

xx

(5.12)

Din cele prezentate anterior rezult dou metode de calcul


pentru densitatea spectral de energie:
1) metoda direct, care implic calculul transformatei
Fourier pentru {x[n]} i apoi
2

S xx ( f ) = X ( f ) =

x[n]e

2
j 2 fn

(5.13)

n =

2) metoda indirect sau corelativ, care necesit doi pai de


calcul:
a) calculul funciei de autocorelaie rxx[k] din x[n],
b) transformata Fourier a funciei rxx[k], cu relaia (5.12).
288

n practic, se poate calcula densitatea spectral de energie


numai pentru secvene finite x[n], 0 n N 1 . Limitarea duratei
unei secvene x[n] la N puncte, echivaleaz cu multiplicarea lui
x[n] cu o fereastr rectangular, astfel nct
x [ n ] , 0 n N 1
x [ n ] = x [ n ] wR [ n ] =
(5.14)
0, n rest
Aceast multiplicare echivaleaz cu convoluia spectrelor [26],
adic
1

X ( f ) = X ( f ) *WR ( f ) = 12 X ( )WR ( f )d

(5.15)

Spectrul funciei X ( f ) aproximeaz mai fidel spectrul X(f),


dac spectrul WR(f) este ngust n comparaie cu X(f), fapt ce
implic wR[n] de lungime suficient de mare [71]. Chiar dac WR(f)
este ngust fa de X(f), convoluia dintre X(f) i lobii laterali ai
lui WR(f) are ca rezultat lobi laterali n X ( f ) n benzi de frecven
n care spectrul semnalului x[n] este nul. Aceast energie din lobii
laterali se numete rezidual sau scurgere spectral (leakage).
Pentru a ilustra problema scurgerii spectrale, se consider urmtorul
exemplu.
Exemplul 5.1.
1, | f | 0,1
Se consider un semnal cu spectrul X ( f ) =
.
0, n rest
S se efectueze convoluia dintre semnalul X ( f ) i spectrul

ferestrei rectangulare, cu lungimea N=61.


Soluie
Spectrul WR ( f ) al ferectrei rectangulare cu lungimea N=61
este prezentat n figura 5.1. Se observ c limea lobului principal
al funciei fereastr este = 4 / 61 sau f = 2 / 61 , care este
289

ngust comparativ cu X ( f ) . Convoluia dintre X ( f ) i WR ( f ) este


ilustrat n figura 5.2. Se observ c energia s-a scurs n
domeniul de frecven 0,1 <| f | 0,5 , unde X ( f ) = 0 . Acest lucru
este determinat de limea lobului principal al lui WR ( f ) , care
cauzeaz o lire a lui X ( f ) n afara domeniului | f | 0,1 . Energia
din lobii laterali ai lui X ( f ) se datoreaz prezenei lobilor laterali
n WR ( f ) cu care se efectueaz convoluia lui X ( f ) .

Fig. 5.1. Spectrul ferestrei rectangulare de lungime M=61

Fig.5.2. Spectrul obinut din convoluia ferestrei rectangulare de lungime M=61


cu spectrul filtrului ideal din exemplul 5.1.

Ca i n cazul proiectrii filtrelor FIR prin metoda ferestrelor,


scurgerea spectral din cauza lobilor laterali poate fi redus prin
290

selectarea ferestrelor cu lobi laterali redui, fapt care determin o


cretere a netezirii sau lirii caracteristicilor spectrale ale lui X(f)
[71].

Fig. 5.3. Spectrul ferestrei Blackman de lungime M=61

Fig.5.4. Spectrul obinut din convoluia ferestrei Blackman de lungime M=61 cu


spectrul filtrului ideal din exemplul 5.1.

De exemplu, folosirea unei ferestre Blackman de aceeai


lungime N=61, al crui spectru este reprezentat n figura 5.3, pentru
acelai semnal din exemplul 5.1, are ca rezultat caracteristica
spectral X 1 ( f ) din figura 5.4. Se observ c scurgerea spectral
s-a redus, dar limea lobulu principal a crescut cu aproximativ
50%.
291

Lirea spectrului ce urmeaz a fi estimat, ca urmare a


trunchierii, reprezint o problem n cazul n care separaia de
frecven ntre componentele unui semnal este mic, cum este cazul
semnalului X ( f ) = X 1 ( f ) + X 2 ( f ) reprezentat n figura 5.5.

Fig.5.5. Spectrul unui semnal cu dou componente de


band ngust, apropiate

n cazul acestui semnal, pot aprea dou probleme:


1- dac lungimea datelor i, implicit, a ferestrei, scade, cei
doi lobi spectrali principali rezultai n urma convoluiei spectrului
ferestrei cu X(f) cresc n lime,
2- dac separaia de frecven f devine foarte mic, este
posibil ca cei doi lobi principali ai spectrului s se uneasc.
n aceste cazuri exist o limit la care cei doi lobi sunt nc
distinci. Aceast limit se numete rezoluie. De obicei, rezoluia se
definete ca fiind limea de band a lobului principal msurat la
jumtate din nivelul puterii maxime, adic banda corespunztoare la
-6dB a spectrului de putere sau, echivalent, limea lobului principal
al spectrului de amplitudine la -3dB. n concluzie, componentele
semnalului X ( f ) nu pot fi identificate din semnalul
X ( f ) = X ( f ) W ( f ) , dac limea lobului principal al ferestrei nu

este semnificativ mai mic dect separaia de frecven f dintre


X 1 ( f ) i X 2 ( f ) .
292

Din cele prezentate anterior se observ ca densitatea


spectral de energie a secvenei multiplicate cu o fereastr este o
aproximare a spectrului real al secvenei, adic
2

S xx ( f ) = X ( f ) =

N 1

x[n]e

j 2 fn

(5.16)

n =0

Spectrul S xx ( f ) poate fi calculat cu ajutorul DFT n N puncte [70]:


N 1

X [ k ] = x[n]e j 2 kn / N

(5.17)

n =0

2
k
X [k ] = S xx ( f ) f = k = S xx
N
N

(5.18)

k N 1
S xx = x[n]e j 2 nk / N
N n =0
care este o versiune distorsionat a spectrului real Sxx(k/N).

(5.19)

5.1.2. Estimarea funciei de autocorelaie i a densitii


spectrale de putere a semnalelor aleatoare. Periodograma

Semnalelor de energie finit considerate n paragraful


precedent, li se poate aplica transformata Fourier, fiind caracterizate
n domeniul frecven de densitate spectral de energie. Spre
deosebire de acestea, semnalele caracterizate de procese aleatoare
staionare nu au energie finit i, deci, nu li se poate aplica
transformata Fourier. Astfel de semnale au, n general, putere medie
finit, motiv pentru care acestea vor fi caracterizate de densitatea
spectral de putere.
Dac x(t) este un proces aleator, staionar n sens larg, funcia
sa de autocorelaie este
Bxx ( ) = E[ x(ti ) x(ti + )]
(5.20)
unde E[ ] reprezint media statistic.
293

Pentru simplificarea scrierii, uneori se renun la indicele i,


adic se va scrie E { x(ti )} = E { x(t )} . Din acest motiv, prin abuz de
limbaj, se spune valoarea medie statistic a procesului aleator x(t )
i nu valoarea medie statistic a variabilei aleatoare x(ti ) obinut
din procesul aleator x(t ) .
Conform teoremei Wiener-Khintcine, densitatea spectral de
putere a unui proces aleator staionar este transformata Fourier a
funciei de autocorelaie, adic [48]:

S xx ( F ) = Bxx ( )e j 2 F d

(5.21)

n practic nu se dispune de toate realizrile particulare ale


procesului aleator din care s poate fi determinat funcia de
autocorelaie Bxx ( ) , motiv pentru care se urmrete estimarea
funciei de autocorelaie a procesului pe baza unei singure realizri a
acestuia. Pentru ca acest lucru s fie posibil, este necesar ca procesul
aleator s fie ergodic. Pe baza unei singure realizri particulare se
poate calcula funcia de autocorelaie temporal
1 T0
(5.22)
Rxx ( ) =
x(t ) x(t + )dt ,
2T0 T0
unde 2To este intervalul de observare a realizrii particulare a
procesului aleator. Dac procesul staionar este ergodic n medie i
corelaie, atunci
1 T0
Bxx ( ) = lim Rxx ( ) = lim
x(t ) x(t + )dt
(5.23)
T0
T0 2T T0
0
Aceasta relaie justific folosirea funciei de autocorelaie
temporale Rxx() ca un estimat al funciei de autocorelaie statistice
Bxx().
Mai mult, transformata Fourier a lui Rxx() furnizeaz un
estimat Pxx(F) al spectrului densitii de putere, adic
294

T0

Pxx ( F ) = T Rxx ( )e j 2 F d =
0

1
2T0

T0
j 2 F
T0 T0 x(t ) x(t + )dt e d
T0

(5.24)

Dac se consider toate realizrile particulare ale procesului,


densitatea spectral de putere se poate determina cu relaia
2
1 T0
j 2 Ft
S xx ( F ) = lim E [ Pxx ( F )] = lim E
x
t
e
dt
(
)
(5.25)
T0
T0
T0
T
2
0

Pxx(F) se poate calcula n dou moduri: prin metoda direct,


ca n relaia (5.25) i prin metoda indirect, n care se calculeaz
nti Rxx() i apoi transformata sa Fourier.
Se va analiza n continuare estimarea densitii spectrale de
putere din eantioanele unei singure realizri a procesului aleator.
Se presupune c realizarea particular xa(t) este eantionat cu o
frecven Fs>2B, unde B este cea mai mare frecven din spectrul
densitii de putere, rezultnd o secven de durat finit x[n];
0 n N 1.
Din aceste eantioane se poate calcula estimatul funciei de

autocorelaie, rxx' [m] , cu relaia


1 N m 1
'
r
m
=
[
]
x[n]x[n + m], m = 0,1,..., N 1
xx
N m n =0

N 1
1
rxx' [m] =
x[n]x[n + m], m = 1, 2,..., N + 1

N | m | n =|m|
i apoi transformata sa Fourier

Pxx' ( f ) =

N 1

m = N +1

rxx' [m]e j 2 fm

(5.26)

(5.27)

Factorul de normalizare N m din (5.26) se impune pentru


ca valoarea medie statistic a estimatului s fie egal cu funcia de
295

autocorelaie statistic. ntr-adevr, considernd mulimea


realizrilor particulare trunchiate ale procesului, se poate scrie

1 N m 1
N m E[ x[n]x[n + m]] = xx [m], m = 0,1,..., N 1
n =0

E[rxx' [m]] =
N 1
1 E[ x[n]x[n + m]] = [m], m = 1, 2,..., N + 1
xx
N m n =|m|

(5.28)
unde xx[m] este funcia de autocorelaie statistic a lui x[n].
Deoarece valoarea medie a estimatului funciei de
autocorelaie este egal cu funcia de autocorelaie statistic,
estimatul rxx[m] se spune c este nedeplasat.
Dispersia acestuia se calculeaz dup cum urmeaz:

var[rxx' [m]] = E r '2 xx [m] E r ' xx [ m]


Pentru calculul acestei mrimi se folosete relaia [61]
E ( x1 x2 x3 x4 ) = E ( x1 x2 ) E ( x3 x4 ) + E ( x1 x3 ) E ( x2 x4 ) +
+ E ( x1 x4 ) E ( x2 x3 )

(5.29)

(5.30)

unde x1 , x2 , x3 , x4 , sunt variabile aleatoare gaussiene, de medie zero,


dependente.
Cu (5.30) i (5.26), relaia (5.29) devine pentru m 0 :
1
N m 1 N m 1

var(rxx' [m]) =
E
x[n] x[ n + m]x[ k ]x[k + m]
2
( N m) n = 0 k = 0

N m 1 N m 1
1

xx2 [m] =
E ( x[n]x[n + m]) E ( x[k ]x[k + m]) +
2
( N m) n = 0 k = 0
E ( x[n]x[ k ]) E ( x[n + m] x[k + m]) + E ( x[n]x[k + m]) E ( x[n + m]x[k ]) )
1
N m 1 N m 1 2
[m] =
xx [m] + xx2 [n k ] +

2
( N m) n = 0 k = 0
xx [n k m] xx [n k + m]) xx2 [m] =
2
xx

296

1
N m 1 N m1 2
xx [n k ] + xx [n k m] xx [ n k + m]

2
( N m) n = 0 k = 0

Cu schimbarea de variabil n-k=p, relaia devine


var(rxx' [m]) =
N m 1

p =0

N m 1 N m 1 k 2

1
xx [ p] + xx [ p m] xx [ p + m] =

2
( N m) k =0 p = k

xx2 [ p ] + xx [ p m] xx [ p + m] +

+... +

p = N + m +1

N m2

p =1

xx2 [ p ] + xx [ p m] xx [ p + m]

xx2 [ p ] + xx [ p m] xx [ p + m] =

1
2 [0] + xx2 [1] + ... + xx2 [ N m 1] + xx [ m] xx [m] +
2 ( xx
( N m)
+ xx [1 m] xx [1 + m] + ... + xx [ N m 1 m] xx [ N m 1 + m] +
=

+ ( xx2 [ N + m + 1] + xx2 [ N + m] + ... + xx2 [1] + xx2 [0] +

xx [ N + m + 1 m] xx [ N + m + 1 + m] + ... + xx [ m] xx [m]) =
=

1
( N m)( xx2 [0] + xx [ m] xx [m]) + ( N m 1)
2 (
( N m)

( xx2 [1] + xx [1 m] xx [1 + m]) + ( N m 2)( xx2 [2] + xx [2 m] xx [2 + m])

+... + ( N m ( N m 1))( xx2 [ N m 1] + xx [ N m 1 m]

xx [ N m 1 + m]) + ... + ( N m 1)( xx2 [1] + xx [1 m] xx [1 + m]) +


+... + ( N m ( N m 1))( xx2 [ N + m + 1] + xx [ N + m + 1 m]

xx [ N + m + 1 + m]) =
1
( N m) 2

n = N + m +1

N
( N m) 2

m+n 2
1
( xx [n] + xx [n m] xx [n + m])
N
n = N + m +1

N m 1

( N m n) ( xx2 [n] + xx [n m] xx [n + m]) =

N m 1

(5.31)
297

Efectund un calcul similar, pentru m < 0 , se obine:


var(r [m]) =
'
xx

N + m 1
(5.31)
N
m + n 2

[
n
]
+
[
n

m
]
[
n
+
m
]
(
)

xx
xx

xx
( N | m |) 2 n = N m +1
N

Relaiile (5.31) i (5.31) pot fi combinate n una singur, i anume


var(rxx' [m]) =
=

N
( N | m |) 2

(5.31)
| m | +n 2

[
n
]
+
[
n

m
]
[
n
+
m
]
(
)

xx
xx
xx
N
n = N +|m|+1
N |m|1

| m | +n
Deoarece lim 1
= 1 i dac
N
N

n =

2
xx

[n] < , atunci

lim var{rxx ' [m]} =

N
lim
2
N
( N | m |)

N |m|1

| m | +n 2

1
xx [n] + xx [n m] xx [n + m]
N
n = N +|m|+1

) = 0

(5.32)
Deoarece E[rxx' [m]] = xx [m] i dispersia estimatului converge
la 0 pentru N , estimatul rxx[m] se numete consistent.
n general, dac N este finit, pentru valori mari ale
parametrului m, estimatul rxx[m] dat de (5.26) are o dispersie mare.
Dac estimatul se calculeaz cu relaia
1 N m 1
x[n]x[ n + m], 0 m N 1
N
n =0
rxx [m] = N 1
1 x[n]x[n + m], N + 1 m < 0
N n = m

(5.33)

atunci, valoarea medie statistic a acestuia calculat pe mulimea


realizrilor particulare rezult

298

N m
1 N m 1
+
=
xx [m], 0 m N 1
E
[
x
[
n
]
x
[
n
m
]]

N n =0
N
E[rxx [m]] = N 1
1 E[ x[n]x[n + m]] = N | m | xx [m], N + 1 m < 0
N n =|m|
N
(5.34)
sau, ntr-o singur relaie
N | m |
(5.34)
E[rxx [m]] =
xx [m]
N
Valoarea medie statistic a estimatului prezint o deplasare
m
xx [m] .
N
Estimatul rxx[m] se spune c este asimptotic nedeplasat, deoarece
lim E[rxx [m]] = xx [m]
(5.35)

de

Dispersia acestui estimat este dat de relaia


var(rxx [m]) =
=

1
N

| m | +n 2
1
( xx [n] + xx [n m] xx [n + m])
N
n = N +|m|+1
N |m|1

| m | +n
Deoarece lim 1
= 1 i dac
N
N

lim var{rxx [m]} = 0 .

n =

2
xx

(5.36)

[n] < , atunci

Deoarece estimatul rxx [m] este asimptotic nedeplasat i


dispersia sa converge la 0 pentru N , se spune c acesta este un
estimat consistent pentru xx[m].
n estimarea spectrului de putere se va folosi estimatul rxx[m]
dat de (5.33).
Estimatul corespunztor al densitii spectrale de putere este
Pxx ( f ) =

N 1

m = ( N 1)

rxx [m]e j 2 fm
299

(5.37)

nlocuind (5.33) n (5.37), se obine


Pxx ( f ) =
N 1

N 1

m = ( N 1)

m = ( N 1)

rxx [m]e

j 2 fm

N 1

+ rxx [m]e j 2 fm =
m=0

N 1

r
m =1

rxx [m]e

j 2 fm

j 2 fm
+ rxx [m]e j 2 fm =
xx [ m]e
m =0

N 1 N m 1
1
j 2 fm
j 2 fm
+
+
+
x
n
x
n
m
e
x
n
x
n
m
e
[
]
[
]
[
]
[
]

=
N m =1 n =0
m=0 n =0
N 1
1 N 1 N m 1

j 2 fm
j 2 fm
+ x[n]x[n + m]e
x[n]x[n] =
x[n]x[n + m]e
(
)

N m =0 n =0
n =0

N 1
N 2
1
( x[n]x[n] + x[n]x[n]) + ( x[n]x[n + 1]e j 2 f 1 + x[n]x[n + 1]e j 2 f 1 ) +

N n =0
n =0
N 1 N m 1

+... + ( x[n]x[n + N 1]e j 2 f ( N 1) + x[n]x[ n + N 1]e j 2 f ( N 1) )


n =0

1
x[n]x[n] = [ x[0]x[0] + x[1] x[1] + ... + x[ N 1] x[ N 1] + x[0] x[1]e j 2 f 1
n =0
N
j 2 f 1
+ x[1]e
x[2]e j 2 f 2 + ... + x[ N 2]e j 2 f ( N 2) x[ N 1]e j 2 f ( N 1) +
x[1]e j 2 f 1 x[0] + x[2]e j 2 f 2 x[1]e j 2 f 1... + x[ N 1]e j 2 f ( N 1) x[ N 2]e j 2 f ( N 2)
N 1

+... + x[0]x[2]e j 2 f 2 + ... + x[ N 3]e j 2 f ( N 3) x[ N 1]e j 2 f ( N 1) +


x[2]e j 2 f 2 x[0] + ... + x[ N 1]e j 2 f ( N 1) x[ N 3]e j 2 f ( N 3) + ...
x[0]x[ N 1]e j 2 f ( N 1) + x[ N 1]e j 2 f ( N 1) x[0] =

1
x[0] ( x[0] + x[1]e j 2 f 1 + ... + x[ N 1]e j 2 f ( N 1) ) +
N
+ x[1]e j 2 f 1 ( x[0] + x[1]e j 2 f 1 + ... + x[ N 1]e j 2 f ( N 1) ) + ... +
x[ N 1]e j 2 f ( N 1) ( x[0] + x[1]e j 2 f 1 + ... + x[ N 1]e j 2 f ( N 1) ) =
N 1
1 N 1
1
j 2 fk
j 2 fn
=
x
n
e
x
k
e
[
]
[
]

N
N n =0
k =0

adic

300

N 1

x[n]e
n =0

2
j 2 fn

1
2
X(f ) ,
(5.38)
N
Aceast form a estimatului se numete periodogram.
Din (5.37) se calculeaz valoarea medie a estimatului Pxx(f)
Pxx ( f ) =

N 1
N 1

E[ Pxx ( f )] = E rxx [ m]e j 2 fm = E[rxx [m]]e j 2 fm =


m = ( N 1)
m= ( N 1)

m
j 2 fm
(5.39)
1 xx [m]e
N
m = ( N 1)
Interpretarea acestei relaii este c media spectrului estimat
este transformata Fourier a funciei de autocorelaie nmulit cu o
fereastr, adic
N 1

(5.40)
xx [m]

unde funcia fereastr este fereastra triunghiular Bartlett [71].


Media spectrului estimat este

xx [m] = 1

E[ Pxx ( f )] =

m
N

[m]e j 2 fm = 12 xx ( )WB ( f )d

(5.41)

m =

unde WB(f) este transformata Fourier a ferestrei Bartlett, iar xx ( f )


este densitatea spectral de putere ce se dorete a fi estimat.
Relaia (5.41) arat c media spectrului estimat este
convoluia dintre densitatea spectral de putere xx(f) i transformata
Fourier a ferestrei Bartlett. Aceast medie este o versiune netezit a
spectrului real i sufer de aceleai inconveniente de scurgere
spectral, cauzate de lungimea finit a secvenei de date.
Spectrul estimat este asimptotic nedeplasat, deoarece
N 1

lim E[ Pxx ( f )] = lim E rxx [m]e j 2 fm =


N
N
m = ( N 1)

301

(5.42)

m =

xx

[m]e j 2 fm = xx ( f )

Calculul dispersiei periodogramei este, n general, relativ


complicat i, tot n general, aceasta nu tinde la zero pentru N .
Cnd datele reprezint un proces aleator gausian, dispersia se
caluleaz dup cum urmeaz:
Fie x[n] zgomot alb, gausian, cu media nul i dispersia x2 .
Folosind expresia momentului reunit de ordinul patru pentru
variabile aleatoare gausiene dat de relaia (5.30), se poate scrie
1
E[ Pxx ( f1 ) Pxx ( f 2 )] = 2 E[ X ( f1 ) X ( f1 ) X ( f 2 ) X ( f 2 )] =
N
1
{E[ X ( f1 ) X ( f1 )]E[ X ( f 2 ) X ( f 2 )] +
(5.43)
N2
E[ X ( f1 ) X ( f 2 )]E[ X ( f1 ) X ( f 2 )] +
E[ X ( f1 ) X ( f 2 )]E[ X ( f1 ) X ( f 2 )]}

N 1
N 1

E[ X ( f1 ) X ( f 2 )] = E x[n]e j 2 f1n x[k ]e j 2 f2k =


k =0
n =0

N 1 N 1

x[n]x[k ]e

j 2 f1n j 2 f 2 k

n + k = v N 1 n N +1

n =0 k =0
N 1

v = ( N 1)

xx [v]e

j 2 f 2 v

n =0 v = n

N 1

j 2 ( f1 + f 2 ) n

n =0

= xx ( f 2 )e j ( f1 + f2 )( N 1)
= e

2 j ( f1 + f 2 )( N 1)
x

xx

[v]e j 2 f1n e j 2 f2 ( n v ) =

1 e j 2 ( f1 + f2 ) N
= xx ( f 2 )
=
1 e j 2 ( f1 + f2 )
sin ( f1 + f 2 ) N
=
sin ( f1 + f 2 )

sin ( f1 + f 2 ) N
sin ( f1 + f 2 )

(5.44a)

Similar, se calculeaz expresiile


E[ X ( f1 ) X ( f1 )] = x2 N

(5.44b)

E[ X ( f 2 ) X ( f 2 )] = x2 N

(5.44c)

302

E[ X ( f1 ) X ( f 2 )] = x2 e j ( f1 + f2 )( N 1)

sin ( f1 + f 2 ) N
sin ( f1 + f 2 )

(5.44d)

E[ X ( f1 ) X ( f 2 )] = x2e j ( f1 f2 )( N 1)

sin ( f1 f 2 ) N
sin ( f1 f 2 )

(5.44e)

E[ X ( f1 ) X ( f 2 )] = x2e j ( f1 f2 )( N 1)

sin ( f1 f 2 ) N
sin ( f1 f 2 )

(5.44f)

nlocuind relaiile (5.44a,b,c,d,e,f) n (5.43), se obine relaia


sin ( f + f ) N 2 sin ( f f ) N 2
1
2
1
2
E[ Pxx ( f1 ) Pxx ( f 2 )] = 1 +
+
(5.45)
+

N
sin

(
f
f
)
N
sin

(
f
f
)
1
2
1
2


4
x

Particulariznd (5.45) pentru f1 = f 2 = f , n cazul unui


proces alb, gausian, de medie nul, rezult
var[ Pxx ( f )] = E ( Pxx2 ( f ) ) ( E ( Pxx ( f ) ) =
2

sin 2 fN 2
= ( f ) 1 +

N sin 2 f
2
xx

(5.46)

care, pentru N devine


lim var[ Pxx ( f )] = 2xx ( f )

(5.47)

n concluzie, spre deosebire de funcia de autocorelaie


estimat, periodograma nu este un estimat consistent al densitii
spectrale de putere. Pxx(f) este un estimat asimptotic nedeplasat
pentru xx(f), dar, pentru o secven de durat finit, valoarea sa
medie este deplasat. Spectrul estimat sufer de efecte de netezire i
scurgere spectral, cauzate de nmulirea cu ferestra Bartlett.
5.1.2.1. Periodograma modificat

n cazul periodogramei, un proces aleator x[n] de lungime


finit este echivalent cu poriunea din proces creia i s-a aplicat
303

fereastra rectangular. Pe lng fereastra rectangular, se pot folosi


i alte ferestre, ca Bartlett, Hamming, Hanning, Blackman, Kaiser.
Periodograma
modificat este periodograma aplicat
procesului aleator trunchiat cu o fereastr oarecare w[n] i este dat
de
mod
xx

1
(f)=
NU

x[n]w[n]e

j 2 fn

(5.48)

n =

unde N este lungimea ferestrei i


1 N 1
U = | w[n] |2
N n =0

(5.49)

este o constant aleas astfel nct Pxxmod ( f ) s fie asimptotic


nedeplasat. Cele mai folosite ferestre i caracterizrile lor sunt
prezentate n Tabelul 5.1.
Acest tabel arat performanele ferestrelor uzuale, cum ar fi
nivelul lobilor secundari i rezoluia. Se observ c fereastra
rectangular are cea mai bun rezoluie (cel mai ngust lob
principal), astfel nct creeaz cea mai redus netezire spectral, dar
prezint cei mai mari lobi secundari, care pot masca spectre ale
semnalelor mai slabe. Fereastra Hamming are cel mai ntins lob
principal, dar lobul lateral este mai redus.
Tabelul 5.1
Tipul
ferestrei

Definiia ferestrei
cauzale w[n]
0 n N-1;

Rectangular

Triunghiular

1 N21 n N21

Limea
lobului
principal

4
N
8
N 1
304

Atenuarea
primului
lob
secundar
[dB]
-13
-25

Rezolu-ia

(f )3dB

0,89
N
1, 28
N

Hanning

0,5 0,5cos 2Nn1

Hamming

0,54 0, 46cos 2Nn1

0, 42 0,5cos 2Nn1 +
Blackman

+0,08cos 4Nn1

8
N 1
8
N 1

12
N 1

-31
-41

-58

1, 44
N
1,30
N
1,68
N

Caracterizarea estimatului
Urmnd o procedur similar celei folosite la analiza
performanelor periodogramei, se pot obine performanele
periodogramei modificate, adic valoarea medie, dispersia i
rezoluia.
Valoarea medie este dat de relaia
1
2
E { Pxxmod ( f )} = xx ( f ) W ( f )
(5.50)
N
Unde W ( f ) este transformata Fourier a ferestrei folosite.

Urmnd un mers de calcul similar celui folosit la


periodograma simpl, n cazul variabilei aleatoare gaussiene,
variana estimatului este [62]
var[ Pxxmod ( f )] 2xx ( f )

(5.51)

Rezoluia periodogramei modificate este egal cu limea de


band la -3dB a lobului principal al ferestrei. Se observ c
periodograma modificat este un estimat asimptotic nedeplasat, dar
neconsistent al spectrului de putere xx ( f ) .
Problemele care apar din cauza scurgerii spectrale i a
rezoluiei de frecven, ca i faptul c periodograma nu este un
estimat consistent, au reprezentat un motiv pentru dezvoltarea altor
metode de estimare a densitii spectrale de putere, ce vor fi
prezentate n paragraful 5.3.
305

5.1.3. Folosirea Transformatei Fourier Discrete


estimarea spectrului de putere

Dup cum se observ din (5.16) i (5.38), densitatea spectral


de energie estimat, S xx ( f ) , i periodograma Pxx(f) pot fi calculate
cu ajutorul Transformatei Fourier Discrete (DFT) care, la rndul
su, se poate calcula cu algoritmii FFT [53]. Dac lungimea datelor
este N, DFT se poate calcula n cel puin N puncte. n acest caz,
rezult urmtoarele eantioanele ale periodogramei
j 2 n k
k 1 N 1
N
Pxx = x[n]e
N
N
=
0
n

la frecvenele f k =k/N.

k = 0, 1, ., N 1

(5.53)

n practic, este posibil ca o astfel de eantionare a spectrului


s fie rar i s nu ofere o bun reprezentare grafic a estimatului
spectrului continuu, lucru ce poate fi remediat prin evaluarea lui
Pxx(f) la unele frecvene adiionale, prin creterea lungimii secvenei
prin adugarea de zerouri pn la o lungime a secvenei de L>N
puncte.
2

j 2 n k
k 1 N 1
L
, k = 0, 1, . . ., L 1. (5.54)
Pxx = x[n]e
L N n =0
Adugarea de zerouri i evaluarea DFT n L>N puncte nu
mbuntete rezoluia de frecven a estimatului, ci ofer numai o
metod de interpolare a valorilor spectrului calculat la mai multe
frecvene. Rezoluia de frecven este determinat de lungimea N a
datelor nregistrate.

Exemplul 5.2.
Secvena discret de lungime N=16 eantioane
x[n] = sin 2 (0,135) n + cos 2 (0,135 + f )n, n = 0,1,...,15
306

se obine prin eantionarea unui semnal analogic compus din dou


componente. f reprezint separaia de frecven ntre aceste
componente. S se evalueze spectrul de putere P ( f ) =

1
2
X ( f ) , la
N

k
, k = 0,1,..., L 1 , pentru L = 8,16,32 i 128 ,
N
pentru valorile f = 0,06 i f = 0,01 .

frecvenele f k =

Soluie
Prin completarea cu zerouri s-a mrit lungimea datelor pentru
k
care se calculeaz spectrul de putere Pxx .
L
Rezultatele pentru f = 0,06 sunt prezentate n figurile 5.6a,

b, c, d pentru L=8, 16, 32 i, respectiv, 128 de puncte.

Fig. 5.6. Spectrul unui semnal cu dou componente sinusoidale cu separaia de


frecven f = 0, 06

307

Se observ c adugarea de zerouri nu a modificat rezoluia,


k
dar are efect de interpolare a spectrului Pxx . n acest caz,
L
separaia de frecven este suficient de mare, nct cele dou
componente spectrale pot fi identificate n semnal.

Estimaii spectrali pentru f = 0,01 sunt prezentai n figura


5.7a, b, c, d pentru L=8, 16, 32 i, respectiv, 128 de puncte.
n acest caz cele dou componente spectrale nu mai pot fi
identificate. Efectul adugrii de zerouri const n interpolarea
valorilor spectrului, astfel nct se obine o imagine grafic mai
bun a estimatului spectrului, fr, ns, a se mbunti rezoluia de
frecven.

Fig. 5.7. Spectrul unui semnal cu dou componente sinusoidale cu separaia de


frecven f = 0, 01

308

5.2. Metode neparametrice pentru estimarea


densitii spectrale de putere
Metodele neparametrice de estimare a spectrului sunt relativ
simple i uor de implementat cu ajutorul algoritmilor FFT. Ele
necesit secvene lungi de date pentru a produce rezoluia de
frecven necesar n unele aplicaii. Aceste metode sufer de
scurgere spectral datorit folosirii ferestrelor i, implicit, a
datelor de lungime finit, N. De multe ori scurgerea spectral
mascheaz semnalele slabe prezente n date.
Limitarea principal a metodelor neparametrice este
presupunerea c estimatul funciei de autocorelaie rxx[m] este zero
pentru m N , ceea ce limiteaz rezoluia n frecven i calitatea
estimatului spectrului de putere.
Metodele neparametrice descrise n acest paragraf nu in
seama de modul n care au fost generate datele. Deoarece obinerea
estimailor se bazeaz complet pe date de lungime finit, rezoluia
de frecven obinut prin aceste metode este, n cel mai bun caz,
egal cu limea spectral a ferestrei rectangulare de lungime N,
care este de aproximativ 1/N la -3dB [33]. Metodele neparametrice
urmresc obinerea unui estimat consistent al densitii spectrale de
putere prin operaii de mediere i netezire efectuate direct asupra
periodogramei i a funciei de autocorelaie. Dup cum se va vedea,
efectul acestora este de reducere a rezoluiei de frecven, odat cu
scderea dispersiei estimatului.
5.2.1. Metoda Bartlett. Periodograma mediat

Metoda Bartlett de reducere a dispersiei periodogramei,


implic trei pai:
309

1. Secvena de date de lungime N se mparte n K segmente


care nu se suprapun, fiecare de lungime M
xi [n] = x[n + iM],
i = 0, 1, , K-1
n = 0, 1, , M-1
(5.55)
2. Pentru fiecare segment se calculeaz periodograma
1
P (f)=
M
(i )
xx

M 1

x [n]e
n =0

2
j 2 fn

i = 0, 1, , K-1

(5.56)

3. Pentru a se obine estimatul Bartlett al densitii spectrale


de putere, se consider media aritmetic a celor K periodograme,
adic
1 K 1 ( i )
B
Pxx ( f ) = Pxx ( f )
(5.57)
K i =0
Caracterizarea estimatului
Presupunnd datele staionare i M suficient de mare,
1 K 1
E[ PxxB ( f )] = E[ Pxx( i ) ( f )] = E[ Pxx( i ) ( f )]
(5.58)
K i =0
Din (5.39) i (5.41) rezult valoarea medie a fiecrei periodograme
ca fiind
E[ Pxx( i ) ( f )] =

1
=
M

1
M
m = M +1
M 1

1/ 2

2 j fm
=

[
]
m
e
xx
xx ( )WB ( f )d
1/ 2

sin ( f ) M
xx ( )
d

1/ 2
sin ( f )
1/ 2

(5.59)
unde
2

1 sin fM
WB ( f ) =
(5.60)

M sin f
este transformata Fourier a ferestrei Bartlett, definit de relaia

310

m
1 , m M 1
wB [n] = M

0, n rest

(5.61)

Reducerea lungimii datelor de la N la M=N/K are ca rezultat


o fereastr care are o caracteristic de frecven cu limea lobului
principal crescut de K ori, aa nct rezoluia de frecven s-a redus
K
de K ori, (f )3 dB = 0,89 . Admind ipoteza anterioar asupra
N
datelor i faptul c seturile de date sunt independente, dispersia
estimatului Bartlett este
1 K 1
1
var[ PxxB ( f )] = 2 var[ Pxx( i ) ( f )] = var[ Pxx( i ) ( f )]
(5.62)
K i =0
K
nlocuind (5.51) n (5.62), pentru un proces aleator gaussian,
se obine
sin 2 fM 2 1 2
1 2
var[ P ( f )] = xx ( f ) 1 +
xx ( f ) (5.63)
K
M sin 2 f K
adic dispersia s-a redus de K ori.
n realitate seturile de date nu sunt independente dect n
unele cazuri particulare, cum este cel al zgomotului alb i, n
consecin, reducerea dispersiei este mai mic dect K ori.
B
xx

5.2.2. Metoda Welch. Periodograma mediat modificat

Welch a operat dou modificri eseniale asupra metodei


Bartlett:
1. Segmentele de date se pot suprapune
xi[n] = x[n + iD] n = 0, 1, , M 1
i = 0, 1, , L 1
(5.64)
311

unde iD este punctul de ncepere pentru secvena i. Dac D = M,


segmentele nu se suprapun i numrul L de segmente este egal cu K
din metoda Bartlett. Dac D = M/2, exist 50% suprapunere peste
segmente succesive i L = 2K segmente. Se pot obine K segmente
de lungime 2M fiecare. Ca urmare a suprapunerii blocurilor, se
obine, aa cum se va vedea, o anumit reducere a dispersiei.
2. nainte de a calcula periodograma, segmentele de date sunt
ponderate cu o fereastr, ceea ce conduce la o periodogram
modificat
1
P (f)=
MU
(i )
xx

M 1

x [n]w[n]e
n =0

2
j 2 fn

, i = 0, 1, , L 1

(5.65)

unde U este un factor de normalizare a puterii funciei fereastr i


este ales ca
1 M 1 2
U=
(5.66)
w [ n]
M n=0
Utilizarea funciei fereastr are drept efect reducerea lobilor
laterali i, deci, a fenomenului de scurgere spectral.
Estimatul Welch al densitii spectrale de putere este media
aritmetic a acestor periodograme modificate, adic
1 L 1
Pxxw ( f ) = Pxx( i ) ( f )
(5.67)
L i =0
Caracterizarea estimatului
Valoarea medie a estimatului Welch este
1 L 1
E[ Pxxw ( f )] = E[ Pxx( i ) ( f )] = E[ Pxx( i ) ( f )]
(5.68)
L i =0
Valoarea medie a periodogramei modificate se determin astfel:
1 M 1 M 1
E[ Pxx( i ) ( f )] =
w[ n]w[m]E[ xi [n]xi [m]]e 2 j f ( n m ) =

MU n =0 m =0

312

1
MU

M 1 M 1

w[n]w[m]
n =0 m=0

xx

(n m)e 2 j f ( n m )

(5.69)

Dar

xx [n] =

1/ 2

xx ( )e j 2 n d

(5.70)

1/ 2

nlocuind relaia (5.70) n (5.69), se obine


1 1/ 2
M 1 M 1

(i )
(
)
E[ Pxx ( f )] =

w[ n]w[ m]e j 2 ( n m )( f ) d =

xx

MU 1/ 2
n =0 m =0

1/ 2

xx ( )W ( f )d

1/ 2

(5.71)
unde, prin definiie
1
W( f ) =
MU

M 1

w[n]e

2
j 2 fn

(5.72)

n =0

Factorul de normalizare asigur c


1/ 2

W ( f )df

=1

(5.73)

1/ 2

Dispersia estimatului Welch este


1 L 1 L 1
var[ Pxxw ( f )] = 2 E[ Pxx( i ) ( f ) Pxx( j ) ( f )] {E[ Pxxw ( f )]}2 (5.74)
L i =0 j =0
Estimatul acesta este, evident, echivalent cu periodograma, n
cazul cnd w[m] este o fereastr dreptunghiular i M=N-1.
n cazul nesuprapunerii segmentelor succesive (L=K) i a
folosirii ferestre triunghiulare, s-a artat [62] c
1
1
(5.75)
var[ Pxxw ( f )] = var[ Pxx( i ) ( f )] 2xx ( f )
L
L
n cazul suprapunerii cu 50% a segmentelor succesive i
folosind fereastr triunghiular, dispersia estimatului Welch a
densitii spectrale de putere, este [62]
313

9 2
xx ( f )
(5.76)
8L
Estimatul Welch este asimptotic nedeplasat i consistent.
Rezoluia acestuia depinde de fereastra folosit.
Dei s-a considerat numai fereastra triunghilar, n calculul
dispersiei pot fi folosite i alte ferestre. n general, acestea vor
determina dispersii diferite pentru estimai. n plus, segmentele de
date pot fi suprapuse cu mai mult sau mai puin de 50%, ct s-a
considerat n acest paragraf, n scopul mbuntirii caracteristicilor
relevante ale estimatului.
var[ Pxxw ( f )]

5.5.3.Metoda Blackman Tukey. Netezirea periodogramei

Autorii metodei au propus i analizat metoda n care secvena


de autocorelaie este nti multiplicat cu o fereastr i apoi se
calculeaz transformata Fourier pentru a estima densitatea spectral
de putere. Motivul pentru care funcia de autocorelaie estimat se
nmulete cu o fereastr este c, pentru deplasri mari, estimaii
sunt de ncredere mai mic deoarece sunt calculai dintr-un numr
mai mic, (N-m), de date. Pentru m apropiat de N, dispersia acestor
estimai este foarte mare i, deci, acetia ar putea interveni cu o
pondere mai mic n densitatea spectral de putere estimat.
Estimatul Blackman-Tukey este
PxxBT ( f ) =

M 1

m = M +1

rxx [m]w[m]e j 2 fm

(5.77)

unde w[n] este o fereastr aplicat estimatorului funciei de


autocorelaie, cu proprietatea c are lungimea 2M-1, 0 w[m] 1 ,
w[0] = 1 , w[ m] = w[ m] i este zero pentru m M .
314

Cu aceast definiie pentru w[n], limitele sumei din (5.77) pot


fi extinse la (, ) . Expresia echivalent n domeniul frecven a
relaiei (5.77) este
PxxBT ( f ) =

1/ 2

Pxx ( )W ( f )d

(5.78)

1/ 2

unde Pxx() este periodograma. Efectul nmulirii cu o fereastr a


secvenei de autocorelaie este de netezire a estimatului
periodogramei, deci descreterea dispersiei estimatului se face cu
preul reducerii rezoluiei. Ca urmare, rezoluia sau capacitatea de a
identifica dou componente spectrale apropiate este dependent de
limea lobului principal al caracteristicii de frecven a ferestrei. n
principiu, ar putea fi folosite toate ferestrele utilizate la sinteza
filtrelor FIR [72]. Trebuie avut ns n vedere ca estimatul s fie real
i nenegativ ( PxxBT ( f ) 0, f 1/ 2 ), deziderate asigurate de
proprietatea ca ferestra considerat s fie o funcie par, iar spectrul
su s fie nenegativ:
W ( f ) 0 , f 1/ 2
(5.79)
Unele ferestre nu satisfac aceast condiie, de exemplu, n
ciuda nivelului sczut al lobilor laterali, ferestrele Hamming i
Hanning pot avea ca rezultat estimai negativi ai spectrului n unele
domenii de frecven.
Caracterizarea estimatului
Valoarea medie a estimatului densitii spectrale de putere
Blackman-Tukey este
E[ PxxBT ( f )] =

1/ 2

E[ Pxx ( )]W ( f )d

(5.80)

xx ( )WB ( )d

(5.81)

1/ 2

unde, din (5.41), rezult


E[ Pxx ( )] =

1/ 2

1/ 2

315

unde WB(f) este transformata Fourier a ferestrei Bartlett. nlocuind


(5.81) n (5.80), se obine
E[ PxxBT ( f )] =

1/ 2 1/ 2

xx ( )WB ( )W ( f )d d

(5.82)

1/ 2 1/ 2

Echivalent, n domeniul timp, valoarea medie a estimatului


Blackman-Tukey este
E[ PxxBT ( f )] =
=

M 1

m = M +1

M 1

m = M +1

E[rxx [m]]w[m]e j 2 fm =

(5.83)

xx [m]wB [m]w[m]e

j 2 fm

unde
m
1 , m < N
(5.84)
wB [m] = N
0, n rest

Lungimea ferestrei pentru w[n] trebuie aleas astfel nct M << N,


adic fereastra w[n] s fie de lungime mai mic dect fereastra
wB [m] pentru a produce o netezire suplimentar a periodogramei. n

aceste condiii (5.82) devine


E[ P ( f )]
BT
xx

1/ 2

xx ( )W ( f )d

(5.85)

1/ 2

deoarece
1/ 2

WB ( )W ( f ) d =

1/ 2

1/ 2

( )W ( f )d

1/ 2

(5.86)

W ( f )

Dispersia estimatului Blackman-Tukey al spectrului este


var[ PxxBT ( f )] = E{[ PxxBT ( f )]2 } {E[ PxxBT ( f )]}2

(5.87)

unde valoarea medie poate fi aproximat de relaia (5.85), iar


valoarea ptratic medie este
316

E{[ PxxBT ( f )]2 } =


=

1/ 2 1/ 2

E[ Pxx ( ) Pxx ( )]W ( f )W ( f )d d

(5.88)

1/ 2 1/ 2

n ipoteza c procesul aleator este gaussian, folosind


rezultatul din exemplul 5.2, se obine
E[ Pxx ( ) Pxx ( )] =
sin ( + ) N 2 sin ( ) N 2 (5.89)
= xx ( ) xx ( ) 1 +
+

N sin ( + ) N sin ( )
nlocuind (5.89) n (5.88), se obine
2

1/ 2

E{[ P ( f )] } = xx ( )W ( f ) d +
1/ 2

BT
xx

1/ 2 1/ 2

xx ( ) xx ( )W ( f )W ( f )

(5.90)

1/ 2 1/ 2

sin ( + ) N 2 sin ( ) N 2

+
d d
N sin ( + ) N sin ( )
Primul termen din (5.90) este ptratul valorii medii a lui
PxxBT ( f ) , astfel nct al doilea termen din (5.90) reprezint dispersia.

n cazul n care N >> M , funciile sin( + )N/Nsin( +


) i sin( - )N/Nsin( - ) sunt relativ nguste n comparaie
cu W(f) n apropiere de = - i, respectiv = . Prin
urmare
sin ( + ) N 2 sin ( ) N 2
xx ( )W ( f )
+ N sin( ) d

N
sin
(
)
+
1/ 2



1/ 2

xx ( )W ( f + ) + xx ( )W ( f )
N

(5.91)
317

Cu aceast aproximare, dispersia lui PxxBT ( f ) devine


var[ PxxBT ( f )]
1
N

1/ 2

xx ( )W ( f )[ xx ( )W ( f + ) + xx ( )W ( f )]d

1/ 2

1
N

1/ 2

2xx ( )W 2 ( f )d

(5.92)

1/ 2

n care, s-a efectuat aproximarea


1/ 2

xx ( ) xx ( )W ( f )W ( f + )d 0

(5.93)

1/ 2

n relaia (5.92) mai poate fi fcut o aproximare. Dac W(f) este


ngust, comparativ cu spectrul real xx(f), (5.92) se poate
aproxima ca
1 1/ 2 2

var[ P ( f )] ( f ) W ( ) d
N 1/ 2

(5.94)
1 M 1 2
2
xx ( f )
w [ m ]
N m = M +1

i n acest caz se evideniaz cerine contradictorii n


obinerea unor estimatori de bun calitate:
- pentru o deplasare mic este necesar M mare,
- pentru o dispersie mic, M trebuie s fie ct mai mic.
De obicei se recomand o valoare de cel mult M=N/5.
BT
xx

2
xx

5.2.4. Caracteristici de performan ai estimatorilor


densitii spectrale de putere neparametrici

Pentru a compara calitatea estimailor periodogram, Bartlett,


Welch, Blackman-Tukey, s-a introdus ca masur a calitii, raportul
dintre ptratul valorii medii i dispersia estimatului, numit factor de
calitate, adic
318

QA =

{E[ PxxA ( f )]}2


var[ PxxA ( f )]

(5.95)

unde A = P, B, W sau BT pentru cei patru estimai.


Inversul acestei mrimi se numete variabilitate i poate fi,
de asemenea, folosit ca o msur a performanei.
a) Periodograma
Valoarea medie a periodogramei este

E[ P ( f )] =
P
xx

1/ 2

xx ( )WB ( f )d

(5.96)

1/ 2

unde
1 sin fN
WB ( f ) =

N sin f

(5.97)

i dispersia
sin 2 fN 2
var[ Pxx ( f )] = ( f ) 1 +

N sin 2 f
Pentru N
2
xx

E[ Pxx ( f )] xx ( f )

1/ 2

( )d = wB [0] xx ( f ) = xx ( f )

1/ 2

(5.98)

(5.99)

var[ Pxx ( f )] 2xx ( f )

adic, aa cum s-a precizat anterior, periodograma este un estimat


asimptotic nedeplasat al spectrului de putere, dar nu este consistent.
Asimptotic, periodograma este caracterizat de factorul de
calitate
2xx ( f )
QP = 2
=1
xx ( f )
319

(5.100)

Faptul c QP este fix i independent de lungimea datelor arat


calitatea sczut a acestui estimat.
b) Estimatul Bartlett
Media i dispersia estimatului Bartlett al spectrului de putere

sunt
E[ PxxB ( f )] =

1/ 2

xx ( )WB ( f )d

(5.101)

1/ 2

sin 2 fM 2
1 2
var[ P ( f )] = xx ( f ) 1 +

K
M
sin
2

f


B
xx

(5.102)

unde
1 sin fM
WB ( f ) =

M sin f

Pentru N i M , astfel nct K =


E[ PxxB ( f )] xx ( f )

1/ 2

(5.103)
N
rmne fix
M

( f )df = xx ( f ) wB (0) = xx ( f )

1/ 2

(5.104)
1 2
var[ P ( f )] xx ( f )
K
Se observ c estimatul Bartlett este asimptotic nedeplasat i
dac K crete odat cu N, estimatul este consistent. Asimptotic,
factorul de calitate al estimatului devine
N
(5.105)
QB = K =
M
Rezoluia n frecven a estimatului Bartlett, msurat prin
considerarea limii de band la 3dB a lobului principal al ferestrei
rectangulare, este [62]
B
xx

320

0,9
M
nlocuind (5.106) n (5.105) rezult
N
QB =
= 1,1N f
0,9 / f
f =

(5.106)

(5.107)

c) Estimatul Welch
Media i dispersia estimatului Welch al spectrului de putere

sunt
E[ P ( f )] =
W
xx

1/ 2

xx ( )W ( f )d

(5.108)

1/ 2

unde
1
W( f ) =
MU

M 1

w[n]e

2
j 2 fn

(5.109)

n =0

respectiv
1 2
f r suprapunere
L xx ( f )
W
var[ Pxx ( f )] =
pentru suprapunere 50%
9 2xx ( f )
8 L
i fereastr triunghiular
Pentru N i M
E[ PxxW ( f )] xx ( f )

(5.110)

(5.111)

Dac L crete odat cu N, dispersia 0, deci estimatul este


consistent.
n condiiile (5.110), factorul de calitate devine
N

fr suprapunere
L = M
QW =
(5.112)
50% suprapunere i
8 L = 16 N
9 M fereastr tringhiular
9
321

Limea de band a ferestrei triunghiulare la 3 dB este [71]


1, 28
f =
(5.113)
M
n consecin, factorul de calitate, exprimat n funcie de f i N
este
0,78 N f

QW =
1,39 N f

fr suprapunere
50% suprapunere i

(5.114)

fereastr triunghiular

d) Estimatul Blackman -Tukey


Media i dispersia acestui estimat sunt date aproximativ de
E[ P ( f )]
BT
xx

1/ 2

xx ( )W ( f )d

1/ 2

(5.115)
1 M 1 2
var[ P ( f )] ( f )
w [m]
N m = M +1

unde w[m] este secvena fereastr cu care se nmulete funcia de


autocorelaie estimat.
Pentru ferestrele triunghiular i rectangular, avem
2 M / N fereastra dreptungiular
1 M 1 2
w [ n] =
(5.116)

N n = M +1
2 M / 3 N fereastra triunghiular
BT
xx

2
xx

Valoarea medie a estimatului este asimptotic nedeplasat. Factorul


de calitate al estimatului, pentru fereastra triunghiular este
N
QBT = 1,5
(5.117)
M
Deoarece lungimea ferestrei este 2M 1, rezoluia n
frecven msurat la 3dB este
1, 28 0,64
f =
=
(5.118)
2M
M
i, deci
322

QBT =

1,5
N f = 2,34 N f
0,64

(5.119)

Din analiza factorului de calitate se observ c estimaii


Welch i Blackman-Tukey sunt relativ mai buni dect cel Bartlett.
Oricum, ns, diferenele de performane ntre estimatori sunt mici.
Factorul de calitate crete odat cu creterea lungimii datelor, ceea
ce nu se ntmpl pentru periodogram. Mai mult, factorul de
calitate depinde de produsul dintre lungimea datelor i rezoluia n
frecven. Pentru un nivel de calitate dorit, rezoluia n frecven
poate fi mbuntit prin creterea lungimii datelor.

5.3. Metode parametrice pentru estimarea spectrului


de putere
Metodele parametrice nu necesit presupunerile semnalate n
paragraful 5.2, ele extrapolnd valorile funciei de autocorelaie
pentru deplasri m N . Acest lucru este posibil dac exist
informaii despre modul cum au fost generate datele. n acest caz se
poate construi un model de generare a semnalului cu un numr de
parametri ce poate fi estimat din datele observate. Drept urmare,
aproximarea prin modelare elimin necesitatea funciilor fereastr i
presupunerea c secvena de autocorelaie este zero pentru
m N , ceea ce conduce la situaia c metodele parametrice de
estimare spectral ofer rezoluie n frecven mai bun dect cele
neparametrice.
Metodele parametrice se bazeaz pe modelarea secvenei de
date x[n] ca fiind ieirea unui sistem liniar caracterizat de o funcie
de sistem raional, de forma

323

bk z k

B( z )
H ( z) =
= k = 0p
A( z ) 1 + a z k
k

(5.120)

k =1

creia i corespunde ecuaia cu diferene


p

k =1

k =0

x[n] = ak x[n k ] + bk w[n k ] ,

(5.121)

unde w[n] este secvena de intrare n sistem.


n estimarea spectrului de putere, secvena de intrare nu este
observabil, dar dac ieirea x[n] este un proces aleator staionar,
atunci i secvena de intrare este, de asemenea, un proces aleator
staionar.
ntr-un astfel de caz, densitatea spectral de putere a datelor
(ieirii x[n] ) este
2

xx ( f ) = H ( f ) ww ( f )

(5.122)

unde ww ( f ) este densitatea spectral de putere a secvenei de


intrare i H(f) este rspunsul n frecven al modelului.
Deoarece obiectivul este estimarea spectrului xx ( f ) , este
convenabil a presupune c secvena de intrare w[n] este o secven
de zgomot alb, de medie zero, cu funcia de autocorelaie

ww [m] = w2 [m]

(5.123)

unde w2 este dispersia ( w2 = E[ w[ n] ]) . Rezult atunci


2

xx ( f ) = H ( f ) =
2
w

2
w

B( f )

A( f )

(5.124)

n seciunea 1.22 a fost descris reprezentarea unui proces


aleator staionar n forma (5.124). n abordarea pe baz de model,
estimarea spectrului se efectueaz n doi pai. Dat fiind secvena
finit x[n], 0 n N 1 , se estimeaz nti funcia de autocorelaie
324

dintr-o sum finit, apoi, pe baza acestor estimai, se estimeaz


parametrii { ak } i { bk } ai modelului. Pe baza acestora, se
estimeaz spectrul de putere conform relaiei
Pxx ( f ) = w2

B ( f )

A ( f )

(5.124).

Relaia (5.124) reprezint cazul general al metodelor


parametrice de estimare spectral, care arat c n acest demers
trebuie determinai estimaii parametrilor sistemului, { a } i { b }.
k

Se reamintete c procesul aleator x[n] generat de modelul


poli-zerouri dat de (5.120) sau (5.121) se numete proces
autoregresiv cu medie alunectoare (ARMA) de ordin (p,q).
Dac q=0 i b0 =1, modelul rezultat are o funcie de sistem
H(z)=

1
i ieirea sa, x[n], se numete proces autoregresiv de
A( z )

ordin p i se noteaz AR(p).


Al treilea model posibil se obine impunnd A(z)=1, astfel
nct H(z)=B(z). Ieirea x[n] se numete proces cu medie
alunectoare (MA) de ordin q , notat MA(q).
Dintre acestea, modelul AR este de departe cel mai folosit,
din dou motive:
1- este potrivit pentru reprezentarea spectrelor de band
ngust;
2- are ca rezultat ecuaii liniare foarte simple pentru
determinarea parametrilor AR.
Fa de acesta, modelul MA necesit mult mai muli coeficieni
pentru reprezentarea spectrelor de band ngust i este rareori
folosit singur ca model pentru estimarea spectrului.
325

Combinnd polii i zerourile, modelul ARMA produce o


reprezentare mai eficient din punct de vedere al numrului
parametrilor modelului pentru reprezentarea spectrului procesului
aleator, cu dezavantajul complicrii calculelor pentru parametrii
MA, care rezult din rezolvarea unor ecuaii neliniare.
Estimatorii parametrici au deplasri i dispersii mai mici
dect cei neparametrici. Folosind metodele parametrice de estimare,
se poate mbunti semnificativ rezoluia n frecven, cu condiia
ca modelul s fie adecvat procesului. n caz contrar, pot rezulta
estimatori neconformi cu realitatea, care conduc la decizii eronate.
5.3.1. Relaii ntre funcia de autocorelaie i parametrii
modelului

n paragraful 1.24 s-au stabilit relaiile dintre funcia de


autocorelaie xx[m] i parametrii {ak} i {bk} ai modelului ARMA
adoptat pentru proces. Pentru un proces ARMA(p,q), aceste relaii
sunt
p
ak xx [m k ]
m>q

k =1
p
qm

xx [m] = ak xx [m k ] + w2 h[k ]bk + m 0 m q (5.125)


k =0
k =1
*
xx [ m]
m<0

Prin restricionarea lui m>q, relaiile (5.125) conduc la un


sistem de ecuaii liniare din care se pot determina parametrii {ak}.
Acestea sunt

326

xx [q ]
[q + 1]
xx
..............

xx [q + p 1]

xx [q 1]
xx [q]

...
...
.............
...
xx [q + p 2] ...

xx [q p + 1]
xx [q p + 2]

a1
a
2
. =
..................... ...

xx [q]
a p

xx [q + 1]
[q + 2]

(5.126)
= xx
........

xx [q + p ]
n practic se cunoate numai un interval finit dintr-o
realizare particular a procesului, din care se estimeaz valorile
funciei de autocorelaie. Folosind aceste valori estimate n loc de
xx[m], din sistemul de ecuaii (5.126) se determin parametrii ak .

Din relaia (5.126) se observ c dac se cunosc parametrii


{ak} i funcia de autocorelaie pentru valori ale argumentului din
intervalul 0 m p , atunci valoarea funciei de autocorelaiei se
poate determina n mod unic i pentru m > q. n consecin, modelul
sistemului liniar extinde valorile funciei de autocorelaie pentru
m>p.
Parametrii {ak} sunt obinui din (5.126), dar acetia nu pot fi
folosii n determinarea facil a parametrilor MA, deoarece n
ecuaia
qm

k =0

k =1

w2 h[k ]bk + m = xx [m] + ak xx [m k ] , 0 m q

(5.127)

intervine rspunsul la impuls h[k] al sistemului. Acesta poate fi


exprimat n funcie de parametrii {bk} i {ak} prin mprirea lui
B(z) la A(z), dar aceasta conduce la un set de ecuaii neliniare pentru
parametrii MA.

327

5.3.2. Estimarea spectrului de putere pe baza modelului


autoregresiv (AR)

Dac se adopt un model AR(p) pentru datele observate,


relaia dintre parametrii modelului i secvena de autocorelaie se
obine din (5.125), pentru q=0, adic
p
ak xx [m k ]
m>0

k =1
p

(5.128)
xx [m] = ak xx [m k ] + w2 m = 0
k =1

xx* [ m]
m<0

n acest caz parametrii {ak} se obin din soluia sistemului de

ecuaii
xx [0]
[1]
xx
...

xx [ p 1]

xx [1]
xx [0]

a1
xx [1]
a
[2]
2

.
= xx (5.129)
...
...
...

xx [0]
a p
xx [ p ]
care reprezint ecuaiile Yule-Walker sau normale.
...
...
...
...
xx [ p 2] ...

xx [ p + 1]
xx [ p + 2]

Dispersia w2 poate fi obinut din ecuaia


p

w2 = xx [0] + ak xx [ k ]

(5.130)

k =1

Ecuaiile (5.129) i (5.130) sunt de obicei combinate n una


singur, de forma
... xx [ p ]
xx [1]
xx [0]
1 w2

[1]
xx [0]
... xx [ p + 1] a1 0
xx
.
(5.131)
=
.............. ............. ... ..................... ... ........


xx [ p 1] ... xx [0]
xx [ p ]
a p 0
328

Matricea de corelaie din (5.129) sau (5.131) este Toeplitz,


motiv pentru care ecuaiile Yule Walker pot fi rezolvate eficient cu
algoritmul Levison-Durbin. Toi parametrii modelului AR(p) pot fi
determinai din secvena de autocorelaie xx[m], pentru 0 m p .
Mai mult, din (5.128), dup ce s-au determinat coeficienii {ak}, se
poate calcula funcia de autocorelaie pentru m > p. Dac procesul
aleator este cunoscut numai pentru un interval finit , 0 n N 1 ,
n determinarea parametrilor modelului vor interveni estimai ai
funciei de autocorelaie. Exist mai multe posibiliti de a estima
funcia de autocorelaie a procesului, lucru care conduce la diferite
metode de estimare a spectrului de putere pentru semnale modelate
AR.
5.3.3. Estimarea spectrului de putere a semnalelor
modelate AR folosind metoda autocorelaiei sau YuleWalker

n aceast metod se estimeaz secvena de autocorelaie din


date i apoi estimaii se folosesc n relaiile Yule-Walker (5.129)
pentru a determina parametrii modelului AR.
Funcia de autocorelaie se determin cu relaia
1 N m 1
rxx [m] =
(5.132)
x [n]x[n + m], m 0
N n =0
Din paragraful 3.5 se reamintete c parametrii ak ai
procesului AR ( p ) sunt egali cu coeficienii predictorului {a p [ k ]}
de ordin p i eroarea ptratic medie minim a predictorului de
ordinul p este egal cu dispersia zgomotului alb care se aplic
modelului pentru a forma datele.
329

Datorit egalitii semnalate anterior, parametrii AR se


determin cu ajutorul algoritmul Levison-Durbin n care xx [m] se
nlocuiete cu rxx [m] .
Estimatul corespunztor al spectrul de putere este
2
wp
YW
Pxx ( f ) =
2
p
j 2 kf
1 + a p [ k ]e

(5.133)

k =1

unde a p [k ] sunt estimaii parametrilor AR rezultai din ecuaiile


recursive Levison-Durbin, iar
p

2
2
wp
= E pf = rxx [0] 1 ( ak [k ])

(5.134)

k =1

este valoarea ptratic medie minim a erorii de predicie estimate


pentru predictorul de ordin p.
Un exemplu care ilustreaz performanele acestui estimator
din punct de vedere al rezoluiei n frecven, comparativ cu alte
metode, este prezentat n paragraful 5.3.9.
5.3.4. Estimarea spectrului de putere a semnalelor
modelate AR folosind metoda Burg

Metoda propus de Burg pentru estimarea parametrilor


modelului AR poate fi asimilat cu o metod lattice recursiv n
care coeficienii de reflexie se estimeaz pe baza minimizrii
erorilor din predicia liniar nainte i napoi, exprimate n form
compus, cu costrngerea c parametrii AR s satisfac ecuaiile
recursive Levison-Durbin.
Pentru a obine estimatul, fie datele x[n], n = 1,2,..,N-1 i fie
estimaii prediciei liniare nainte i napoi, de ordin m, dai de
relaiile
330

x[n] = am [k ] x[n k ]
k =1

x[n m] = am [ k ]x[n + k m]

(5.135)

k =1

i erorile de predicie corespunztoare fm[n] i respectiv, gm[n], date


de
m

f m [n] = x[n] x[n] = x[n] + am [k ] x[n k ]


k =1

g m [n] = x[n m] x[n m] =

(5.136)

= x[n m] + am [ k ]x[n m + k ]
k =1

unde am[k], 0 k m 1 , m = 1, 2,, p, sunt coeficienii de


predicie.
Eroarea ptratic global se determin cu relaia
N 1

[ f

n=m

[ n] + g m [ n] ] = m

(5.137)

Aceast eroare urmeaz a fi minimizat prin alegerea


coeficienilor de predicie, supui costrngerii de a satisface
ecuaiile recursive Levison-Durbin, date de
am [ k ] = am 1[ k ] + K m am 1[m k ], 1 k m 1, 1 m p (5.138)

unde Km = am[m] este al m-lea coeficient de reflexie din realizarea


lattice a predictorului.
Se reamintete c prin nlocuirea relaiei (5.138) n (5.136)
rezult perechea de ecuaii recursive pentru erorile de predicie
nainte i napoi, de forma
f m [n] = f m 1[n] + K m g m 1[n 1]
(5.139)
g m [n] = g m 1[n 1] + K m f m 1[ n]
nlocuind (5.139) n (5.137) i minimiznd n raport cu Km ,
rezult
331

N 1

2
2
m = ( f m1[n] + K m g m1[n 1]) + ( g m1[ n 1] + K m f m1[n]) =
n=m

N 1

= f m21[n] + 2 K m f m 1[n]g m 1[n 1] + K m2 g m2 1[n 1] +


n=m

+ g m2 1[n 1] + 2 K m f m 1[n]g m 1[n 1] + K m f m1[n]

(5.140)

Condiia necesar de extrem este


N 1
m
= 4 f m 1[n]g m 1[n 1] + 2 K m ( g m2 1[n 1] + f m21[n]) = 0 (5.141)
K m
n=m

de unde rezult
N 1

K m =

f m 1[n]g m 1[ n 1]

n=m
m = 1, 2,..., p
(5.142)
1 N 1
2
2
( f m1[n]) + ( g m1[n 1])
2 n=m
Numrtorul relaiei (5.142) este un estimat al coeficientului
de corelaie dintre erorile de predicie nainte i napoi. Se observ
c K m < 1 , astfel nct modelul numai cu poli obinut din date este

stabil. De asemenea, se observ similitudinea dintre (5.142) cu


corespondentul Km statistic dat de (3.61). Numitorul relaiei (5.142)
este estimatul pe baza celor mai mici ptrate a erorilor nainte i
napoi Emf 1 i Emb 1 , aa c se poate scrie
N 1

K m =

f m 1[n]g m 1[ n 1]
n=m

1 f
Em 1 + E mb 1

m = 1, 2,..., p

(5.143)

unde E mf 1 + E mb 1 este un estimat al erorii ptratice globale m .


n concluzie, algoritmul Burg calculeaz coeficienii de
reflexie ai structurii lattice echivalente cu relaia (5.143), iar
parametrii modelului AR sunt obinui apoi cu ajutorul algoritmului
Levison -Durbin.
332

Din estimaii astfel obinui rezult estimatul spectrului de


putere
PxxBU ( f ) =

E p
p

1 + a p [k ]e j 2 fk

(5.144)

k =1

unde E p este valoarea ptratic medie a erorii globale de predicie


estimate pentru predictorul de ordin p.
Avantajele majore ale metodei Burg sunt:
1- are rezoluie bun n frecven;
2- determin un model AR stabil;
3- este eficient din punct de vedere al calculelor.
Dezavantaje:
Algoritmul prezint o scindare a liniilor sau componentelor
(vrfurilor) spectrale pentru raporturi semnal zgomot ridicate [39].
Aceasta nseamn c, dac spectrul semnalului x[n] are o singur
component spectral la o anumit frecven, n spectrul estimat cu
ajutorul metodei Burg pot aprea dou sau mai multe componente
apropiate n imediata vecintate a frecvenei respective. Aceast
situaie este ilustrat n figura 5.15. Pentru ordine mari, metoda
poate introduce vrfuri (componente) false, de nivel sczut, n
spectrul estimat la frecvene la care spectrul semnalulul este nul.
Mai mult, pentru semnale sinusoidale de durat mic, afectate de
zgomot, rezult o deplasare de frecven fa de frecvena adevrat,
funcie de faza semnalului sinusoidal [15] [72].
n literatura de specialitate se trateaz modificri ale metodei
Burg pentru surmontarea acestor dezavantaje, modificri care, n
esen, constau n introducerea unei ferestre de ponderare a erorilor
ptratice nainte i napoi. n felul acesta se optimizeaz eroarea
ptratic ponderat
333

N 1

2
2
mWB = wm [n] f m [m] + g m [n]

(5.145)

n=m

nlocuind (5.139) n (5.145) i minimiznd n raport cu


coeficienii de reflexie, rezult, prin parcurgerea unei proceduri
similare celei folosite la optimizarea erorii neponderate
N 1

K m =

wm 1[n] f m 1[n]g m 1[n 1]


n=m

(5.146)
1
2
2

wm1[n] f m1[n] + g m1[n 1]


2 n=m
Rezultate bune au fost obinute prin folosirea ferestrelor
Hamming i parabolic [61].
Un exemplu care ilustreaz performanele metodei Burg este
prezentat n paragraful 5.3.9.
N 1

5.3.5. Estimarea spectrului de putere a semnalelor


modelate AR folosind metoda covarianei modificate sau
a celor mai mici ptrate fr constrngeri

Dup cum s-a prezentat anterior, metoda Burg const n


folosirea unui algoritm lattice utiliznd metoda celor mai mici
ptrate cu constngerea pentru coeficienii predictorului de a
satisface ecuaiile Levison-Durbin.
Ca urmare a acestei constrngeri, creterea ordinului
modelului AR necesit numai o singur optimizare a parametrilor la
fiecare etap. Spre deosebire de aceast abordare, se poate folosi
algoritmul celor mai mici ptrate fr aceast costrngere.
Pentru a detalia, se construiete estimatul prediciei liniare
nainte i napoi i erorile corespunztoare ca n relaiile (5.135) si
(5.136).
Se minimizeaz suma ptratelor ambelor erori, adic
334

N 1

2
2
p = f p [ n] + g p [ n] =
n= p

(5.148)
2
2
p
p

x[n] + a p [k ]x[ n k ] + x[n p ] + a p [k ]x[n + k p ]

n= p
k =1
k =1

ca n metoda Burg.
n (5.148) nu se mai impune ca parametrii AR s satisfac
relaiile Levison-Durbin. Minimizarea fr constrngeri a lui p n
N 1

raport cu coeficienii de predicie determin setul de ecuaii liniare


p

a [k ]r
k =1

xx

[l , k ] = rxx [l ,0] l = 1, 2,..., p

(5.149)

unde, prin definiie, secvena rxx [l , k ] este


N 1

rxx [l , k ] = [ x[n k ]x[n l ] + x[n p + l ]x[n p + k ]] (5.150)


n= p

Eroarea rezultat utiliznd metoda celor mai mici ptrate (LS) este
p

pLS = rxx [0,0] + a p [k ]rxx [0, k ]

(5.151)

k =1

Estimatul spectrului de putere rezultat n urma folosirii


algoritmului LS fr costrngeri este
LS
xx

pLS
p

1 + a p [k ]e j 2 fk

(5.152)

k =1

Matricea de corelaie din (5.150) nu este Toeplitz, aa c


algoritmul Levison-Durbin nu poate fi aplicat, dar pot fi dezvoltai
ali algoritmi pentru eficientizarea calculelor, de complexitate O(p2).
Caracteristicile acestei metode sunt superioare metodei Burg,
n sensul c nu prezint aceeai senzitivitate la apariia scindrii
liniilor spectrale, a vrfurilor false i a deplasrii de frecven.
Aceast metod, n schimb, nu garanteaz c parametrii AR astfel
estimai determin un model AR stabil.
335

Un exemplu care ilustreaz aceast metod este prezentat n


paragraful 5.3.9.
5.3.6. Alegerea ordinului modelului AR

Ca regul general, dac se adopt un ordin prea mic pentru


modelul AR, se obine un spectru puternic netezit. Dac ordinul p
este prea mare, exist riscul introducerii de vrfuri false de nivel
sczut n spectru.
Un indicator de performan al modelului AR este valoarea
ptratic medie a erorii care, n general, este diferit pentru fiecare
din estimatorii prezentai. Valoarea ptratic medie a erorii
descrete cu creterea ordinului modelului. Se poate observa viteza
de descretere i apoi s se decid ncetarea creterii ordinului, cnd
eroarea devine mic. Aceasta abordare este, de obicei, imprecis i
necontrolabil.
Dou din cele mai bune criterii pentru selectarea ordinului
modelului au fost propuse de Akaike [34]:
1-Criteriul erorii de predicie finale FPE (Final Prediction
Error) n care ordinul este selectat astfel nct s se minimizeze
indicele de performan
2 N + p +1
FPE ( p) = wp

N p 1

(5.153)

2
este dispersia estimat a erorii de predicie liniar.
unde wp

2-Criteriul informaiei Akaike AIC(p),(Akaike Information


Criterion) se bazeaz pe alegerea ordinului care minimizeaz
cantitatea
2
AIC ( p ) = ln wp
+ 2p/ N
(5.154)
336

2
Cu creterea ordinului, descrete ln wp
, n timp ce termenul

2p/N crete.
3- O form alternativ pentru criteriul AIC este criteriul care
minimizeaz lungimea de descriere (MDL) (Minimize Description
Length)
2
MDL( p ) = N ln wp
+ p ln N
(5.155)
4- Criteriul de transfer autoregresiv (CAT) (Criterion
Aotoregresive Transfer)
1 p N k N p
CAT ( p ) =
(5.156)

2
2
N k =1 N wk
N wp
Ordinul p se alege s minimizeze cantitatea CAT(p).
Exemple privind alegerea ordinului i influena ordinului
asupra estimatului spectrului de putere sunt prezentate n paragraful
5.3.9.
Trebuie precizat faptul c pentru aplicarea criteriilor
prezentate, din date trebuie nlturat valoarea medie. n general,
ordinul modelului depinde de criteriul folosit. Criteriul de selecie al
ordinului nu conduce totdeauna la rezultate definitive. n absena
oricrei informaii asupra procesului care are ca rezultat datele
observate, trebuie ncercate diferite ordine pentru model i diferite
criterii, care, ns, pot conduce la rezultate diferite.
5.3.7. Estimarea spectrului de putere pe baza modelului
cu medie alunectoare (MA)

n modelul MA(q), pentru datele observate, legtura dintre


secvena de autocorelaie xx[m] i parametrii MA ai modelului este
dat de sistemul de ecuaii
337

2 q
bk bk + m 0 m q
w
k =0

xx (m) = 0
m>q
(5.157)
* [m]
m<0
xx

obinut din (5.125), prin impunerea ak = 0, pentru k = 1,2,,p i


nlocuirea h[k] cu {bk}.
Pentru modelul considerat, din (5.124) rezult
xx ( z ) = w 2 H ( z ) H ( z 1 ) = w 2 B ( z ) B ( z 1 )

(5.158)

innd cont c
B ( z ) B ( z 1 ) = D ( z ) =

m = q

zm

(5.159)

unde coeficienii {dm} sunt legai de parametrii MA prin relaia


dm =

q m

bb
k =0

k k +m

,m q

(5.160)

rezult atunci
2
w d m m q
xx [m] =
m >q
0
Spectrul de putere pentru procesul MA(q) este

MA
xx ( f ) =

xx [m]e j 2 fm = w2

m = q

m = q

e j 2 fm

(5.161)

(5.162)

Se observ c nu este necesar a determina parametrii MA


pentru a estima spectrul de putere, ci sunt suficieni estimaii
secvenei de autocorelaie xx[m] pentru m q , adic
P (f)=
MA
xx

m = q

xx

[m]e j 2 fm ,

exact ca estimatul spectrului de putere neparametric.


338

(5.163)

Deoarece xx [m] = 0 pentru m > q , spectrul are aceeai


form ca i periodograma estimat. Ordinul procesului MA se
determin, de obicei, empiric. De exemplu, criteriul AIC pentru
modelul MA are aceeai form ca pentru modelul AR
2
AIC (q ) = ln wq
+ 2q / N
(5.164)
2
este un estimat al dispersiei zgomotului alb.
unde wq

Un alt mod de a verifica modelul este de a filtra datele prin


inversul modelului MA(q) i de a testa dac ieirea se apropie de
zgomotul alb. De asemenea, se poate urmri dac valoarea
estimailor nedeplasai ai secvenei de autocorelaie sunt apropiai
de zero pentru deplasri mari. Dac nu se ntmpl astfel, modelul
MA va avea rezultate slabe referitor la rezoluia n frecven i va fi
abandonat n favoarea modelului AR sau ARMA.
12.3.8. Estimarea spectrului de putere pentru semnale
modelate ARMA

Algoritmul Burg i variantele sale, precum i metoda celor


mai mici ptrate descrise anterior, furnizeaz estimai ai spectrului
de putere robuti, de rezoluie ridicat, pe baza modelului AR.
Modelul ARMA ofer posibilitatea mbuntirii estimatului
spectrului AR, prin folosirea a mai puini parametri pentru sistem.
Modelul ARMA este potrivit n special cnd datele sunt afectate de
zgomot, deoarece n acest caz semnalul rezultant conduce la un
proces ARMA. ntr-adevr, se presupune c datele x[n] sunt
generate de un sistem AR, a crui ieire este afectat de zgomot alb,
aditiv.
Transformata Z a funciei de autocorelaie a semnalului
rezultat poate fi exprimat ca
339

xx ( z ) =

w2
A( z ) A( z 1 )

+ n2 =

w2 + n2 A( z ) A( z 1 )
A( z ) A( z 1 )

(5.165)

unde n2 este dispersia zgomotului aditiv. Procesul x[n] este


ARMA(p,p), unde p este ordinul procesului.
Dup cum s-a artat, parametrii modelului ARMA sunt legai
de secvena de autocorelaie prin relaia
p
ak xx [m k ]
m>q
(a)

k =1
p
qm

2
xx [m] = ak xx [m k ] + w h[k ]bk + m 0 m q (b) (5.166)
k =0
k =1
*
xx [ m]
m<0
(c )

Pentru deplasri m > q , ecuaia implic numai parametrii

{ak}. Cu estimaii funciei de autocorelaie nlocuii n locul lui


xx[m], se pot rezolva cele p ecuaii din (5.166a) pentru a afla {ak } .
Pentru modele de ordin superior, este posibil ca aceast
abordare s conduc la estimai modeti pentru parametrii AR,
motiv pentru care aceasta nu este recomandat.
O metod mult mai demn de ncredere este de a construi un
sistem de ecuaii liniare cu mai multe ecuaii dect necunoscute
pentru m > q i a folosi metoda celor mai mici ptrate n
optimizarea coeficienilor modelului.
Pentru a detalia, se presupune c secvena de autocorelaie
poate fi estimat fidel pn la deplasarea M, unde M>p+q. n acest
caz, se poate scrie
p

rxx [m] = ak rxx [m k ] ,


k =1

m = q + 1, q + 2,..., M < N , M>p+q


340

(5.167)

Parametrii {ak} se selecteaz astfel nct s minimizeze


eroarea ptratic
M

e[ n] =

m = q +1

m = q +1

rxx [m] + ak rxx [m k ]

(5.168)

k =1

Minimizarea lui conduce la setul de ecuaii liniare pentru


parametrii {ak}
rxx [q ]
r [q + 1]
xx
..............

rxx [ M 1]

rxx [q 1]
rxx [q ]
.............
rxx [ M 2]

...
...
...
...

rxx [q p + 1] a1
rxx [q + 1]

r [q + 2]
rxx [q p + 2] a2

= xx
.
........
..................... ...

rxx [ M p ] a p
rxx [ M ]

(5.169)
Aceast relaie poate fi scris matriceal n forma
[ Rxx ][a ] = [rxx ]

(5.169)

unde
rxx [q ]
r [q + 1]
[ Rxx ] = xx
..............

rxx [ M 1]

rxx [q 1]
rxx [q ]
.............
rxx [ M 2]

...
...
...
...

rxx [q p + 1]
rxx [q p + 2]
.....................

rxx [ M p ]

a1
rxx [q + 1]
a
r [ q + 2]
2

[a] =
, [rxx ] = xx
...
........

rxx [ M ]
a p
Deoarece [ Rxx ] este o matrice de dimensiune (M-q) x p i (M-q) >p,

vectorul coeficienilor estimai se obine cu relaia


[a ] = ([ Rxxt ][ Rxx ]) 1[ Rxxt ][rxx ]

(5.170)

Procedura se numete metoda Yule-Walker modificat a


celor mai mici ptrate.
341

Secvenei de autocorelaie i se poate aplica o fereastr de


ponderare, pentru a scdea ponderea estimailor mai puin demni de
ncredere pentru deplasri mari. Odat estimai parametrii prii AR
ai modelului, se poate construi sistemul a crui funcie de sistem
este
p

A ( z ) = 1 + ak z k

(5.171)

k =1

Secvena x[n] poate fi apoi filtrat prin filtrul de tip FIR, cu funcia
de sistem A ( z ) , obinndu-se secvena
p

v[n] = x[n] + a k x[n k ] , n = 0,1, 2,..., N 1

(5.172)

k =1

Cascada dintre modelul ARMA(p,q) i modelul A ( z ) este


aproximativ procesul MA(q) generat de modelul B(z). Astfel se
poate folosi estimatul MA pentru a obine spectrul MA. n
particular, secvena filtrat v[n] pentru p n N 1 este folosit
pentru a forma secvena de corelaie estimat rvv[m], din care se
obine spectrul MA
P (f)=
MA
vv

m = q

vv

[ m]e j 2 fm

(5.173)

Se observ c parametrii {bk} nu sunt necesari n


determinarea spectrului de putere i c rvv[m] este un estimat al
autocorelaiei pentru modelul MA din (5.157).
n formarea estimatului rvv[m] se poate folosi ponderarea cu o
fereastr Bartlett, pentru dezaccentuarea estimailor corelaiei pentru
deplasri mari.
n final rezult
PxxARMA ( f ) =

PvvMA ( f )
p

1 + ak e
k =1

342

j 2 fk

(5.174)

Problema seleciei ordinului modelului ARMA(p,q) se


rezolv prin minimizarea indicelui AIC [62].
2( p + q )
2
AIC ( p, q ) = ln wpq
+
(5.175)
N
2
este un estimat al dispersiei erorii zgomotului alb aplicat
unde wpq
la intrarea modelului. Un test suplimentar asupra adecvrii unui
model particular ARMA(p,q) este de a filtra datele prin model i de
a testa dac la ieire se furnizeaz o secven de zgomot alb.
Aceasta ar putea necesita ca parametrii modelului MA, s fie
calculai din secvena de autocorelaie estimat, folosind
factorizarea
spectral
pentru
a
determina
B(z)
din
D( z ) = B ( z ) B ( z 1 ) .

5.3.9. Rezultate experimentale

n acest paragraf sunt prezentate cteva rezultate


experimentale privind performanele estimailor AR i ARMA ai
spectrelor de putere, folosind date generale artificial. Scopul acestor
simulri const n compararea metodelor de estimare spectral, din
punct de vedere al rezoluiei de frecven, deplasrii i robusteii n
prezena zgomotului aditiv. n aceste experimente, datele sunt
compuse din una sau dou sinosoide i zgomot aditiv. Cele dou
sinusoide sunt distanate n frecven cu f . n acest caz, procesul
real este de tip ARMA(4,4). n experimente se folosete un model
AR(p). Pentru raporturi semnal/zgomot mari, este de ateptat ca
modelul AR(4) s fie adecvat. Pentru raporturi semnal/zgomot
sczute este necesar un model AR de ordin mai mare pentru a
aproxima procesul ARMA (4,4). Rezultatele experimentale sunt n
concordan cu aceste aspecte. Raportul semnal/zgomot se definete
343

ca SNR = 10log10 A2 / 2 2 , unde 2 este dispersia zgomotului


aditiv, considerat alb, iar A, amplitudinea sinusoidei.
Frecvena sinusoidelor componente ale semnalului, nivelul
zgomotului, faza iniial i lungimea datelor sunt trecute pe fiecare
grafic.
n figura 5.8 sunt prezentai estimaii spectrului de putere
obinui prin metodele Yule-Walker, Burg i a celor mai mici ptrate
(LS), pentru o lungime a datelor de N=20, SNR=20dB i f = 0,13 .
Se observ c metoda Yule-Walker furnizeaz un estimat
puternic netezit, cu vrfuri mici. Dac distanarea n frecven
descrete la f = 0,07 , metoda Yule-Walker nu mai poate decela
ntre cele dou vrfuri, situaie ilustrat n figura 5.9.
De asemenea, se observ o deplasare n cazul metodei Burg.
Prin creterea lungimii datelor, metoda Yule-Walker poate decide
prezena celor dou componente spectrale. Din compararea acestor
trei metode se remarc faptul c metodele Burg i a celor mai mici
ptrate sunt superioare pentru nregistrri de lungime mic.

Fig. 5.8. Comparaie ntre metodele AR de estimare spectral


344

Fig. 5.9. Comparaie ntre metodele AR de estimare spectral

Efectul zgomotului aditiv asupra estimailor este ilustrat n


figura 5.10 pentru metoda celor mai mici ptrate.

Fig. 5.10. Efectul zgomotului aditiv asupra estimatului prin metoda LS


345

Efectul ordinului filtrului asupra metodelor Burg i LS este


prezentat n figurile 5.11, respectiv 5.12. Ambele metode arat
vrfuri false cnd ordinul filtrului este crescut la p=12.

Fig. 5.11. Efectul ordinului filtrului asupra metodei Burg

Fig. 5.12. Efectul ordinului filtrului asupra metodei LS

346

Efectul fazei iniiale este ilustrat n figurile 5.13 i 5.14


pentru metoda Burg i, respectiv, metoda LS. Se observ c metoda
LS este mai puin senzitiv la faza iniial dect metoda Burg.

Fig. 5.13. Efectul fazei iniiale asupra metodei Burg

Fig. 5.14. Efectul fazei iniiale asupra metodei LS

347

n figura 5.15 este artat scindarea liniilor spectrale n cazul


metodei Burg, pentru p=12. Se observ c pentru un model de
ordinul 8, acest lucru nu se produce. Metoda LS nu prezint
scindarea liniilor spectrale pentru aceleai condiii folosite n
simularea precedent. Aceast scindare din cazul metodei Burg
dispare cu creterea lungimii datelor.

Fig. 5.15. Scindarea liniilor spectrale n metoda Burg

n figurile 5. 16 i 5. 17 sunt prezentate proprietile de


rezoluie ale metodelor Burg i LS pentru f = 0,07 i N = 20 ,
pentru un SNR sczut (3dB). Deoarece procesul care conine
zgomot aditiv este ARMA, este necesat un model AR de ordin nalt
pentru o aproximare adecvat la SNR sczut. Se observ c
rezoluia de frecven se mbuntete cu creterea ordinului.

348

Fig. 5.16. Rezoluia de frecven n metoda Burg cu N=20

Fig. 5.17. Rezoluia de frecven n metoda LS cu N=20

n figura 5.18 se prezint eroarea de predicie final pentru


metoda Burg i un SNR =3dB. Pentru aceast valoare a raportului
349

semnal /zgomot, valoarea optim a ordinului modelului este p=12,


conform criteriului erorii de predicie finale (FPE).

Fig. 5.18. Eroarea de predicie final pentru estimatul Burg

n figura 5.19 este prezentat estimatul spectrului de putere


pentru dou sinusoide neafectate de zgomot, folosind un model
ARMA(10,10).

Fig. 5.19. Estimatul spectrului de putere pentru dou sinusoide neafectate de


zgomot, folosind modelul ARMA (10,10)
350

n figura 5.20 este prezentat estimatul spectrului de putere


pentru dou sinusoide afectate de zgomot, folosind un model
ARMA(10,10). Se observ calitatea bun a estimailor obinui prin
aceast metod. Condiiile n care au fost obinui aceti estimai
sunt prezentate n figur.

Fig. 5.20. Estimatul spectrului de putere pentru dou sinusoide n zgomot,


folosind modelul ARMA (10,10)

5.4.

Probleme rezolvate

1. Fie procesul AR(3) generat de ecuaia cu diferene


14
9
1
x[n] =
x[n 1] +
x[n 2] x[ n 3] + w[n]
24
24
24

unde w[n] este zgomot alb de dispersie w2


a) S se determine coeficienii predictorului liniar optim
pentru p = 3 .
b) S se determine funcia de autocorelaie xx [m], 0 m 5.
c) S se determine coeficienii de reflexie corespunztori
predictorului liniar anterior.
351

Soluie
1
14
9
1 3
1 z 1 z 2 +
z
24
24
24
14 1 9 2 1 3
A3 ( z ) = 1
z z +
z
24
24
24
Coeficienii predictorului optim sunt:
14
9
1
a3[0] = 1; a3[1] = ; a3[2] = ; a3[3] =
24
24
24
b) Particulariznd relaia (5.131) pentru datele problemei,
rezult sistemul
14
9
1

2
xx [0] 24 xx [1] 24 xx [2] + 24 xx [3] = w

14 [0] + 15 [1] + 1 [2] = 0


xx
xx
24 xx
24
24

9 [0] 13 [1] + [2] = 0


xx
xx
24 xx
24
1
9
14

xx [0] xx [1] xx [2] + xx [3] = 0


24
24
24
cu soluia:

a) H ( z ) =

xx [0] = 1, 47 w2 ; xx [1] = 1, 29 w2 ; xx [2] = 1, 25 w2 ; xx [3] = 1,15 w2 .


Pentru a determina valorile funciei de autocorelaie pentru
m > 3 , se folosete relaia
3

xx [m] = ak xx [m k ]
k =1

de unde rezult

xx [4] = 1,091; xx [5] = 0,964.


c) Coeficienii de reflexie se determin cu relaia
K m = am [m], 1 m p , unde am [m] se determin din polinoamele
352

corespunztoare structurii lattice cu m trepte.


A ( z ) K m Bm ( z )
, m = 3, 2,1.
Am1 ( z ) = m
1 K m2
K 3 = a3[3] = 0,042
14 1 9 2 1 3
z z +
z
24
24
24
1
9
14
B3 ( z ) =
z 1 z 2 + z 3
24 24
24
327 1 202 2
202
A2 ( z ) = 1 +
z
z K 2 = a2 [2] =
= 0,351
575
575
575
202 327 1 2
B2 ( z ) =
z +z
+
575 575
A3 ( z ) = 1

A1 ( z ) = 1 + 0, 452 z 1 K1 = a1[1] = 0, 452 .

2. Secvena de autocorelaie a unui proces aleator este


1, m = 0

0,5, m = 1

xx [m] =
0,625, m = 2
0,6875, m = 3

S se determine funciile de sistem Am ( z ) pentru filtrele


erorii de predicie pentru m = 1, 2,3 , coeficienii de reflexie K m i
erorile ptratice medii de predicie corespunztoare.
Soluie
Particulariznd relaiile (5.129) pentru datele problemei,
rezult
xx [0] xx [1] xx [2] a1
xx [1]
[1] [0] [1] a = [2]
xx
xx
xx
2
xx
xx [2] xx [1] xx [0] a3
xx [3]
353

0,5 0,625 a1
1
0,5

1
0,5 a2 = 0,625
0,5
0,625 0,5
0,6875
1 a3

cu soluia
3
1
a3[1] = 0; a3[2] = ; a3[3] = .
8
2
3
1
1
A3 ( z ) = 1 z 2 + z 3 , K 3 = a3[3] =
8
2
2
Funciile de sistem ale predictorului de ordin inferior se
determin recursiv din relaia
A ( z ) K m Bm ( z )
Am1 ( z ) = m
, m = 3, 2,1 ,
1 K m2

care conduce la soluiile


1 1 1 2
1
z z , K 2 = a2 [2] =
4
2
2
1
1
A1 ( z ) = 1 + z 1 , K1 = a1[1] =
2
2
Eroarea de predicie a predictorului cu m trepte se determin
cu relaia
A2 ( z ) = 1 +

Emf = Emf 1 (1 K m2 ) , cu E0f = xx [0] .

Rezult atunci:
1 2 3
E = E (1 K ) = xx [0] 1 =
2 4

f
1

f
0

2
1

E2f = E1f (1 K 22 ) = xx [0](1 K12 )(1 K 22 ) =


1 2 1 2 9
= 1 1 =
2 2 16

354

E3f = E2f (1 K 32 ) = xx [0](1 K12 )(1 K 22 )(1 K 32 ) =


1 2 1 2 1 2 27
= 1 1 1 =
2 2 2 64

3. a) S se determine spectrele de putere pentru procesele


aleatoare generate de urmtoarele ecuaii cu diferene:
1) x[n] = 0,81x[ n 2] + w[n] + w[n 1]

2) x[n] = w[n] w[n 2]


3) x[n] = 0,81x[ n 2] + w[n]
b) pentru procesele (2) i (3), s se determine funciile de
autocorelaie.
Soluie
1 z 1
a1. H ( z ) =
1 + 0,81z 2

(1 z ) (1 z ) =
(1 + 0,81z )(1 + 0,81z )
1

xx ( z ) = H ( z ) H ( z ) =
1

2
w

2
w

2 ( z + z 1 )
=
1,6561 + 0,81( z 2 + z 2 )
2
w

Evalund xx ( z ) pe cercul unitate, se obine


xx ( f ) = w2

2(1 cos 2 f )
1,6561 + 1,62 cos 4 f

a2. H ( z ) = 1 z 2
xx ( z ) = w2 H ( z ) H ( z 1 ) = w2 (1 z 2 )(1 z 2 ) = w2 (2 z 2 z 2 )
Evalund xx ( z ) pe cercul unitate, se obine
xx ( f ) = w2 (2 2cos 4 f )

355

a3. H ( z ) =

1
1 + 0,81z 2

xx ( z ) = w2 H ( z ) H ( z 1 ) = w2
= w2

(1 + 0,81z )(1 + 0,81z )


2

1
1,6561 + 0,81( z 2 + z 2 )

Evalund xx ( z ) pe cercul unitate, se obine


xx ( f ) = w2

1
1,6561 + 1,62 cos 4 f

b2.

xx [m] = Z 1{ xx ( z )} = Z 1{ w2 (2 z 2 z 2 )} =
= w2 ( [n + 2] + 2 [n] [n 2])

b3.

1
2
xx [m] = Z { xx ( z )} = Z w
=
2
2
(1 + 0,81z )(1 + 0,81z )

1
w2 Z 1
=
1
1
+

1
0,9
1
0,9
1
0,9
1
0,9
j
z
j
z
j
z
j
z
(
)(
)
(
)(
)

= 2,9 w2 (0,9)|n| cos

n
2

4. S se arate c un filtru trece tot, cu funcia de sistem


zzi* 1
H ( z) =
, | zi |< 1 , are proprietatea c
i =1 z zi
N

| H ( z ) |> 1, pentru | z |< 1


| H ( z ) |< 1, pentru | z |> 1
| H ( z ) |= 1, pentru | z |= 1
356

(p4.1)

Soluie
Exprimnd z i zi* n forma polar z = r e j , zi = ri e j ,
pentru fiecare factor al produsului din enun se poate scrie
1/ 2

j ( i )
1 r 2 ri 2 + 1 2rri cos( i )
zz * 1 rre
= i j
= 2
| H i ( z ) |= i

2
z zi
re re ji
r + ri 2rri cos( i )
innd cont c ri < 1 , rezult

| H i ( z ) |> 1, pentru | z |< 1


| H i ( z ) |< 1, pentru | z |> 1
| H i ( z ) |= 1, pentru | z |= 1

Prin nmulirea factorilor H i ( z ) , rezult relaia (p4.1).


5. S se arate c dac coeficienii de reflexie | K m |< 1 pentru

toi m p , atunci | z p ,i |< 1 pentru toi i p , unde z p ,i sunt


p

rdcinile polinomului Ap ( z ) = 1 + a p [ k ]z k .
k =1

Soluie
Se folosete metoda induciei. Pentru p = 1 , dac | K1 |< 1 ,
polinomul A1 ( z ) = 1 + a1[1]z 1 = 1 + K1 z 1 are rdcina z1,1 = K1 ,
deci, ntr-adevr, | z1,1 |< 1 .
n continuare, se presupune c dac | K m |< 1 pentru toi
m p 1 ,

| z p 1, j |< 1 pentru toi j p 1 , unde z p 1, j sunt


p 1

rdcinile polinomului Ap 1 ( z ) = 1 + a p [k ]z k i se arat c


k =1

| z p ,i |< 1.
ntre polinoamele Ap 1 ( z ) i Ap ( z ) exist relaia recursiv
Ap ( z ) = Ap 1 ( z ) + K p z p Ap 1 ( z 1 )
357

(p5.1)

z p ,i este o rdcin a polinomului Ap ( z ) , astfel nct nlocuind

aceast rdcin n (p5.1), rezult


Ap ( z p ,i ) = Ap 1 ( z p ,i ) + K p z p ,pi Ap 1 ( z p1,i ) = 0

(p5.2)

Expresia
Q p 1 ( z ) =

z p Ap 1 ( z 1 )
Ap 1 ( z )

(p5.3)

este de tip trece tot. Din (p5.2) rezult c expresia


z p ,pi Ap 1 ( z p1,i )
1

=
= Q p 1 ( z p ,i )
Kp
Ap 1 ( z p ,i )

(p5.4)

care caracterizeaz un sistem de tip trece tot. Deoarece | K p |< 1 ,


rezult Q p 1 ( z p ,i ) =

1
> 1 . innd cont de rezultatul din problema
Kp

4, rezult | z p ,i |< 1.
6.Dac | z p ,i |< 1 pentru toi i p , atunci
| K m |< 1

(p6.1)

pentru toi m p , unde z p ,i sunt rdcinile polinomului


p

Ap ( z ) = 1 + a p [k ]z k , iar K m sunt coeficienii de reflexie


k =1

corespunztori polinomului Ap ( z ) .

Soluie
Produsul rdcinilor polinomului Ap ( z ) este egal cu a p [ p ] ,
adic K p = a p [ p ] = z p ,1 z p ,2 ... z p , p . Cum modulul tuturor polilor
este subunitar, rezult | K p |< 1 , adic relaia (p6.1) este adevrat
pentru m = p .
358

Pentru a arta c relaia (p6.1) este adevrat pentru


m = p 1 , este suficient a arta c | z p 1, j |< 1 pentru j p 1 .
Pentru aceasta se formeaz funciile trece tot
Qp ( z) =

z p Ap ( z 1 )
Ap ( z )

De asemenea, se folosete relaia de recuren


Ap 1 ( z ) =

Ap ( z ) K p z p Ap ( z 1 )
1 K p2

(p6.2)

Deoarece Ap 1 ( z p 1, j ) = 0 , din (p6.2) rezult


Ap ( z p 1, j ) K p z p Ap ( z 2p 1, j ) = 0 , adic
Q p ( z p 1, j ) =

z p p1, j Ap ( z p11, j )
Ap ( z p 1, j )

1
> 1 , deci | z p 1, j |< 1 i
| Kp |

| K p 1 |=| a p 1[ p 1] |=| z p 1,1 z p 1,1 ... z p 1, p 1 |< 1 .

Continund n acelai mod, se decide c | K m |< 1 pentru toi


m p.

7. Dac | K m |< 1 pentru toi m p 1 i | K p |= 1 , atunci

polinomul Ap ( z ) are toate rdcinile pe cercul unitate, adic


| z p ,i |= 1 pentru toi i p .

Soluie
Din problema 6 rezult c | z p 1, j |< 1 , deoarece | K k |< 1
pentru toi m p 1 .
Expresia
Q p 1 ( z ) =

z p Ap 1 ( z 1 )
Ap 1 ( z )

este de tip trece tot. Din (p5.2) rezult c expresia


359

Q p 1 ( z p ,i ) =

z p ,pi Ap 1 ( z p1,i )
Ap 1 ( z p ,i )

1
= 1 , ceea ce, conform relaiei
Kp

(p4.1), conduce la concluzia c | z p ,i |= 1 .


8. Dac | K m |< 1 pentru toi m p 1 i | K p |> 1 , atunci

polinomul Ap ( z ) are toate rdcinile n exteriorul cercului unitate,


adic | z p ,i |> 1 pentru toi i p .

Soluie
n acest caz
Q p 1 ( z p ,i ) =

z p ,pi Ap 1 ( z p1,i )
Ap 1 ( z p ,i )

1
< 1 , ceea ce, conform relaiei
Kp

(p4.1), conduce la concluzia c | z p ,i |> 1 .


9. Un proces aleator staionar AR(p) satisface ecuaiile
w2 , m = 0
0, 1 m p

xx [m] + a p [k ] xx [m k ] =
k =1

unde a p [k ] sunt coeficienii predictorului liniar de ordin p i w2


este eroarea ptratic medie minim de predicie. Dac matricea de
autocorelaie

xx [1] xx [ p ]
xx [0]
[1]
xx [0] xx [ p 1]
xx

p +1 =

xx [0]
xx [ p ] xx [ p 1]
este pozitiv definit, s se arat c pentru 1 m p , coeficienii de
reflexie satisfac relaia | K m |< 1 .
360

Soluie
Relaia din enun poate fi scris matriceal sub forma
xx [1] xx [ p ] a p [0] w2
xx [0]
[1]
xx [0] xx [ p 1] a p [1] 0
xx


xx [0] a p [ p] 0
xx [ p ] xx [ p 1]
Din acest sistem de ecuaii, rezult
a p [0] =

w2 p
p +1

, unde p este deternminantul matricei p , iar

p+1 , determinantul matricei p+1 .

Dar a p [0] = 1 , fapt ce conduce la w2 =

p +1
p

. Cum matricea

de autocorelaie este pozitiv definit, ambii determinani din relaia


precedent sunt pozitivi, de unde rezult c w2 > 0 . Folosind
p

recursiv relaia (3.83), rezult w2 = (1 K m2 ) xx [0] > 0 , unde K m


m =1

reprezint coeficienii lattice corespunztori predictorului liniar.


Relaia precedent implic | K m |< 1 .

361

CAPITOLUL 3

PREDICIE LINIAR I FILTRARE LINIAR


OPTIMAL
Proiectarea filtrelor pentru estimarea semnalelor este o
problem ce apare frecvent in proiectarea sistemelor de comunicaii,
de control i alte aplicaii.
n acest capitol problema proiectrii filtrelor optimale va fi
abordat din punct de vedere statistic. Pentru simplitatea tratrii,
filtrele se impun a fi liniare iar criteriul de optimizare se bazeaz pe
minimizarea erorii ptratice medii. Drept consecin, n
determinarea filtrelor optimale este necesar numai statistica de
ordinul doi (funciile de autocorelaie i corelaie) ale procesului
presupus staionar. De asemenea, se va urmri proiectarea filtrelor
optimale pentru predicia liniar care este un domeniu important n
procesarea de semnal cu aplicaii n domenii diverse ca:
-procesarea semnalului vocal;
-procesarea de imagini;
-suprimarea zgomotului in sistemele de comunicaii etc.

3.1. Predicie nainte (forward)


Fie x[n] un proces aleator staionar. Se dorete estimarea
valorii procesului la un moment dat, pe baza unui numr finit p de
171

observaii (eantioane) consecutive anterioare.


n cazul general, valoarea estimat se noteaz x[n] M x [ nr ] ,
p

unde

M px [n r ] = {x[n r p + 1],..., x[ n r ]} ,

r > 1 , reprezint

vectorul format din p eantioane consecutive anterioare momentului


n-r, inclusiv. n acest caz, se spune c s-a realizat predicia nainte
cu r pai de ordinul p a eantionului x[n] .
Un interes special prezint predictorul liniar nainte cu un
pas (r=1), care determin valoarea estimat x [ n ] ca o combinaie

liniar ponderat a ultimelor p valori: x [ n 1] , x [ n 2] ,.., x [ n p ] .


Valoarea estimat se determin cu relaia
p

x [ n ] = a p [ k ] x [ n k ]
unde

{a [ k ]}
p

(3.1)

k =1

reprezint ponderile combinaiei liniare, numite

coeficieni de predicie ai predictorului nainte cu un pas, de ordin


p.
Conform relaiei (3.1), schema predictorului liniar cu un pas,
de ordinul p este dat n figura 3.1.

Fig. 3.1. Predictor liniar cu un pas, de ordin p

Diferena dintre valoarea x [ n ] i cea predictat x [ n ] se


numete eroare de predicie nainte i se noteaz f p [ n ] .
p

f p [ n ] = x [ n ] x [ n ] = x [ n ] + a p [ k ] x [ n k ]
k =1

172

(3.2)

Pe baza relaiei (3.2), eroarea de predicie rezult conform


schemei din figura 3.2.

Fig. 3.2. Legtura dintre predictorul liniar nainte i filtrul erorii de predicie

Structura din figura 3.2 se mai numete filtrul erorii de


predicie, cu intrarea x [ n ] i ieirea f p [ n ] . O realizare echivalent
pentru filtrul erorii de predicie este prezentat n figura 3.3, care
reprezint o realizare n form direct a unui filtru FIR cu funcia
de sistem:
p

Ap ( z ) = a p [ k ] z k

(3.3)

k =0

unde a p [ 0] = 1 .

Figura 3.3. Filtrul erorii de predicie

Eoarea ptratic medie de predicie liniar nainte este

l =0

pf = E f p2 [ ni ] = E a p [ k ] x [ ni k ] a p [l ] x [ ni l ] =
k =0

= E x [ ni ] + ... + a p [ p ] x [ ni p ] x [ ni ] + ... + a p [ p ] x [ ni p ] =
173

p
2
= E x [ ni ] + 2 a p [ k ] x [ ni ] x [ ni k ] +
k =1

p
p

+ a p [ k ] a p [l ] x [ ni k ] x [ ni l ] =
k =1 l =1

(3.4)

= xx [ 0] + 2 a p [ k ] xx [ k ] + a p [ k ] a p [l ] xx [l k ]
k =1

k =1 l =1

Eroarea ptratic medie este o funcie ptratic de


coeficienii filtrului predictor i prezint un extrem pentru valorile
coeficienilor pentru care
pf ( a p [ k ])
a p [k ]

=0

(3.5)

nlocuind (3.4) n (3.5), rezult

f
p

( a [ k ]) =
p

a p [k ]

p
p
p


=
xx [ 0] + 2 a p [ k ] xx [ k ] + a p [ k ] a p [l ] xx [l k ] =
a p [k ]
k =1
k =1 l =1

=
( xx [ 0] + 2 a p [ k ] xx [ k ] +
a p [k ]
k =1

a p [1]a p [1] xx [0] + ... + a p [1]a p [k ] xx [k 1] + ... + a p [1]a p [ p ] xx [ p 1] +


a p [k ]a p [1] xx [1 k ] + ... + a p [k ]a p [k ] xx [0] + ... + a p [ k ]a p [ p ] xx [ p k ] +
a p [ p]a p [1] xx [1 p] + ... + a p [ p ]a p [k ] xx [k p ] + ... + a p [ p]a p [ p] xx [0])
p

= 2 xx [k ] + 2 a p [l ] xx [k l ] = 0
l =1

(3.6)
sau, echivalent,
174

xx [ k ] = a p [l ] xx [ k l ] , k = 1,..., p

(3.7)

l =1

Extremul erorii ptratice medii care se atinge pentru valorile


coeficienilor care rezult din relaia (3.7), este un minim, deoarece
2 pf ( a p [k ])
( a p [ k ])

= xx [0] > 0 .

Relaiile (3.7) se numesc ecuaiile normale i stabilesc


legtura ntre coeficienii predictorului liniar i valorile funciei de
autocorelaie. nlocuind (3.7) n (3.4) se obine eroarea ptratic
medie minim de predicie, de forma
p

not .

min pf = E pf = xx [ 0] + a p [ k ] xx [ k ]

(3.8)

k =1

3.2. Predicie liniar napoi (backward)


Estimarea eantionului x[n p r + 1] pe baza observaiilor
M px [n] se numete predicie napoi cu r pai, de ordin p. n

continuare se trateaz predicia napoi de ordinul p, cu un pas (r=1),


cnd se presupune c se cunoate secvena de date
x [ n ] , x [ n 1] ,..., x [ n p + 1]

i se dorete a se estima valoarea

x [ n p ] , adic
p 1

x [ n p ] = bp [ k ] x [ n k ]

(3.9)

k =0

Predictorul descris de relaia (3.9) este reprezentat n figura


3.4.
Diferena dintre valoarea x [ n p ] i estimatul x [ n p ] se
numete eroare de predicie napoi, i este notat cu g p [ n ] .
175

Fig. 3.4. Predictor napoi cu un pas, de ordinul p


p 1

g p [ n ] = x [ n p ] + bp [ k ] x [ n k ] =
k =0

(3.10)

= bp [ k ] x [ n k ] , bp [ p ] = 1
k =0

Implementarea filtrului erorii de predicie napoi de ordinul p


este prezentat n figura 3.5, care reprezint o realizare n forma
direct a unui filtru FIR cu funcia de sistem:
p

B p ( z ) = bp [ k ] z k

(3.11)

k =0

unde bp [ p ] = 1 .

Fig. 3.5. Filtrul erorii de predicie napoi

Eroarea de predicie napoi este


p 1

g p [ n ] = x [ n p ] + bp [ k ] x [ n k ] =
k =0

= x [ n p ] + bp [ p m ] x [ n p + m]
m =1

Valoarea sa ptratic medie este


176

(3.12)

E { g 2p [ ni ]} = pb
not

(3.13)

p
2
= E x [ni p] + 2 x[ni p] bp [ p m ] x [ ni p + m] +
m =1

p
p

+ bp [ p m ] bp [ p l ]x [ ni p + m ] x[ni p + l ] =
k =1 l =1

(3.14)
b
p

= xx [ 0] + 2 bp [ p m ] xx [ m ] +
m =1

+ bp [ p m ] bp [ p l ] xx [l m]
m =1 l =1

La fel ca n cazul prediciei nainte, eroarea ptratic medie


de predicie napoi este o funcie ptratic de coeficienii filtrului
predictor. Valorile coeficienilor pentru care aceasta prezint un
extrem, se obin prin egalarea cu zero a derivatei sale n raport cu
coeficienii filtrului, adic
pb ( bp [ p m])
bp [ p m]

=0

(3.15)

nlocuind (3.14) n (3.15), dup prelucrri similare celor din


cazul prediciei nainte, rezult sistemul de ecuaii
p

xx [ m ] = bp [ p l ] xx [l m] , m = 1,..., p

(3.16)

l =1

Extremul obinut este un minim, deoarece


2 pb ( bp [ p m])
( b p [ p m] )

= xx [0] > 0

nlocuind (3.16) n (3.14) se obine acelai minim ca n cazul


prediciei nainte, adic
min pb = E bp = E pf .
177

3.3. Structuri lattice pentru implementarea filtrelor


FIR de eroare a prediciei
Din cele prezentate pn aici, s-a observat c erorile de
predicie nainte i napoi se obin ca ieiri ale unor filtre FIR cu
funciile de sistem Ap ( z ) , respectiv B p ( z ) , date de (3.3), respectiv
(3.11). Cum un filtru FIR implementat n forma direct este
echivalent cu un filtru FIR lattice, filtrele erorii de predicie n
forma direct, reprezentate n figurile 3.3 i 3.5, pot fi implementate
n form lattice. Pentru a stabili legtura dintre coeficienii filtrului
de predicie i coeficienii structurii lattice, se consider o familie de
filtre FIR cu funciile de transfer
H m ( z ) = Am ( z ) m = 0, 1, 2, ..., p
(3.17)
unde Am ( z ) este un polinom de forma
m

Am ( z ) = 1 + am [ k ]z k

m 1,

(3.18)

k =1

i A0 ( z ) = 1. Rspunsul la impuls al filtrului de ordin m este


hm [0] = 1 i hm [k ] = am [k ] , k = 1, 2, .,m. Se definete am [0] = 1 .

Dac x[n] este secvena de intrare n filtrul cu funcia de


sistem Am ( z ) i y[n] , secvena de ieire, se poate scrie
m

y[n] = x[n] + am [ k ]x[n k ]

(3.19)

k =1

innd cont c
m

x[n] = am [k ]x[n k ]

(3.20)

k =1

este valoarea estimat a lui x[n] pe baza a m intrri anterioare,


x[n-1], x[n-2], , x[n-m], din (3.19) i (3.20) rezult c y[n]
reprezint eroarea de predicie. Astfel, ieirea filtrului FIR dat de
178

relaia (3.19) poate fi vzut ca eroarea ntre valoarea adevrat a


semnalului x[n] i valoarea estimat x[n].
Pentru a stabili legtura ntre un filtru FIR n forma direct i
un filtru lattice, se consider un filtru de ordinul m = 1. Ieirea unui
astfel de filtru este
y[n] = x[n] + a1[1]x[n 1]
(3.21)
n figura 3.6 se prezint un filtru lattice de ordinul nti sau
un filtru lattice cu o singur treapt.

Figura 3.6. Filtru lattice cu o treapta

Dac n aceast structur se aplic la ambele intrri x[n] i se


selecteaz ieirea de pe ramura de sus, se obine exact semnalul dat
de relaia (3.21), dac se alege K1=a1[1]. Parametrul K1 din structura
lattice este denumit coeficient de reflexie.
Pentru aceast structur se pot scrie relaiile:
f 0 [n] = g 0 [n] = x[n]
f1[n] = f 0 [n] + K1 g 0 [n 1] = x[n] + K1 x[n 1]

(3.22)

g1[n] = K1 f 0 [n] + g 0 [n 1] = K1 x[n] + x[n 1]

n continuare, se consider filtrul FIR care se obine pentru


m = 2. n acest caz ieirea structurii n form direct este
y[n] = x[n] + a2 [1]x[n 1] + a2 [2]x[n 2]
(3.23)
Conectnd n cascad dou trepte de structuri lattice ca n
figura 3.7, este posibil a se obine ieirea ca n relaia (3.23).
179

Figura 3.7. Filtru lattice cu dou trepte

ntr-adevr, ieirea din prima treapt este dat de relaiile


(3.22), iar ieirea din treapta a doua este
f 2 [ n] = f1[n] + K 2 g1[n 1]
(3.24)
g 2 [n] = K 2 f1[n] + g1[n 1]
nlocuind f1[n] i g1[n] din (3.22) n relaia (3.24), se obine
f 2 [n] = x[n] + K1 x[n 1] + K 2 [ K1 x[n 1] + x[n 2]]
(3.25)
= x[n] + K1 (1 + K 2 ) x[n 1] + K 2 x[n 2]
Relaia (3.25) este identic cu ieirea filtrului FIR n forma
direct dat de (3.23), dac ntre coeficieni exist relaiile:
a2 [2] = K 2
a2 [1] = K1 (1 + K 2 )
(3.26)
sau, echivalent
K 2 = a2 [2]

K1 =

a2 [1]
1 + a2 [2]

(3.27)

Astfel, coeficienii de reflexie ai structurii lattice, K1 i K2,


pot fi obinui din coeficienii

{am [k ]}

ai formei directe de

implementare.
Continund procedeul de cascadare a structurilor lattice, se
poate demonstra prin inducie echivalena dintre filtrul FIR de ordin
m implementat n forma direct i filtrul lattice de ordin m sau cu m
trepte. Filtrul lattice este descris, n general, de urmtorul sistem de
ecuaii recursive:
f 0 [ n] = g 0 [ n] = x[n]
(3.28)
180

f m [n] = f m1[n] + K m g m1[n 1]

m = 1, 2,..., p

(3.29)

g m [n] = K m f m1[n] + g m1[n 1]

m = 1, 2,..., p

(3.30)

Ieirea filtrului cu p trepte corespunde ieirii filtrului FIR de


ordin p. Prin urmare
(3.31)
y[n] = f p [n]

innd cont de relaiile (3.28) (3.30), n figura 3.8 s-a


reprezentat un filtru lattice cu p trepte ntr-o diagram bloc,
mpreun cu structura unei trepte.

Figura 3.8. (a) Filtru lattice cu p trepte, (b) Structura treptei m

Ca urmare a echivalenei ntre un filtru FIR n form direct


i un filtru lattice, ieirea fm[n] a unui filtru lattice de ordin m poate
fi exprimat sub forma
m

f m [n] = am [k ]x[n k ]
k =0

am [0] = 1

(3.32)

Deoarece relaia (3.32) este o sum de convoluie,


transformata sa Z este
Fm ( z ) = Am ( z ) X ( z )
(3.33)
sau, echivalent
181

Am ( z ) =

Fm ( z ) Fm ( z )
=
X ( z ) F0 ( z )

(3.33)

unde Am ( z ) reprezint funcia de sistem a filtrului FIR cu


coeficienii {am [k ]} .
Cealalt ieire a structurii lattice, g m [ n] , ar putea fi, de
asemenea, exprimat sub forma unei sume de convoluie, utiliznd
un alt set de coeficieni, notai {bm [k ]} . Din relaia (3.22) se observ
cum coeficienii

filtrului

care

produce

ieirea

f1[ n]

sunt

{1, K1} = {1, a1[1]} , n timp ce coeficienii filtrului cu ieirea g1[n] ,


sunt { K1 , 1} = {a1[1], 1} . Se observ c aceste dou seturi de
coeficieni sunt n ordine invers. Dac se consider filtrul cu dou
trepte, cu ieirea dat de relaia (3.25), atunci g 2 [n] se determin cu
relaia
g 2 [n] = K 2 f1[n] + g1[n 1]

= K 2 [ x[n] + K1 x[n 1]] + K1 x[n 1] + x[n 2]


= K 2 x[n] + K1 (1 + K 2 ) x[n 1] + x[n 2]

(3.34)

= a2 [2]x[ n] + a2 [1]x[n 1] + x[n 2]

{a2 [2], a2 [1], 1} , n


f 2 [n] sunt {1, a2 [1], a2 [2]} .

n consecin, coeficienii filtrului sunt


timp ce pentru filtrul ce produce ieirea

Raionnd n mod analog, se poate conchide c ieirea g m [ n]


a filtrului lattice de ordin m poate fi exprimat cu ajutorul sumei de
convoluie
m

g m [ n] = bm [k ]x[n k ]

(3.35)

k =0

unde coeficienii filtrului, {bm [k ]} , sunt asociai cu cei ai filtrului


care produce ieirea f m [n] = y[n] , dar care opereaz n ordine
182

invers.
Dac valorile x[n], x[n-1], . . . ,x[n-m+1], sunt utilizate pentru
predicia liniar a eantionului de semnal x[n-m], valoarea estimat
x[n m] se determin cu relaia
m 1

x[n m] = bm [ k ]x[n k ]

(3.36)

k =0

unde coeficienii bm [k ] ai filtrului predictor sunt chiar coeficienii

{am [k ]} luai n ordine invers, prin urmare


bm [k ] = am [ m k ]

k = 0, 1, . . . ,m

(3.37)

n domeniul Z, relaia (3.35) devine


Gm ( z ) = Bm ( z ) X ( z )

(3.38)

Rezult atunci
Bm ( z ) =

Gm ( z )
X ( z)

(3.39)

unde Bm ( z ) reprezint funcia de sistem a filtrului FIR cu


coeficienii {bm [k ]} , care se poate scrie sub forma
m

Bm ( z ) = bm [k ]z k

(3.40)

k =0

nlocuind (3.37) n (3.40) se obine


m

Bm ( z ) = am [m k ]z k =
k =0

= am [l ]z
l =0

l m

=z

(3.41)

a
l =0

[l ]z = z Am ( z )
l

Din relaia (3.41) rezult c zerourile filtrului FIR cu funcia


de transfer Bm ( z ) sunt reciprocele zerourilor lui Am ( z ) . Din acest
motiv Bm ( z ) este numit polinom reciproc sau invers al lui Am ( z ) .

183

Aplicnd transformata Z relaiilor recursive (3.28) (3.30), se


obine
F0 ( z ) = G0 ( z ) = X ( z )
(3.42)
Fm ( z ) = Fm1 ( z ) + K m z 1Gm1 ( z ) m = 1, 2, . . . , p
Gm ( z ) = K m Fm 1 ( z ) + z 1Gm1 ( z )

(3.43)

m = 1, 2, . . . , p

(3.44)

mprind fiecare ecuaie prin X ( z ) , se obin urmtoarele


relaii:
A0 ( z ) = B0 ( z ) = 1

(3.45)

Am ( z ) = Am1 ( z ) + K m z 1Bm1 ( z )

m = 1, 2, . . ., p

(3.46)

Bm ( z ) = K m Am 1 ( z ) + z 1Bm 1 ( z )

m = 1, 2, . . . , p

(3.47)

Astfel, o treapt lattice, este descris n domeniul Z de o


ecuaie matriceal de forma
Am ( z ) 1 K m Am1 ( z )
(3.48)

=
1

Bm ( z ) K m 1 z Bm1 ( z )
3.3.1. Conversia coeficienilor structurii
coeficieni ai filtrului FIR n form direct

lattice

Coeficienii filtrului FIR realizat n form direct {am [k ]} pot


fi obinui din coeficienii

{K m }

ai structurii lattice, folosind

urmtoarele relaii:
A0 ( z ) = B0 ( z ) = 1
Am ( z ) = Am1 ( z ) + K m z 1Bm1 ( z ) ,
Bm ( z ) = z m Am ( z 1 ) ,

(3.49)
m = 1, 2, . . . , p
m = 1, 2, . . . , p

(3.50)
(3.51)

Soluia se obine recursiv, ncepnd cu rangul m = 1. Astfel se


obine o familie de (p) filtre FIR, fiecare din ele pentru o valoare a
lui m.
184

Pentru fixarea ideilor, se consider urmtorul exemplu.


Se d un filtru lattice cu trei trepte avnd coeficienii
K1 = , K2 = , K 3 = 1 3 .
S se determine coeficienii filtrului FIR n form direct.
Soluie. Problema se rezolv recursiv, utiliznd relaia (3.50)
ncepnd cu m = 1.
1
Astfel, A1 ( z ) = A0 ( z ) + K1 z 1B0 ( z ) = 1 + K1 z 1 = 1 + z 1 .
4
Prin urmare, coeficienii filtrului FIR corespunztori structurii
lattice cu o singur treapt, sunt a1[0] = 1, a1[1] = K1 = 1/ 4.
Deoarece

Bm ( z )

este

reciprocul

lui

Am ( z ) ,

rezult

1
+ z 1 .
4
Pentru m=2, din (3.50) rezult

B1 ( z ) =

3
1
A2 ( z ) = A1 ( z ) + K 2 z 1B1 ( z ) = 1 + z 1 + z 2
8
2
Parametrii filtrului FIR corespunztori structurii lattice cu
dou trepte sunt a2 [0] = 1, a2 [1] = 3/ 8, a2 [2] = 1/ 2. Din (3.51) rezult

atunci
1 3 1 2
+ z +z
2 8
n final, prin adugarea celei de-a treia trepte n structura
lattice, rezult polinomul
13 1 5 2 1 3
A3 ( z ) = A2 ( z ) + K 3 z 1B2 ( z ) = 1 +
z + z + z
24
8
3
i, ca urmare, filtrul FIR n form direct este caracterizat de
coeficienii
13
5
1
a3[0] = 1, a3[1] = , a3[2] = , a3[3] =
24
8
3
B2 ( z ) =

185

n general, structura lattice cu parametrii K1 , K 2 , ..., K p ,


corespunde unei clase de p filtre FIR n forma direct cu funciile de
sistem A1 ( z ), A2 ( z ),..., Ap ( z ). Este interesant de observat c o
caracterizare a acestei clase de filtre FIR n form direct necesit
p(p+1)/2 coeficieni, n timp ce o caracterizare lattice necesit doar p
coeficieni de reflexie {K m } . Motivul pentru care structura lattice
produce o reprezentare mult mai compact pentru clasa de filtre FIR
de ordin p se datorez faptului c adugarea treptelor la structura
lattice nu modific parametrii treptelor anterioare, n timp ce
coeficienii funciei de sistem Am ( z ) sunt total diferii de coeficienii
filtrului FIR de ordin inferior, cu funcia de sistem Am1 ( z ).
O relaie pentru determinarea recursiv a coeficienilor

{am [k ]} ai filtrului

poate fi obinut din polinoamele date n relaiile

(4.49)(4.51). Din relaia (4.50) se obine


Am ( z ) = Am1 ( z ) + K m z 1Bm1 ( z )
m

m 1

m 1

k =0

k =0

k =0

am [k ]z k = am1[k ]z k + K m am1[m 1 k ]z ( k +1)

(3.52)

Prin egalarea coeficienilor puterilor egale ale lui z 1 i innd


cont c am [0] = 1 , rezult ecuaiile recursive pentru coeficienii
filtrului FIR, sub forma:
am [0] = 1

(3.53)

am [ m ] = K m

(3.54)

am [k ] = am 1[k ] + K m am1[m k ] = am1[k ] + am [ m]am1[m k ]


1 k m 1, m = 1, 2,..., p.

186

(3.55)

3.3.2. Conversia coeficienilor filtrului FIR din forma


direct n coeficieni ai structurii lattice

Dac se cunosc coeficienii filtrului FIR pentru


implementarea n form direct sau, echivalent, polinomul Am ( z ) i
se dorete determinarea coeficienilor corespunztori structurii
lattice, de ordin m, atunci K m = am [m]. Pentru a obine coeficientul
K m 1 sunt necesare polinoamele Am1 ( z ) deoarece, n general, K m

este obinut din polinomul Am ( z ) pentru m=p, p-1,..,1. Prin urmare,


trebuie calculate succesiv polinoamele Am ( z ) , ncepnd de la
m = p pn la m = 1.
Relaia recursiv dorit pentru polinoame se determin uor
din (3.46) i (3.47).
Am ( z ) = Am 1 ( z ) + K m z 1Bm 1 ( z )

= Am 1 ( z ) + K m [ Bm ( z ) K m Am 1 ( z ) ]

de unde rezult
Am 1 ( z ) =

Am ( z ) K m Bm ( z )
, m = p, p 1,...,1.
1 K m2

(3.56)

Astfel se calculeaz toate polinoamele de grad inferior Am ( z )


ncepnd cu Ap ( z ) i se obin coeficienii dorii ai structurii lattice
din relaia K m = am [m]. Se observ c procedura prezentat este
operaional att timp ct | K m | 1 pentru m = 1, 2, ...,p.
Din ecuaia recursiv (3.56), se poate obine o relaie pentru
calculul recursiv al coeficienilor K m , ncepnd cu m = p pn la
m=1. Pentru m = p, p-1,...,1 se obine
K m = am [ m ]

187

am 1[0] = 1

(3.57)

am1[k ] =

am [k ] K mbm [k ] am [k ] am [m]am [m k ]
=
, 1 k m 1
1 K m2
1 am2 [m]

(3.58)
Ecuaia recursiv (3.58) nu poate fi folosit dac K m = 1.
Pentru fixarea ideilor, se consider urmtorul exemplu.
S se determine coeficienii structurii lattice corespunztoare
filtrului FIR cu funcia de sistem
13 1 5 2 1 3
H ( z ) = A3 ( z ) = 1 +
z + z + z
24
8
3
1
Soluie. Mai nti se observ c K 3 = a3[3] = . Apoi se
3
construiete polinomul
1 5
13 2
B3 ( z ) = + z 1 +
z + z 3
3 8
24
Relaia de decrementare din (3.56), cu m =3, conduce la
A ( z ) K 3 B3 ( z )
3
1
A2 ( z ) = 3
= 1 + z 1 + z 2
2
1 K3
8
2
Prin

urmare,

K 2 = a2 [2] = 1/ 2

B2 ( z ) = 1/ 2 + (3/ 8) z 1 + z 1 .

Repetnd decrementarea recursiv, se obine


A ( z ) K 2 B2 ( z )
1
A1 ( z ) = 2
= 1 + z 1
2
1 K2
4
1
Astfel, K1 = a1[1] = .
4

3.4. Coeficienii de reflexie optimi ai predictorului


lattice nainte i napoi
n paragrafele anterioare s-a obinut un set de ecuaii din care
se pot obine coeficienii predictorului care minimizeaz valoarea
188

ptratic medie a erorii de predicie. n continuare se va considera


problema optimizrii coeficienilor de reflexie ai predictorului
lattice i exprimarea lor n funcie de erorile de predicie nainte i
napoi.
Conform figurii 3.8, eroarea de predicie nainte n treapta m
a predictorului este
f m [ n ] = f m1 [ n ] + K m g m 1 [ n 1]

Minimizarea erorii ptratice medii

{E f

2
m

[ ni ]}

(3.59)
n raport cu

coeficienii de reflexie impune calculul derivatei

E f m2 [ ni ]
=
K m

E f m21 [ ni ] + 2 K m f m1 [ ni ] g m1 [ ni 1] + K m2 g m2 1 [ ni 1]
= (3.60)
K m

E {2 f m1 [ ni ] g m1 [ ni 1] + 2 K m g m2 1 [ ni 1]}

Prin egalarea cu zero a acesteia, rezult


Km =

E f m1 [ ni ] g m1 [ ni 1]
E g m2 1 [ ni 1]

E f m1 [ ni ] g m1 [ ni 1]
Emf 1Emb 1

(3.61)

unde
Emf 1 = Emb 1 = E g m2 1 [ ni 1] = E f m21 [ ni ]

(3.62)

Se observ c valorile optime ale coeficienilor de reflexie ai


predictorului lattice sunt egale cu coeficienii de corelaie
normalizai dintre erorile nainte i napoi din lattice, cu semnul
minus. Din acest motiv, coeficienii K m se mai numesc coeficieni
de corelaie parial (PARCOR).
Valoarea ptratic medie a erorii de predicie poate fi
exprimat n forma
189

E f m2 [ ni ] = E f m21 [ ni ] + 2 K m f m1 [ ni ] g m1 [ ni 1] + K m2 g m2 1 [ ni 1] =
E f m21 [ ni ] + 2 K m E f m1 [ ni ] g m1 [ ni 1] + K m2 E g m2 1 [ ni 1]
(3.63)
nlocuind (3.61) i (3.62) n (3.63), se obine eroarea ptratic medie
minim n form recursiv
Emf = (1 K m2 ) Emf 1

Deoarece din relaia (3.61) rezult c

(3.64)
K m 1 , eroarea

ptratic medie minim dat de relaia (3.64) este o secven


monoton descresctoare.

3.5. Relaia dintre un proces AR i predicia liniar


Parametrii unui proces AR de ordin p sunt strns legai de
parametrii unui predictor de ordin p pentru acelai proces. Se
reamintete c pentru un proces AR ( p ) secvena de autocorelaie

xx [ m] este legat de parametrii {ak } ai procesului prin ecuaiile


Yule-Walker.
p
ak xx [ m k ] , m > 0
k =1
p
(3.65)
xx [ m ] = ak xx [ m k ] + w2 , m = 0
k =1
* [ m], m < 0
xx

Ecuaiile corespunztoare predictorului de ordin p sunt date

n relaiile (3.7).
p

xx [l ] = a p [ k ] xx [l k ] , l = 1... p
k =0

190

Comparnd aceste relaii se observ o relaie de egalitate

{ak } ai procesului AR ( p ) i coeficienii


{a p [ k ]} de ordin p . Mai mult, comparnd (3.65) cu

ntre parametrii
predictorului

(3.8), se observ c eroarea ptratic medie minim a predictorului


de ordinul p , E pf , este egal cu w2 , dispersia zgomotului alb, caz
n care filtrul erorii de predicie este un filtru de albire, care produce
secvena de zgomot alb w [ n ] .

3.6. Soluia ecuaiilor normale


Anterior s-a artat c minimizarea valorii ptratice medii a
erorii de predicie nainte conduce la un sistem de ecuaii numite
ecuaiile normale (3.7). Acestea pot fi scrise compact n forma
p

a [ k ] [l k ] = 0, l = 1... p, a [0] = 1
k =0

xx

(3.66)

Eroarea ptratic medie minim (EPMM) este dat de relaia


(3.8). Adugnd (3.8) la (3.66) se obin ecuaiile normale extinse
E pf , l = 0
a p [ k ] xx [l k ] =

0, l = 1,..., p
k =0

(3.67)

Pentru un proces aleator AR ( p ) , EPMM, E pf = w2 . Exist


doi algoritmi eficieni de calcul pentru ecuaiile normale. Unul se
datoreaz lui Levison [29] modificat ulterior de Durbin [62], numit
algoritmul Levison-Durbin, care este potrivit prelucrrii seriale. Al
doilea algoritm, datorat lui Schur [61] calculeaz, de asemenea,
coeficienii de reflexie i se preteaz prelucrrii paralele. Cei doi
algoritmi folosesc proprietile de simetrie Toeplitz ale matricei de
autocorelaie.
191

3.6.1. Algoritmul Levison-Durbin

Algoritmul Levison Durbin este un algoritm eficient pentru


rezolvarea ecuaiilor normale (3.66) n raport cu coeficienii
predictorului. Acestea pot fi scrise matriceal, sub forma
[ p ][ Ap ] = [ p ]
(3.66)
unde
xx [ 0]
xx* [1]

not .
[1]
xx [ 0]
[ p ] = p = xx

..
..

xx [ p 1] xx [ p 2]

... xx* [ p 1]

... xx* [ p 2]

...
..

...
xx [ 0]

(3.68)

este numit matricea de autocorelaie, iar [ Ap ] = a p [1] ... a p [ p ]

este un vector coloan ale crui elemente sunt coeficienii


predictorului, a p [k ], 1 k p , iar [ p ] = [ xx [1] ... xx [ p ]]

este un

vector coloan ale crui elemente sunt valorile funciei de


autocorelaie p [l ], 1 l p .
Sistemul (3.67) poate fi scrise matriceal, sub forma
E pf

0
[ p +1 ][ Ap +1 ] =


0
T

(3.67)

unde [ Ap +1 ] = 1 a p [1] ... a p [ p ] , iar [ p +1 ] este matricea de


autocorelaie de ordinul p+1.

192

Dac semnalul de intrare este real, operaia de cojugare (*)


dispare din xx . Se observ c elementele p ( i, j ) ale matricei [ p ]
au proprietatea c p ( i, j ) = xx [i j ] . Dac p ( i, j ) = *p ( j , i )
matricea este i hermitic. Metoda de obinere a soluiei prin
algoritmul Levison-Durbin utilizeaz proprietile matricei Toeplitz
i se aplic recursiv ncepnd cu un predictor de ordinul m = 1 .
Soluia predictorului de ordinul nti se obine din (3.66),
pentru p = 1 , adic
a1 [1] =

xx [1]
, a [0] = 1
xx [ 0] 1

EPMM este

(3.69)

E1f = xx [ 0] + a1 [1] xx [ 1] = xx [ 0] 1 a1 [1]

(3.70)

Se reamintete c a1 [1] = K1 este primul coeficient de reflexie


al filtrului lattice.
Al doilea pas const n obinerea coeficienilor {a2 [1] , a2 [ 2]}
ai predictorului de ordinul al doilea i exprimarea soluiei n funcie
de a1 [1] . Cele dou ecuaii obinute din relaia (3.66) sunt
a2 [1] xx [ 0] + a2 [ 2] xx* [1] = xx [1]
a2 [1] xx [1] + a2 [ 2] xx [ 0] = xx [ 2]

(3.71)

unde xx* [1] = xx [ 1] .


innd cont de (3.69) soluia sistemului de ecuaii (3.71) devine
a2 [ 2 ] =

xx [ 2] + a1 [1] xx [1]

xx [ 0] 1 a1 [1]

xx [ 2] + a1 [1] xx [1]

a2 [1] = a1 [1] + a2 [ 2] a1* [1]


193

E1f

(3.72)

expresii care reprezint coeficienii predictorului de ordinul al


doilea. Se reamintete c a2 [ 2] = K 2 este cel de-al doilea coeficient
de reflexie al filtrului lattice.
Procednd n acelai mod se pot exprima coeficienii
predictorului de ordin m n funcie de coeficienii predictorului de
ordin ( m 1) .
Vectorul coeficienilor, notat cu [ am ] , poate fi scris ca sum
a doi vectori
am [1]

am [ 2] [am1 ] [ d m1 ]

[ am ] = .. = 0 +

Km

am [ m]

(3.73)

[ am1 ] reprezint vectorul coeficienilor predictorului de ordin


( m 1) , iar vectorul [ d m1 ] i scalarul K m urmeaz a fi determinai.

unde

Matricea de autocorelaie de ordin


forma

m m se partiioneaz n

m1 [ mb 1 ]

=
[ m ] bt

[ m1 ] xx [ 0]
*

(3.74)

unde [ mbt1 ] = xx [ m 1] xx [ m 2] ... xx [1] = mb 1 ,


t

n relaia (3.74), (i)* - nseamn conjugarea complex, (i)t nseamn transpunere, iar indicele b al vectorului [ m1 ] semnific
faptul c elementele vectorului se consider n ordine invers.
Cu ajutorul relaiilor (3.73) i (3.74), soluia ecuaiei

[ m ][ am ] = [ m ] poate fi exprimat astfel:


194

[ m1 ] [ mb 1 ] [am1 ] [ d m1 ]
[ m1 ]
+
=

bt


xx [ m ]
[ m1 ] xx [ 0] 0 K m
*

(3.75)

Din (3.75) rezult dou ecuaii

[ m1 ][am1 ] + [ m1 ][ d m1 ] + K m mb 1 = [ m1 ]

(3.76)

mbt1 [ am1 ] + mbt1 [ d m1 ] + K m xx [ 0] = xx [ m ]

(3.77)

Deoarece [ m1 ][am1 ] = [ m1 ] , din relaia (3.76) rezult

[ d m1 ] = K m [ m1 ]

mb 1

(3.78)

mb 1
este chiar m1 cu elementele scrise n ordine

invers i conjugate, ceea ce permite obinerea soluiei ecuaiei


(3.78) sub forma
*

dar

am* 1 [ m 1]
*

am1 [ m 2]
*
b

[ d m1 ] = K m am1 = K m ..
*

am1 [1]

(3.79)

nlocuind relaia (3.79) n (3.77), se poate obine coeficientul


de reflexie K m .
*
mbt1 [ am1 ] + K m xx [ 0] + mbt1 amb 1 = xx [ m ]

(3.80)

de unde
Km =

xx [ m ] + mbt1 [ am1 ]

xx [ 0] + mbt1 amb 1

(3.80')

nlocuind soluiile pentru [ d m1 ] i K m n relaia (3.73) se obin


relaiile recursive pentru coeficienii predictorului

195

am [ m ] = K m =

xx [ m ] + mbt1 [ am1 ]

xx [ 0] + mbt1 amb 1

xx [ m] + mbt1 [ am1 ]
Emf
(3.81)

am [ k ] = am1 [ k ] + K m am* 1 [ m k ] = am1 [ k ] + am [ m ] am* 1 [ m k ]


k = 1,..., m 1, m = 1,..., p

(3.82)

Se observ c relaiile recursive (3.82) sunt identice cu cele


care dau coeficienii predictorului pe baza polinoamelor Am ( z ) i
Bm ( z ) ca n relaiile (3.53) (3.55). Mai mult, K m este coeficientul

de reflexie pentru a m a treapt a predictorului lattice, deci


algoritmul Levison-Durbin calculeaz coeficienii de reflexie pentru
predicia lattice optimal, precum i coeficienii predictorului
optimal FIR n forma direct.
Pentru predictorul de ordinul m , EPMM este
m

Emf = xx [ 0] + am [ k ] xx [ k ] =
k =1

= xx [ 0] + ( am 1 [ k ] + am [ m ] am* 1 [ m k ]) xx [ k ] =
k =1

(3.83)

2
2
= Emf 1 1 am [ m ] = Emf 1 1 K m , m = 1,..., p

unde

E0f = xx [ 0] . Deoarece coeficienii de reflexie satisfac

proprietatea c K m 1 , EPMM satisface condiia


E0f E1f E2f ..... E pf

(3.84)

n scopul aprecierii eficienei sau complexitii unui


algoritm, se folosete simbolismul din teoria complexitii
calculelor. Notaia O() se folosete n analiza eficienei algoritmilor
i definete limita superioar a unei funcii, reflectnd efortul de
calcul necesar obinerii rezultatului, n funcie de mrimea intrrii,
196

de obicei, numrul de bii. Complexitatea (temporal) a unei


probleme (sau a unui algoritm) reprezint numrul de pai (sau
echivalentul lor temporal) ce trebuie parcuri pentru a o rezolva,
exprimat, n general, n funcie de mrimea intrrii. De exemplu, se
presupune c timpul (sau numrul de pai) necesari rezolvrii unei
probleme a crei intrare are dimensiunea n este de forma
N

T (n) = ai ni , unde coeficienii ai sunt constante independente de


i =0

intrare. Cu creterea lui n, termenul dominant este n N , ceilali


putndu-se neglija. Coeficienii ai depind de detaliile
implementrii. Notaia O(nN) reflect factorul dominant, evideniind
o complexitate de nN.
Ecuaia recursiv (3.82) a algoritmului Levison Durbin
necesit O(m) operaii de multiplicare i sumare pentru a trece de la
treapta m la treapta m+1. Prin urmare, pentru p trepte sunt necesare
1+2+3+...+p=p(p+1)/2 operaii pentru a determina coeficienii
filtrului predictor sau coeficienii de reflexie, adic o complexitate
O(p2). Dac nu s-ar fi folosit proprietile matricei de corelaie i
sistemul (3.66) s-ar fi rezolvat prin metoda eliminrilor a lui Gauss,
gradul de complexitate ar fi O(p3). Prin folosirea procesrii
paralele, complexitatea algoritmului poate fi sczut suplimentar.
3.6.2. Algoritmul Schur

Algoritmul Schur este strns legat de un test recursiv pentru a


determina faptul c matricea de corelaie este pozitiv definit. n
particular, fie matricea de autocorelaie p+1 asociat cu ecuaiile
normale extinse date de relaia (3.67). Din elementele acestei
matrice se formeaz funcia
197

xx [1] z 1 + xx [ 2] z 2 + ... + xx [ p ] z p
R0 ( z ) =
xx [ 0] + xx [1] z 1 + xx [ 2] z 2 + ... + xx [ p ] z p

(3.85)

i familia de funcii Rm ( z ) definit recursiv prin


Rm ( z ) =

Rm1 ( z ) Rm1 ( )
, m = 1,..., p
z 1 Rm* 1 ( ) Rm1 ( z )
1

(3.86)

Conform teoremei lui Schur [56], o condiie necesar i


suficient pentru ca matricea de corelaie s fie pozitiv definit este
ca Rm ( ) < 1 pentru m = 1,..., p . Se demonstreaz mai nti c
matricea de autocorelaie este pozitiv definit dac coeficienii de
reflexie ai filtrului lattice corespunztor sunt subunitari, adic
K m < 1, m = 1,..., p .
Din (3.85) rezult c R0 ( ) = 0 . nseamn atunci, conform
relaiei (3.86), c

xx [1] + xx [ 2] z 1 + ... + xx [ p ] z p +1
R1 ( z ) =
xx [ 0] + xx [1] z 1 + xx [ 2] z 2 + ... + xx [ p ] z p
i, deci R1 ( ) =

(3.87)

xx [1]
. Comparnd cu (3.69), se observ c
xx [0]

R1 ( ) = K1 , adic xx [1] = K1 xx [ 0] .

n mod analog, rezult


R ( z ) R ()
R2 ( z ) = 1 1 * 1
=
z (1 R1 ( ) R1 ( z ) )

xx [1] + xx [ 2] z 1 + ... + xx [ p ] z p +1
[1]
xx
1
2
p
xx [ 0] + xx [1] z + xx [ 2] z + ... + xx [ p ] z
xx [ 0]
=
=
1
p +1

+
+
+
z
p
z
1
1
2
...

[
]
[
]
[
]
[
]
xx
xx
xx
z 1 1 xx .
1
2
p
xx [ 0] xx [ 0] + xx [1] z + xx [ 2] z + ... + xx [ p ] z
198

xx [ 0] ( xx [ 2] + ... + xx [ p ] z p + 2 ) xx [1] ( xx [1] + ... + xx [ p ] z p +1 )


xx [ 0] ( xx [ 0] + xx [1] z 1 + ... + xx [ p ] z p ) xx [1] ( xx [1] + ... + xx [ p ] z p +1 )

i, deci

xx [ 0] xx [ 2] xx2 [1] xx [ 2] + K1 xx [1]


R2 ( ) =
=
2
xx2 [ 0] xx2 [1]
xx [ 0] (1 K1 )

(3.88)

adic R2 ( ) = K 2 . n general, rezult Rm ( ) = K m , m = 1,..., p .


nseamn,

deci,

Rm ( ) < 1, m = 1,..., p ,

dac

atunci

K m < 1, m = 1,..., p , ceea ce asigur c matricea p +1 este pozitiv

definit. Deoarece coeficienii de reflexie pot fi obinui din familia


de funcii Rm ( z ) , m = 1,..., p , rezult o metod alternativ pentru
rezolvarea ecuaiilor normale, cunoscut sub denumirea de
algoritmul lui Schur.
Fie Rm ( z ) exprimat sub forma
Rm ( z ) =

Pm ( z )
, m = 0,..., p
Qm ( z )

(3.89)

unde
P0 ( z ) = xx [1] z 1 + xx [ 2] z 2 + ... + xx [ p ] z p
Q0 ( z ) = xx [ 0] + xx [1] z 1 + xx [ 2] z 2 + ... + xx [ p ] z p

Deoarece

K0 = 0

(3.90)

K m = Rm ( ) , m = 1,..., p ecuaia

recursiv (3.86) implic urmtoarele ecuaii recursive pentru


polinoamele Pm ( z ) i Qm ( z ) .
Pm ( z ) 1

= * 1
Qm ( z ) K m1 z
Astfel, se poate scrie

K m1 Pm1 ( z )

, m = 1,..., p
z 1 Qm1 ( z )

P1 ( z ) = P0 ( z ) = xx [1] z 1 + xx [ 2] z 2 + ... + xx [ p ] z p
199

(3.91)

Q1 ( z ) = z 1Q0 ( z ) = xx [ 0] z 1 + xx [1] z 2 + ... + xx [ p 1] z p +


+ xx [ p ] z p 1

(3.92)

i
P (z)
xx [1]
K1 = 1
=
xx [ 0]
Q1 ( z ) z =
Analog, rezult

(3.93)

P2 ( z ) = P1 ( z ) + K1Q1 ( z ) = xx [1] z 1 + xx [ 2] z 2 + ... + xx [ p ] z p

xx2 [1] z 2 xx [1] xx [ 2] z 3


xx [1] xx [ p ] z p 1
xx [1] z

...
=
xx [ 0]
xx [ 0]
xx [ 0]
1

= ( xx [ 2] + K1 xx [1]) z 2 + ... + ( xx [ p ] + K1 xx [ p 1]) z p +


+ K1 xx [ p ] z p 1
Q2 ( z ) = z 1K1* P1 ( z ) + z 1Q1 ( z ) = z 1 ( Q1 ( z ) + K1* P1 ( z ) ) =

(3.94)

= z 1[( xx [ 0] z 1 + xx [1] z 2 + ... + xx [ p 1] z p + xx [ p ] z p 1 ) +


+ ( K1* xx [1] z 1 + K1* xx [ 2] z 2 + ... + K1* xx [ p ] z p )] =

( [0] + K [1]) z + ( [1] + K [ 2]) z


+ ( [ p 1] + K [ p ]) z
+ [ p] z
2

*
1 xx

xx

xx

*
1 xx

xx

*
1 xx

p 1

+ ....

p2

xx

(3.95)
K2 =

[2] + K1 xx [1]
P2 ( z )
= xx
Q2 ( z ) z =
xx [0] + K 1 xx [1]

(3.96)

Pe baza acestor relaii, algoritmul Schur este descris de


urmtoarea procedur recursiv:
1. Se formeaz matricea generatoare cu dou linii i p+1
coloane, de forma:
200

[G0 ] =

xx

[ 0]

xx [1] xx [ 2] ... xx [ p ]

xx [1] xx [ 2] ... xx [ p ]

(3.97)

unde elementele primei linii sunt coeficienii lui P0 ( z ) , iar cele de


pe a doua linie, coeficienii lui Q0 ( z ) .
2. Se deplaseaz a doua linie a matricei generatoare spre
dreapta cu o poziie i se renun la ultimul element al liniei. n
locul rmas liber se plaseaz un zero. Astfel se obine o nou
matrice generatoare
0 xx [1] xx [ 2] ...

[G1 ] = 0

xx [ p ]

(3.98)

xx [ 0] xx [1] ... xx [ p 1]
Raportul, cu semnul minus, al elementelor din a doua coloan
reprezint coeficientul de reflexie K1 =

xx [1]
.
xx [ 0]

3. Se nmulete la stnga matricea generatoare [G1 ] cu


matricea
1

[V1 ] = K *

K1
1

(3.99)

obinndu-se
[V1 ][G1 ] =
0
0
xx [ 2] + K1 xx [1] ... xx [ p ] + K1 xx [ p 1]
=

*
...
... xx [ p 1] + K1* xx [ p ]
0 xx [ 2] + K1 xx [1]
(3.100)

4. Se deplaseaz a doua linie a matricei [V1 ][G1 ] cu o poziie


spre dreapta, obinndu-se o nou matrice generatoare
0 0 xx [ 2] + K1 xx [1] ...

[G2 ] = 0

0 xx [ 0] + K

*
1 xx

[1]

xx [ p ] + K1 xx [ p 1]
(3.101)
... xx [ p 2] + K1* xx [ p 1]

201

Raportul, cu semnul -, al elementelor din coloana a treia


reprezint coeficientul de reflexie K 2 . Paii 3 i 4 se repet pn se
obin toi cei p coeficieni de reflexie. n general, matricea [Vm ]
este de forma
1

[Vm ] = K *

Km
1

(3.102)

Multiplicarea lui [Vm ] cu [Gm ] are ca rezultat o matrice din care,


prin deplasarea celei de-a doua linii cu o poziie rezult noua
matrice generatoare [Gm+1 ] . Se observ c operaia de deplasare a
celei de-a doua linii la fiecare iteraie echivaleaz cu nmulirea cu
z 1 din a doua ecuaie recursiv (3.91). De asemenea, se observ c
mprirea polinomului Pm ( z ) la Qm ( z ) i evaluarea ctului la
z = echivaleaz cu mprirea elementelor din coloana ( m + 1 ) a

matricei [Gm ] .
Pentru a demonstra legtura dintre algoritmul Schur,
algoritmul Levison Durbin i predictorul lattice corespunztor, se
determin ieirea filtrului lattice cnd secvena de intrare este
secvena de autocorelaie xx [m], m = 0,1,... , adic prima intrare n
lattice este xx [0] , a doua, xx [1] i aa mai departe. Corespunztor
figurii 3.8, f 0 [ n] = xx [n] . Dup ntrzierea din prima treapt,
g 0 [n 1] = xx [n 1] ,

adic,

pentru

n = 1,

raportul

f 0 [1]/ g 0 [0] = xx [1]/ xx [0] , care este coeficientul de reflexie K1 , cu

semnul -. Aceast expresie se poate exprima i n forma


f 0 [1] + K1 g 0 [0] = xx [1] + K1 xx [0] = 0

(3.103)

Mai mult, g 0 [0] = xx [0] = E0f .


La momentul n = 2 , conform relaiei (3.43), intrarea n a
doua treapt este
202

f1[2] = f 0 [2] + K1 g 0 [1] = xx [2] + K1 xx [1]

i, dup ntrzierea din a doua treapt,


g1[1] = K1 f 0 [1] + g 0 [0] = K1 xx [1] + xx [0]

(3.104)
(3.105)

Raportul f1[2]/ g1[1] este


f1[2] xx [2] + K1 xx [1] xx [2] + K1 xx [1]
=
=
= K2
g1[1] xx [0] + K1 xx [1]
E1f

(3.106)

f1[2] + K 2 g1[1] = 0, g1[1] = E1f

(3.107)

deci,
Continund n acelai mod, rezult
f m1[m]/ g m1[m 1] = K m i g m1[m 1] = Emf 1

(3.108)

n consecin, coeficienii filtrului lattice obinui cu


algoritmul Levison Durbin sunt identici cu coeficienii obinui cu
algoritmul Schur.

3.7. Proprieti ale filtrelor erorii de predicie


3.7.1. Proprietatea de faz minim a filtrului erorii de
predicie nainte

Se reamintete c un filtru are faz minim, dac zerourile


funciei sale de sistem sunt n interiorul cercului unitate sau pe
acesta. S-a artat anterior c n cazul implementrii lattice a filtrului
erorii de predicie, K m < 1 pentru toi m . Aceast condiie

mpreun cu relaia: Emf = 1 K m

)E

f
m 1

poate fi folosit pentru a

arta c zerourile funciei de sistem a filtrului erorii de predicie sunt


fie toate n interiorul cercului unitate, fie pe cerc.

203

Se va arta c, dac E pf > 0 , zerourile zi < 1 pentru orice i ,


unde zi sunt zerourile funciei de sistem.
ntr-adevr, pentru p = 1 funcia de sistem filtrului erorii de
predicie este
A1 ( z ) = 1 + K1 z 1

ceea ce nseamn z1 = K1 i E1f = 1 K1

(3.109)
2

)E

f
0

>0.

Se presupune ipoteza adevrat pentru p 1 , adic E pf 1 > 0 .


Dac zi este o rdcin a lui Ap ( z ) , din relaiile (3.41) i (3.46) se
obine
Ap ( zi ) = Ap 1 ( zi ) + K p zi1B p 1 ( zi ) =
1
= Ap 1 ( zi ) + K p zi p A*p 1 = 0
zi
Din relaia precedent se poate scrie expresia
1
zi p A*p 1
1
zi = Q z
=
( i)
Kp
Ap 1 ( zi )

(3.110)

(3.111)

care este de tip trece tot.


Pe de alt parte, se tie c o funcie trece tot, de forma
N

P(z) =
k =1

zzk* + 1
, zk < 1
z + zk

se bucur de proprietile:

P ( z ) > 1 pentru z < 1 ,


P ( z ) = 1 pentru z = 1 i
P ( z ) < 1 pentru z > 1 .

Prelucrnd relaia (3.112), rezult


204

(3.112)

N *
1
z 1 + zk* z AN ( z )
=
P(z) = z
1
+
z
z
AN ( z )
1
k =1
k
N

(3.113)

Din relaiile (3.111) i (3.113) rezult c Q ( z ) =

pentru N=p-1. Cum E pf > 0 i E pf 1 > 0 din E pf = 1 K p


rezult K p < 1 i, deci, Q ( zi ) =

)E

P(z)
z

f
p 1

>0

1
> 1 . Conform proprietilor
Kp

funciei de tip trece tot, rezult zi < 1 .


Dac se presupune c E pf 1 > 0 i E pf = 0 , atunci K p = 1 i
Q ( zi ) = 1 . Procesul aleator x [ n ] pentru care EPMM este zero

( E pf = 0 ) se numete predictibil sau determinist.


Fie, de exemplu, procesul aleator sinusoidal de forma
M

x [ n] = k e

j ( n k + k )

(3.114)

k =1

unde fazele { k } sunt statistic independente i distribuite uniform n


intervalul ( 0, 2 ) . Funcia de autocorelaie este atunci
M

xx [ m ] = k2e jm

(3.115)

k =1

iar densitatea spectral de putere


M

xx ( f ) = k2 ( f f k ) , f k =
k =1

k
2

(3.116)

Se poate arta c acest proces este predictibil cu un predictor


de ordin p M . ntr-adevr, fie x [ n ] de forma (3.114) care se
aplic la intrarea unui filtru al erorii de predicie de ordin p M .
Eroarea ptratic medie la ieirea acestui filtru este
205

pf =

1
2

xx ( f ) Ap ( f ) df =

1
2

= k2 Ap ( f k )

1
2

2
M 2

k ( f f k ) Ap ( f ) df
1
k =1

(3.117)
2

k =1

Alegnd M din cele p zerouri ale filtrului erorii de predicie


s coincid cu frecvenele

{ fk } ,

eroarea ptratic medie poate fi

forat s fie zero. Celelalte p M zerouri pot fi plasate arbitrar,


oriunde n interiorul cercului unitate.
3.7.2. Proprietatea de faz maxim a filtrului erorii de
predicie napoi

Un filtru se spune c este de faz maxim, dac zerourile


funciei sale de sistem sunt n exteriorul cercului unitate sau pe
acesta. Funcia de sistem pentru filtrul erorii de predicie napoi de
ordin p este
B p ( z ) = z p Ap ( z 1 )

(3.118)

n consecin, rdcinile lui B p ( z ) sunt inversele rdcinilor


filtrului erorii de predicie nainte cu funcia de sistem Ap ( z ) .
Aceasta nseamn c, dac

Ap ( z ) este de faz minim atunci

B p ( z ) este de faz maxim. Dac procesul x [ n ] este predictibil

atunci toate rdcinile lui B p ( z ) sunt pe cercul unitate.


3.7.3. Proprietatea de albire

Se presupune c procesul aleator x [ n ] este de tip AR(p),


adic este generat prin trecerea zgomotului alb, staionar, de
206

dispersie w2 printr-un filtru numai cu poli, care are funcia de


sistem
H ( z) =

1
p

1 + ak z

(3.119)
1

k =1

Pe de alt parte, filtrul erorii de predicie nainte de ordin p


are funcia de sistem:
p

Ap ( z ) = 1 + a p [ k ]z k =
k =1

1
H ( z)

(3.120)

dac coeficienii predictorului sunt a p [k ] = ak . Rspunsul acestui


filtru la semnalul x[n] este, evident, zgomot alb de dispersie w2 .
Din acest motiv, acest filtru al erorii de predicie se numete filtru
de albire.
3.7.4. Proprietatea de ortogonalitate

Erorile de predicie napoi

{ g [ k ]}
m

n diferite trepte ale

filtrului FIR lattice sunt ortogonale, adic


0, pentru 1 m p;1 l p; m l
E g m [ ni ] gl* [ ni ] = b
Em , l = m
ntr-adevr,
m

k =0

j =0

(3.121)

E g m [ ni ] g l* [ ni ] = bm [ k ] bl* E x [ ni k ] x* [ ni j ] =
l

j =0

k =0

= bl*[ j ] bm [ k ] xx [ j k ]

Ecuaiile normale ale predictorului liniar napoi sunt


207

(3.122)

0, j = 1, 2,..., m 1

=
b
k
j
k

[
]
[
]

m
xx
b
k =0
Em , j = m
care, nlocuite n (3.122) conduc la (3.121).
m

(3.123)

3.8. Filtre lattice pentru procese AR i ARMA


n paragraful 3.3 s-a artat relaia dintre un filtru lattice FIR
i predicia liniar. Predictorul liniar cu funcia de sistem
p

Ap ( z ) = 1 + a p [ k ] z k ,

(3.124)

k =1

cnd este excitat cu procesul aleator x [ n ] , produce o ieire care


aproximeaz zgomotul alb cnd p . Pe de alt parte, dac
procesul de intrare este autoregresiv de ordin

p , ieirea

predictorului cu funcia de sistem Ap ( z ) este un zgomot alb.


Deoarece predictorul cu funcia de sistem Ap ( z ) genereaz un
proces MA ( p ) cnd este excitat cu o secven de zgomot alb,
structurile lattice numai cu zerouri se mai numesc lattice cu medie
alunectoare sau mobil. n continuare se prezint structurile lattice
pentru filtrul invers, numai cu poli, 1/ Ap ( z ) , numite structuri
lattice AR i structurile lattice cu poli i zerouri pentru un proces
ARMA.
3.8.1. Structura lattice AR

Fie un sistem numai cu poli, cu funcia de sistem


1
H ( z) =
p
1 + a p [k ] z k
k =1

208

(3.125)

Ecuaia cu diferene corespunztoare este:


p

y [ n ] = a p [ k ] y [ n k ] + x [ n ]

(3.126)

k =1

Schimbnd rolul intrrii cu ieirea, adic nlocuind n relaia


(3.126) x[n] cu y[n] , i invers, se obine ecuaia cu diferene:
p

x [ n ] = a p [ k ] x [ n k ] + y [ n ]

(3.127)

k =1

sau, echivalent
p

y [ n] = x [ n] + a p [ k ] x [ n k ]

(3.128)

k =1

care reprezint ecuaia cu diferene pentru un sistem FIR cu funcia


de sistem Ap ( z ) . Aadar, un sistem IIR numai cu poli poate fi
transformat n unul FIR prin interschimbarea rolului intrrii cu
ieirea. Pe baza acestei observaii se poate obine structura unei
lattice AR ( p ) dintr-o lattice MA(p) prin interschimbarea semnalat
anterior. Dac structura lattice MA ( p ) are ieirea y [ n ] = f p [ n ] , i
intrarea x [ n ] = f 0 [ n ] , se va impune
x [ n ] = f p [ n ]

y [ n ] = f 0 [ n ]

(3.129)

Aceste definiii impun calculul mrimilor { f m [ n ]} n ordine invers,


lucru care poate fi efectuat prin rearanjarea ecuaiei recursive (3.29)
pentru f m [ n ] i aflarea lui f m1 [ n ] n funcie de f m [ n ] . Astfel
f m1 [ n ] = f m [ n ] K m g m [ n 1] , m = p, p 1,...,1.

(3.130)

Ecuaia pentru g m [ n ] rmne neschimbat. Se obin n final


relaiile:
209

x [ n] = f p [ n]
f m1 [ n ] = f m [ n ] K m g m1 [ n 1]
g m [ n ] = K m f m 1 [ n ] + g m1 [ n 1]

(3.131)

y [ n] = f0 [ n] = g0 [ n]

mplementarea corespunztoare pentru structura lattice


AR(p) este prezentat n figura 3.9.

Figura 3.9. Structura corespunztoare pentru lattice AR ( p )

Se observ c structura lattice numai cu poli are o cale


numai cu zerouri cu intrarea g 0 [ n ] i ieirea g p [ n ] , care este
identic cu calea numai cu zerouri din structura lattice MA ( p ) . Se
observ, de asemenea, c cele dou structuri lattice AR ( p ) i
MA ( p )

sunt caracterizate de aceiai parametri, i anume,

coeficienii de reflexie

{Ki } ,

fapt ce permite aplicarea acelorai

relaii de conversie (3.53) (3.55) i (3.57), (3.58) a parametrilor

{a [ k ]}
p

ai realizrii n form direct a sistemului numai cu

zerouri Ap ( z ) n parametrii lattice


pentru structurile numai cu poli.

210

{Ki }

ai structurii MA ( p ) i

3.8.2. Procese ARMA i filtre lattice cu poli i zerouri

O structur lattice numai cu poli furnizeaz blocul


constructiv de baz pentru structurile de tip lattice care
implementeaz sisteme IIR ce conin att poli ct i zerouri. Fie un
sistem IIR cu funcia de sistem
q

H ( z) =

c [k ] z
k =0
p

1 + a p [k ] z

=
k

Cq ( z )

Ap ( z )

(3.132)

k =1

Fr a se pierde din generalitate, se presupune p q . Acest


sistem este caracterizat de ecuaiile cu diferene
p

v [ n ] = a p [ k ] v [ n k ] + x [ n ]
k =1

(3.133)

y [ n ] = cq [ k ]v [ n k ]
k =0

obinute prin considerarea sistemului IIR ca o cascad format dintrun sistem numai cu poli care precede un sistem numai cu zerouri.
Ieirea y [ n ] este o combinaie liniar a ieirilor ntrziate din
sistemul numai cu poli.
Funcia de sistem
Hb ( z ) =

Gm ( z )
= Bm ( z )
Y (z)

(3.134)

unde Gm ( z ) este transformata Z a ieirii g m [ n ] din treapta a m-a,


iar Y ( z ) , intrarea n calea numai cu zerouri, caracterizeaz un
sistem numai cu zerouri. Prin urmare, orice combinaie de { g m [ n ]}
este, de asemenea, un filtru numai cu zerouri.
211

Fie un filtru lattice numai cu poli, cu coeficienii K m , cruia i


se adaug o structur, numit scar, prin considerarea ieirii ca o
combinaie liniar de { g m [ n ]} . Se obine un filtru lattice cu poli i
zerouri ca n figura 3.10, a crui ieire este
q

y [ n] = k g k [ n]

(3.135)

k =0

unde { k } sunt parametrii care caracterizeaz sistemul numai cu


zerouri.

Figura 3.10. a) Structur lattice pentru un sistem cu poli i zerouri, b) treapta


m a latticei

Cu ajutorul relaiei (3.135), funcia


corespunztoare sistemului cu poli i zerouri este
q
Y ( z)
G ( z)
H ( z) =
= k k
X ( z ) k =0
X ( z)

de

sistem
(3.136)

Deoarece X ( z ) = FP ( z ) i F0 ( z ) = G0 ( z ) , relaia (3.136) se poate


scrie
212

q
Gk ( z ) F0 ( z )
1
=
H ( z ) = k
k Bk ( z )
G0 ( z ) Fp ( z ) Ap ( z ) k =0
k =0
q

(3.137)

Prin identificarea cu relaia (3.132), rezult


q

Cq ( z ) = k Bk ( z )

(3.138)

k =0

Aceast relaie poate fi folosit pentru determinarea


coeficienilor

{ k } .

Fiind date polinoamele Cq ( z ) i Ap ( z ) cu

p q , se determin nti coeficienii de reflexie

{K m }

din

coeficienii a p [ k ] . Cu ajutorul relaiilor recursive date de (3.56) se


obin polinoamele Bk ( z ) , k = 1,..., p . Parametrii scrii se pot obtine
din relaia (3.138), care se mai scrie sub forma
m 1

Cm ( z ) = k Bk ( z ) + m Bm ( z ) = Cm1 ( z ) + m Bm ( z )

(3.139)

k =0

sau, echivalent
Cm1 ( z ) = Cm ( z ) m Bm ( z ) , m = p, p 1,...,1

(3.140)

ceea ce permite determinarea polinoamelor de ordin inferior.


Deoarece bm [ m ] = 1 , parametrul m se determin din relaia (3.140)
impunnd m = cm [ m ] , m = p,...,1 .
Dac o structur lattice cu poli i zerouri este excitat cu o
secven de zgomot alb, se genereaz un proces ARMA ( p, q ) , a
crui densitate spectral de putere este
xx ( f ) = w2

Cq ( f )

Ap ( f )

(11.141)

unde w2 este dispersia secvenei de zgomot alb de la intrare.

213

3.9. Filtre Wiener pentru filtrare i predicie


n multe situaii practice semnalele utile sunt afectate de
perturbaii cu caracter aditiv, motiv pentru care se pune problema
proiectrii unui filtru care s suprime componenta nedorit de
zgomot, pstrnd, n acelai timp, caracteristicile semnalului dorit.
Se impune ca filtrul, caracterizat de rspunsul la impuls h [ n ] , s fie
liniar, iar ieirea sa s aproximeze un semnal dorit. Situaia este
ilustrat n figura 3.11.

Figura 3.11. Model pentru estimarea liniar a unui semnal

unde

s [ n ] -semnalul util
w [ n ] -zgomot aditiv
d [ n ] -semnal dorit
x [ n ] = s [ n ] + w [ n ] -semnalul de intrare n filtru
y [ n ] -ieirea filtrului
e [ n ] = d [ n ] y [ n ] -secvena de eroare

Se disting trei cazuri


1)

d [ n ] = s [ n ] , situaie cunoscut sub numele de filtrare;

2)

d [ n ] = s [ n + D ] , D > 0 , situaie cunoscut sub numele de

predicie, filtrare cu anticipare sau extrapolare;


214

3)

d [ n ] = s [ n D ] , D > 0, situaie cunoscut sub numele de

netezire, filtrare cu ntrziere sau interpolare.


Criteriul ales pentru optimizarea rspunsului la impuls al
filtrului este cel de minimizare a erorii ptratice medii. Secvenele

{s [ n]} ,{w[ n]} ,{d [ n]}

se presupun de medie zero i staionare n

sens larg. Filtrul liniar optimal care minimizeaz eroarea ptratic


medie se numete filtru Wiener i poate fi cu rspuns finit sau
infinit la impuls.
3.9.1. Filtru Wiener cu rspuns finit la impuls

Se presupune c filtrul cu rspuns finit la impuls are


lungimea M i coeficienii {h [ k ] ,0 k M 1} , caz n care ieirea
sa este
M 1

y [ n] = h [ k ] x [ n k ]

(3.142)

k =0

Valoarea ptratic medie a erorii dintre ieirea dorit d [ n ] i


ieirea filtrului, y[n] , este
2
M 1


M = E {(e [ ni ]) } = E d [ ni ] h [ k ] x [ ni k ] (3.143)
k =0

Condiia necesar din care se obine valoarea de extrem a


erorii este:
2

E {(e [ ni ]) 2 }
h [ k ]

= 0, 0 k M 1

nlocuind (3.143) n (3.144), rezult

215

(3.144)

E {(e [ ni ]) 2 }
h [ k ]

2
M 1


E d [ ni ] h [ k ] x [ ni k ] =
=
h [ k ]
k =0

2
M 1


= E
d [ ni ] h [ k ] x [ ni k ] =
k =0

h [ k ]
M 1

= 2 E d [ ni ] h [ k ] x [ ni k ] ( x [ ni m ]) =
k =0

(3.145)

M 1

= 2 xd [m] h [ k ] xx [ k m ] = 0, 0 m M 1
k =0

sau, echivalent
M 1

h [ k ] [ k m] =
o

k =0

xx

xd

[ m], 0 m M 1

(3.146)

Relaiile (3.146) pentru 0 m M 1 sunt cunoscute ca


ecuaiile Wiener Hopf din care se deduc coeficienii filtrului optimal
FIR care asigur o eroare ptratic minim.
Extremul erorii ptratice medii este un minim, deoarece
2 E {(e [ ni ]) 2 }
h [ m ] h [ k ]

2 E {(e [ ni ]) 2 }
h 2 [ m ]

Ecuaiile

= 0, pentru m k i
= xx [0] > 0, 0 m M 1

(3.147)

(3.146) poate fi exprimate n form matriceal

astfel:

[ M ][ ho ] = [ d ]
unde

216

(3.148)

[ M ]MxM

xx [ 0]
xx [1]

xx [1]
xx [ 0]
=

..
..

xx [ M 1] xx [ M 2]

... xx [ M 1]

... xx [ M 2]

...
..

...
xx [ 0]

este matricea de autocorelaie, cu elementele lk = xx [l k ] ,

[ d ]M 1 = [ xd [0] xd [1] ... xd [ M 1]] este un vector coloan cu


elementele xd [l ] , l = 0,1,..., M 1 , iar
T
[ ho ]M 1 = [ h[0] h[1] ... h[ M 1]] este un vector coloan ale
T

crui componente sunt valorile rspunsului la impuls al filtrului


optimal.
Soluia pentru coeficienii filtrului optimal este
(3.149)
[ h0 ] = [ M ] [ d ] .
Deoarece matricea de corelaie [ M ] este de tip Toplitz, se poate
1

folosi algoritmul Levison Durbin pentru aflarea coeficienilor


filtrului optimal (vezi paragraful 3.6.1).
Eroarea ptratic medie minim a filtrului Wiener se obine
nlocuind relaiile (3.146) n (3.143), adic
2
M 1


min M = E d [ ni ] ho [ k ] x [ ni k ] =
k =0

M 1
M 1

E d [ ni ] ho [ k ] x [ ni k ] d [ ni ] ho [ m ] x [ ni m ] =
k =0
m=0

M 1

M 1

M 1

m =0

m =0

k =0

dd [0] 2 ho [ m ] xd [ m ] + ho [ m] ho [ k ] xx [ m k ] =
M 1

dd [0] ho [ m ] xd [ m ]
m =0

(3.150)
217

innd cont de (3.149), relaia (3.150) se scrie, echivalent,


sub forma
min M = dd [0] [ d ]T [ M ]1[ d ]

(3.151)

Se consider n continuare cazul cnd semnalul dorit a fi


estimat este de forma
d [ n ] = s [ n + D ] ,cu D ntreg, fixat

(3.152)

Filtrul liniar optimal opereaz asupra semnalului observat


afectat de zgomot aditiv
x [ n] = s [ n] + w[ n]

(3.153)

pentru a elimina zgomotul, producnd un rspuns y [ n ] care s


aproximeze s [ n + D ] . Filtrul optimal va fi de ntrziere, dac D < 0
i de anticipare, dac D > 0 .
Dac semnalul s [ n ] i zgomotul w [ n ] sunt necorelate, cum
este de obicei cazul n practic, atunci

xx [k ] = ss [ k ] + ww [ k ]
xd [ m ] = ss [ m + D ]

(3.154)

iar ecuaiile Wiener Hopf sunt de forma


M 1

h [ k ] [ m k ] + [ m k ] =
k =0

ss

ww

= ss [ m + D ] , m = 0,..., M 1

(3.155)

3.9.2. Proprietatea de ortogonalitate a filtrului optimal

Filtrul liniar optimal ce satisface ecuaia Wiener-Hopf


(3.146) are o proprietate statistic important, i anume, aceea c
eroarea ptratic medie este minim, dac coeficienii filtrului
218

fost alei astfel nct eroarea de estimare i datele x [ n ]

{h[n]} au

sunt ortogonale, adic:


E { x [ ni m ] e [ ni ]} = 0,0 m M 1

(3.156)

unde
M 1

e [ n ] = d [ n ] y [ n ] = d [ n ] h [ m ]x [ n m ]

(3.157)

m =0

ntr-adevr, egalnd cu zero derivata erorii ptratice medii n


raport cu h [ m ] , rezult
E e 2 [ ni ]

e [ ni ]

e [ ni ] = 0
= 2E
(3.158)
h [ m ]
h [ m ]

n (3.158) ordinea operaiilor de mediere i derivare a fost


interschimbat.
Din (3.157) se observ c

e [ n ]
M 1
=
h [ m]x [ n m] = x [ n m]
h [ m ]
h [ m ] m=0
nlocuind

(3.159)

(3.158)

(3.159)

rezult E { x [ ni m ] e [ ni ]} = 0 ,

m = 0,..., M 1 , adic (3.156).

3.9.3. Determinarea funciei pondere a filtrelor Wiener cu


rspuns infinit la impuls (IIR) la recepionarea secvenei de
zgomot alb

n paragraful precedent s-a impus constrngerea ca filtrul s


fie de lungime finit, obinndu-se un sistem de M ecuaii liniare din
care s rezulte coeficienii optimi ai filtrului. In paragraful de fa,
filtrele, ca i datele se consider infinite ca durat. Ieirea filtrului
IIR se calculeaz cu relaia
219

y [ n] = h [ k ] x [ n k ]

(3.160)

k =0

Coeficienii filtrului rezult din minimizarea erorii ptratice medii


dintre semnalul de ieire dorit d [ n ] i y [ n ] , adic

(3.161)
= E {(e [ ni ]) } = E (d [ ni ] h [ k ] x [ ni k ]) 2
k =0

Aplicnd principiul ortogonalitii, se obin ecuaiile Wiener-Hopf


2

h [ k ] [ m k ] = [ m] , m 0
k =0

xx

xd

(3.162)

Eroarea ptratic medie minim se obine din relaia (3.150)


pentru M , adic

EPMM = min = dd [0] ho [ m] xd [ m ]


h

(3.163)

m =0

Ecuaiile Wiener-Hopf (3.162) nu pot fi rezolvate direct cu


ajutorul tehnicilor oferite de transformata Z, deoarece ecuaiile sunt
valabile numai pentru m 0 . Filtrul optimal Wiener-Hopf IIR va fi
determinat cu ajutorul unui filtru de albire cruia i se aplic procesul
stationar { x [ n ]} .
n cazul filtrelor discrete optimale IIR cauzale, ecuaia WienerHopf este dat de relaia (3.162). n cazul recepionrii unei secvene
de zgomot alb, notat cu w [ n ] , fie ww [ m ] funcia de autocorelaie a
acesteia, wd [ m ] funcia de corelaie dintre secvena recepionat
w [ n ] i secvena dorit a fi estimat d [ n ] i how [ k ] funcia pondere a

unui filtru optimal IIR ce satisface ecuaia Wiener-Hopf la


recepionarea secvenei w [ n ] . n cazul secvenei recepionate de tip
zgomot alb, ecuaia (3.162) devine:
220

oa

[k ] ww [ m - k ] = wd [m] , m 0

(3.164)

k=0

Dar

ww [m - k ] = w2 [m - k ]
innd cont de (3.165), ecuaia (3.164) devine:
w2 how [m] = wd [m] , m 0

(3.165)
(3.166)

Fie + wd [ m ] partea lui wd [ m ] pentru m0 i wd [ m ] pentru m <0.


Rezult atunci:
+ wd [m]
, pentru m 0

how [m] = w2

0, pentru m < 0

Aplicnd transformata Z relaiei (3.167), rezult:


Z {how [ m] } =

h [ m] z

ow

= H ow ( z ) =

m=-

w2 m=0

wd [m] z -m =

w2

(3.167)

wd ( z )

(3.168)

3.9.4. Filtru Wiener cauzal cu rspuns infinit la impuls


(IIR)

Se reamintete c un proces aleator stationar x [ n ] , cu funcia


de autocorelaie xx [ k ] i densitatea spectral de putere xx ( f )
poate fi obinut la ieirea unui filtru cu funcia de sistem G ( z ) i
rspunsul la impuls g[n] , la intrarea cruia se aplic zgomot alb
w[n] . Procesul x [ n ] este albit de filtrul cu funcia de sistem

221

1
.
G(z)

Funcia G ( z ) este partea de faz minim obinut din factorizarea


spectral a lui xx ( z )
xx ( z ) = i2G ( z ) G ( z 1 ) ,

(3.169)

regiunea de convergen pentru G ( z ) fiind z > r1 cu r1 < 1 .


Pentru a putea folosi rezultatul din paragraful precedent,
filtrul optimal Wiener H o ( z ) se consider a fi o cascad format
dintr-un filtru de albire caracterizat de funcia de sistem

1
i un
G(z)

alt filtru caracterizat de funcia de sistem H ow ( z ) , a crui ieire


y [ n ] este identic cu ieirea filtrului Wiener optimal.

Figura 3.12. Filtru optimal Wiener

Deoarece

y [ n ] = how [ k ] w [ n k ]

(3.170)

k =0

e [ n] = d [ n] y [ n] ,

aplicarea

principiului

ortogonalitii

determin urmtoarele ecuaii Wiener-Hopf pentru filtrul H ow ( z )

h [ k ] [ m k ] = [ m] , m 0
k =0

ow

ww

Optimalitatea filtrului

wd

H ow ( z )

filtrului H o ( z ) , deoarece
222

(3.171)

asigur i optimalitatea

E { x[ni ]e[ni + m]} =

= E g[ p ]w[ni p ] d [ni + m] how [k ]w[ni + m k ] =


k =0

p =0

g
[
p
]
E
(
w
[
n
p
]
d
[
n
m
])
how [k ]w[ni p ][ w[ni + m k ] =

i
i

p =0
k =0

g
[
p
]
[
m
p
]
how [k ] ww [m + p k ] = 0

wd
p =0
k =0

(3.172)
Deoarece w[n] este zgomot alb, rezult c ww [ m k ] = 0 ,
cu excepia cazului n care m = k . Din (3.171) se obine
how [ m ] =

wd [ m ] wd [ m]
=
,m 0
ww [ 0]
w2

(3.173)

Transformata Z, a secvenei how [ m ] se determin cu relaia

H ow ( z ) = how [ k ] z k =
k =0

[k ] z

2
w k =0

wd

(3.174)

Transformata Z bilateral a secvenei wd [ k ] se noteaz cu wd ( z )


i se calculeaz cu relaia
wd ( z ) =

[ k ]z

k =

wd

(3.175)

iar partea sa cauzal se noteaz cu


+

wd ( z ) = wd [ k ] z

(3.176)

k =0

Cu relaia (3.176), relaia (3.174) devine


1 +
H ow ( z ) = 2
( z )
wd

(3.177)

Pentru a determina
wd ( z ) se exprim ieirea filtrului de albire
223

n forma

w [ n ] = v [ k ]x [ n k ]

(3.174)

k =0

unde

{v [ k ] , k 0} este rspunsul la impuls al filtrului de albire

1
= V ( z ) = v [ k ]z k
G(z)
k =0

(3.179)

Atunci

wd [ k ] = E {w [ ni ] d [ ni + k ]} =

m=0

m =0

= v [ m ]E { x [ ni m ] d [ ni + k ]} = v [ m ] xd [ k + m ]

(3.180)

Transformata Z a funciei de corelaie wd [ k ] este


wd ( z ) =

v [ m ] xd [ k + m ]z =
k = m = 0

= v [ m ] xd [ k + m ]z k = v [ m ]z m
m =0

k =

= V ( z 1 ) xd ( z ) =

xd ( z )

m=0

[ k ]z

k =

xd

(3.181)

G ( z 1 )

Rezult astfel
+

(z)
H ow ( z ) = 2 xd 1
w G( z )

Filtrul optimal Wiener are funcia de sistem

(3.182)

(z)
H 0w ( z )
1
xd 1
Ho ( z ) =
= 2
G(z)
wG ( z ) G ( z )

224

(3.183)

n continuare se exprim EPMM dat de (3.163) n funcie de


caracteristicile n frecven ale filtrului. Valoarea dd [ 0] a funciei
de autocorelaie dd [ k ] n origine se determin astfel:
Deoarece

dd [ k ] =

2 j

dd ( z ) z k 1dz

(3.184)

rezult c

dd [ 0] =

2 j

dd ( z )
dz = d2
C
z

(3.185)

unde C este un contur din regiunea de convergen al lui dd ( z )


care conine originea, parcurs n sens antiorar.
Al doilea termen al relaiei (3.163) se transform uor n
domeniul frecven, aplicnd teorema lui Parseval [72]. Deoarece
ho [ k ] = 0, k < 0 rezult

1
h [ k ] [ k ] = 2 j

k =

xd

H o ( z ) xd ( z 1 ) z 1dz

(3.186)

unde C este un contur care nconjoar originea, plasat n regiunea de

convergen a lui H o ( z ) i xd ( z 1 ) . nlocuind relaiile (3.185) i

(3.186) n )3.163), rezult


1
dd ( z ) H o ( z ) xd ( z 1 ) z 1dz
EPMM =

C
2 j

(3.187)

3.9.5. Filtru Wiener IIR necauzal

Dac se renun la constrngerea impus filtrului Wiener IIR


de a fi cauzal, ieirea acestuia devine
y [ n] =

h [ n]x [ n k ]

k =

225

(3.188)

Acest filtru este nerealizabil. El poate fi vzut ca un filtru de


netezire n care sunt folosite valorile semnalului din viitorul infinit
pentru a furniza estimatul d [ n ] = y [ n ] al semnalului dorit d [ n ] .
Aplicnd principiul ortogonalitii, rezult ecuaiile Wiener-Hopf
pentru filtrul necauzal

h [ k ] [l k ] = [l ] , < l <
xx

k =

xd

(3.189)

Aplicnd transformata Z relaiei (3.189) se obine


H nc ( z ) =

xd ( z )
xx ( z )

(3.190)

EPMM rezultat este


EPMM nc = dd [ 0]

h [ k ] [ k ]

(3.191)

dd ( z ) H nc ( z ) xd ( z 1 ) z 1dz

(3.192)

k =

xd

iar n domeniul Z
EPMM nc =

2 j

3.10. Probleme rezolvate


1. Funcia de autocorelaie a unui proces aleator este
1, m = 0
1/ 2, m = 1

xx [m] = 5 / 8, m = 2
11/16, m = 3

0, n rest

S se determine funcia de sistem Am ( z ) a filtrului de


predicie, coeficienii de reflexie K m i eroarea ptratic medie de
226

predicie Emf , pentru m = 1, 2,3.


Soluie
Coeficienii filtrului predictor se determin cu ajutorul
algoritmului Levison Durbin.
[1]
Se iniializeaz pentru m = 1, a1[1] = xx , E1f = (1 | a1[1] |2 ) xx [0]
xx [0]
La pasul m se calculeaz
m 1

am [ m ] =

xx [m] + am1[k ] xx [m k ]
k =1

f
m 1

, Emf = (1 | am [m] |2 ) Emf 1

am [k ] = am1[k ] + am [m]am1[m k ], 1 k m 1
m = 1, a1[1] =

xx [1] 1 f
= , E1 = (1 (1/ 2) 2 )1 = 3/ 4
xx [0] 2

A ( z ) = 1 + a1[1] z 1 = 1 +

1 1
1
z ; K1 = a1[1] =
2
2
1

m = 2, a2 [2] =

xx [2] + a1[ k ] xx [2 k ]
k =1

E1f

9 3
K 2 = a2 [2], E = (1 (1/ 2) ) E =
=
16 4
2

f
2

xx [2] + a1[1] xx [1]

f
1

a2 [k ] = a1[k ] + a2 [2]a1[2 k ], k = 1
a2 [1] = a1[1] + a2 [2]a1[1] =

1
4

A2 ( z ) = 1 + a2 [1]z 1 + a2 [2]z 2 = 1 +

1 1 1 2
z z
4
2

227

E1f

1
= ,
2

m = 3, a3[3] =
=

xx [3] + a2 [k ] xx [3 k ]
k =1

E2f

xx [3] + a2 [1] xx [2] + a2 [2] xx [1]


E

f
2

1
= ,
2

27 3
K 3 = a3[3], E = (1 (1/ 2) ) E =
=
64 4
a3[k ] = a2 [k ] + a3[3]a2 [3 k ], 1 k 2
f
3

f
2

k = 1, a3[1] = a2 [1] + a3[3]a2 [2] =

1
2

k = 2, a3[2] = a2 [2] + a3[3]a2 [1] =

5
8

A3 ( z ) = 1 + a2 [1] z 1 + a2 [2] z 2 + a3[3] z 3 = 1 +

1 1 5 2 1 3
z z z
2
8
2

2. Un proces AR(2) este caracterizat de coeficienii filtrului


3
1
de predicie a2 [1] = , a2 [2] = .
8
2
Dac procesul AR(2) s-a obinut prin filtrarea unui zgomot

alb cu dispersia w2 , s se determine:


a) xx [ m], 0 m 2
b) coeficienii de reflexie K m , 1 m 2
c) eroarea de predicie E2f .
Soluie
a) Ecuaiile Yule Walker sunt
w2 , m = 0
xx [m] + ak xx [m k ] =
k =1
0, 1 m p
p

unde p=2 este ordinul prediciei.


228

m = 0 xx [0] + a2 [1] xx [1] + a2 [2] xx [2] = w2


m = 1 xx [1] + a2 [1] xx [0] + a2 [2] xx [1] = 0
m = 2 xx [2] + a2 [1] xx [1] + a2 [2] xx [0] = 0

Cum funcia de autocorelaie este par, rezult


3
1
xx [0] + xx [1] + xx [2] = w2
8
2
3
1
xx [1] + xx [0] + xx [1] = 0
8
2
3
1
xx [2] + xx [1] + xx [0] = 0
8
2
64
16
26
de unde rezult xx [0] = w2 , xx [1] = w2 , xx [2] = w2
45
45
45
b) Folosind relaia (3.56), cu K 2 = a2 [2] , se poate scrie
A1 ( z ) =

A2 ( z ) K 2 B2 ( z )
, unde
1 K 22

A2 ( z ) = 1 + a2 [1]z 1 + a2 [2]z 2
B2 ( z ) = z 2 + a2 [1]z 1 + a2 [2]

nlocuind datele problemei n relaiile precente i pe acestea n


1
1
relaia pentru A1 ( z ) , rezult A1 ( z ) = 1 + z 1 , K1 = a1[1] = .
4
4
c) E = (1 a [ m]) E
f
m

2
m

f
m 1

= xx [0] (1 ak2 [k ])
k =1

1
1
m = 2, a1[1] = , a2 [2] =
4
2
1 2 1 2
E = xx [0] 1 1 = w2
4 2

f
2

229

3. Fie semnalul x[n] = s[n] + v[ n] , unde s[ n] este un proces

AR(1) caracterizat de ecuaia cu diferene


s[n] = as[n 1] + v[n], ,
unde a = 0,6 , v[n] este zgomot alb cu dispersia v2 = 0,64 , iar
w[n] este zgomot alb cu dispersia w2 = 1 . Procesele v[n] i w[n]
sunt necorelate.
a) S se determine funciile de autocorelaie ss [m] i xx [m] ;
b) S se determine rspunsul la impuls al filtrului Wiener
FIR, de lungime M=2, pentru estimarea semnalului s[ n] din x[n] .
c) S se determine eroarea ptratic medie minim de
estimare, pentru M=2.
Soluie

a)

ss ( z ) = V ( z )V ( z 1 ) H ( z ) H ( z 1 ) = v2

1
=
(1 az )(1 az )
1

z
z
1
a
= v2
= v2

2
1
1 a z a z 1

( z a) z
a

v2 |m|
1
+

=
a
u
m
u
m
a
ss [m] = Z { ss ( z )} =
[
]
[
1]

a
1 a 2
1
a

v2

nlocuind a = 0,6 i v2 = 0,64 , rezult ss [m] = 0,6|m|


innd cont de (3.154), rezult

xx [m] = ss [m] + ww [m] = 0,6|m| + w2 [m] = 0,6|m| + [m]


230

b)

M = 2,

h [k ]
k =0

xx

[l k ] = dx [l ], l = 0,1

Folosind (3.154), relaia precedent devine


1

h [k ][
k =0

ss

[l k ] + ww [l k ]] = ss [l ], l = 0,1

Matriceal, aceasta se scrie


xx [0] xx [1] ho [0] ss [0]
[1] [0] h [1] = [1]
xx
xx
o ss

xx [0] = 2, xx [1] = xx [1] = 0,6 ho [0] = 0, 4505

ss [0] = 1, ss [1] = 0,6


ho [1] = 0,1648
c) Cu relaia (3.150) rezult
EPMM 2 = ss [ 0] ss [ 0] h [ 0] ss [1] h [1] =
= 1 0, 4505 (0,1648)(0,6) = 0, 45

Eroarea poate fi redus prin mrirea ordinului filtrului, M.


4. n condiiile problemei precedente s se determine funcia
de sistem, funcia pondere i eroarea ptratic medie minim a
filtrului optimal IIR cauzal.
Soluie
Conform relaiei (3.183), funcia de sistem a filtrului IIR
optimal cauzal se determin cu relaia
+

( z)
1
xd 1
Ho ( z ) = 2
wG ( z ) G ( z )

Conform figurilor (3.11) i (3.12),


xx ( z ) = w2 G ( z )G ( z 1 ), xd ( z ) = ss ( z ),

231

X ( z) = S ( z) + W ( z)

xx ( z ) = X ( z ) X ( z 1 ) = ( S ( z ) + W ( z ))( S ( z 1 ) + W ( z 1 )) =
S ( z ) S ( z 1 ) + W ( z )W ( z 1 ) = ss ( z ) + w2 ,
deoarece sw [ m] = ws [m] = 0
1 1 1
1 z 1 z
0,64
3 3
xx ( z ) =
+ 1 = 1,8
1
(1 0,6 z )(1 0,6 z )
(1 0,6 z 1 )(1 0,6 z )
1
1 z 1
3
de unde rezult w2 = 1,8 i G ( z ) =
1 0,6 z 1

xd ( z ) = ss ( z )

xd ( z )
0,64
1 0,6 z
=

=
1
1
G ( z ) (1 0,6 z )(1 0,6 z ) 1 1 z
3
0,8
z
0,64
0,8 z
=
=
+ 3
1 z 0,6 1 1 z
(1 0,6 z 1 ) 1 z
3
3
+

H o ( z) =

( z)
0,8 z
xd 1 =
G ( z ) z 0,6
1

1
1 z 1
3
1,8
1 0,6 z 1

4
0,8 z
9
=
1
z 0,6 1 z 1
3

41
ho [n] = u[n]
9 3

232

EPMM =
=

2 j

dd ( z ) H o ( z ) xd ( z 1 ) z 1dz =

Rez dd ( z ) H o ( z ) xd ( z 1 ) z 1 =
toi polii din C

1
0,64
0,64
9

z dz =

2 j C (1 0,6 z 1 )(1 0,6 z ) 1 1 z 1 (1 0,6 z 1 )(1 0,6 z )


3

0,356

1
1
0,356 z
1
0,6
dz =
dz =

C
C
1
1
2 j 1 1
2 j
1 z (1 0,6 z )
z z
3
0,6
3

0,356
0,6
Re z
= 0, 445
1
1

z z
3
0,6 z = 1

5. n condiiile problemei 3, s se determine funcia de


sistem, funcia pondere i eroarea ptratic medie minim a filtrului
optimal IIR necauzal.
Soluie
Conform relaiei (3.190), funcia de sistem a filtrului Wiener
IIR necauzal este
0,64
xd ( z )
ss ( z )
(1 0,6 z 1 )(1 0,6 z )
H nc ( z ) =
=
=
=
xx ( z ) ss ( z ) + 1
1 1 1
1 z 1 z
3 3
1,8
(1 0,6 z 1 )(1 0,6 z )

233

0,64
0,3555
=
1
2(1 0,3 z 0,3 z ) 1 1 1
1 z 1 z
3 3
care, evident este necauzal.
Eroarea ptratic medie minim se determin cu relaia (3.192)
1
dd ( z ) H nc ( z ) xd ( z 1 ) z 1dz =
EPMM nc =

C
2 j
1
ss ( z ) H nc ( z ) ss ( z 1 ) z 1dz =

C
2 j
1
z ) (1 H nc ( z )) z 1dz =

(
ss

2 j C
=

Integrandul expresiei de mai sus este


1

ss ( z ) (1 H nc ( z )) z =
0,64
0,32

1
z =
=
1

1
1
(1 0,6 z )(1 0,6 z ) 1 0,3z 0,3z

0,64
0,68 0,3 z 1 0,3 z 1

z =
(1 0,6 z 1 )(1 0,6 z ) 1 0,3 z 1 0,3z
1

z
( z 0,6 )

0,64
0,64
0,6

z 1 =
1
1
1
(1 0,6 z )(1 0,6 z )

(0,6) z ( z 3)
z ( z 3)
3
3

1
Cum singurul pol din interiorul cercului unitate este z = ,
3
reziduul corespunztor este
0,64
= 0, 4 , adic EPMM nc = 0, 4 .
(0,6) ( z 3) z = 1
3

Se observ, aa cum era de ateptat, c


EPMM nc < EPMM < EPMM 2
234

S-ar putea să vă placă și