Sunteți pe pagina 1din 99

Sisteme numerice de reglare

Cuprins

I. Concepte. Definiii 1
I.1. Definirea noiunii de proces industrial 1
I.2. Definirea noiunii de conducere automat 2

II. Sisteme de reglare automat 5


II.1. Sisteme continue de reglare automat. Restricii 5
II.2. Structuri de sisteme numerice de reglare 5
II.3. Criterii de alegere a structurilor de conducere analogic/numeric 8

III. Prelucrarea semnalelor n reglarea numeric 10


III.1.Tipuri de semnale 10
III.2. Cuantificarea semnalelor 11
III.3. Eantionarea semnalelor 13
III.4. Circuite de conversie a semnalelor 14

IV. Particulariti structurale i funcionale ale sistemelor numerice de reglare 18


IV.1. Cerine ale calculatoarelor de proces 18
IV.2. Structura general a unui sistem numeric de reglare 18
IV.3. Sistemul de interfa al calculatorului de proces 20

V. Analiza i modelarea proceselor secveniale 26


V.1. Modele parametrice ale sistemelor dinamice liniare 26
V.2. Analiza i modelarea sistemelor secveniale 28
V.3. Tehnologii de implementare a automatelor de stare 32
V.3.1. Circuitele logice realizate cu contacte de relee 33
V.3.2. Circuitele logice realizate cu dispozitive semiconductoare 36
V.4. Sinteza structural a automatelor de stare de tip Moore 37
V.4.1. Sinteza structural a automatelor de stare folosind
tehnologia logicii de contact 39
V.4.2. Sinteza structural a automatelor de stare folosind
tehnologia electronic de tip cablat 39
V.5. Sisteme de control industriale de tip PLC 40

VI. Analiza i modelarea semnalelor numerice 47


VI.1. Analiza matematic a procesului de eantionare ideal a semnalelor continue 47
VI.2. Analiza matematic a procesului de eantionare real a semnalelor continue 50
VI.3. Analiza matematic a procesului de reconstituire ideal a semnalelor continue 52
VI.4. Analiza matematic a procesului de reconstituire real a semnalelor continue 53
VI.5. Transformri operaionale discrete 56
VI.5.1. Transformata Laplace discret (eantionat) 57
VI.5.2. Transformata Z direct. Definiie. Proprieti 57
VI.5.3. Transformata Z invers 60

VII. Analiza i modelarea sistemelor numerice 65


VII.1. Structura sistemelor de reglare numeric monovariabil 65
VII.2. Modelarea sistemelor numerice 67
VII.3. Transformarea planului operaional s n planul operaional z 70
VII.4. Corelaia ntre repartiia polilor n planul z i
comportarea sistemului numeric n regim tranzitoriu 72
VII.5.Metode exacte de discretizare a modelelor continue 74
VII.6. Metode aproximative de discretizare a modelelor continue 77

VIII. Algoritmi de reglare numeric derivai din legi de reglare continue 84


VIII.1. Posibiliti de modelare/simulare a sistemelor numerice 84
VIII.2.Algoritmi de reglare numeric obinui prin
discretizarea legilor de reglare continue 87
VIII.2.1.Filtrarea numeric tip ntrziere de ordinul I 87
VIII.2.2. Algoritmul numeric de avans (anticipare) ntrziere 89
VIII.2.3. Algoritmul numeric PID 90
Sisteme numerice de reglare

I. Concepte. Definiii

I.1. Definirea noiunii de proces industrial

Definiie: Prin proces se nelege succesiunea de transformri care caracterizeaz diverse obiecte
sau fenomene n desfurarea lor spaial-temporal.
n general, procesele (fizice, chimice, mecanice etc) implic transferuri i transformri de
energie i de mas cu o destinaie funcional bine precizat. Un interes deosebit pentru
automatizare prezint procesele care au loc n instalaii industriale, denumite i procese industriale.
Dup natura lor, procesele se mpart n:
Procese mecanice, la care se cer controlate poziii, viteze sau acceleraii liniare sau unghiulare.
Procesele mecanice au loc n maini unelte, vehicule, antene radar, telescoape, acionri electrice.
Procese tehnologice, la care se cer controlate temperaturi, debite de fluide, nivele, presiuni,
compoziii chimice sau de mas etc. Procesele tehnologice se ntlnesc ndeosebi n industria
chimic, alimentar precum i n termo i hidroenergetic.
Procese electrice, la care se cer controlate tensiuni, frecvene, cureni, puteri etc. Procesele
electrice se ntlnesc att n centralele electrice, la producerea i distribuirea energiei electrice, ct
i n toate domeniile de utilizare a acesteia.

Descrierea cantitativ a unui proces presupune evidenierea unor mrimi de caracterizare


precum i a dependenelor structural-funcionale dintre acestea, stabilindu-se astfel legturile
cauzale care guverneaz desfurarea sa n timp. Considernd fluxurile (masice sau energetice) de
intrare i ieire ale procesului (mrimile de intrare - ieire) se poate adopta urmtoarea reprezentare
schematic (Fig.I.1.)
Wi We
Proces

Fig.I.1. Reprezentarea generic a unui proces

Dac se neglijeaz pierderile de transfer precum i distribuiile spaiale ale mrimilor de


caracterizare (modele cu parametri concentrai - unde nmagazinarea i disiparea de energie este
concentrat ntr-un numr finit de locaii spaiale discrete) pentru proces se pot pune n eviden
dou regimuri de funcionare:
regimul de echilibru staionar (sau pe scurt regimul staionar), n care sunt ndeplinite condiiile
de bilan pe ansamblu:
Wi = We
regimul de echilibru dinamic (sau pe scurt regimul tranzitoriu), de trecere de la un regim
staionar la altul, n care, datorit fenomenelor de acumulare i disipare intern (masic sau
energetic), relaia precedent nu mai poate fi respectat:
Wi We
n consecin, pentru exprimarea cantitativ a echilibrului dinamic, respectiv a evoluiei
temporale a ansamblului de fenomene care definesc procesul, este necesar evidenierea unui set de
mrimi, denumite mrimi de stare (x), prin care se descriu fenomenele tranzitorii interne.
n acest fel, regimurile staionare pot fi definite i prin constana n timp a tuturor mrimilor de
stare, iar regimurile dinamice prin existena variaiei n timp a cel puin uneia dintre mrimile de
stare.

1
Sisteme numerice de reglare

I.2. Definirea noiunii de conducere automat

Conducerea unui proces industrial impune n primul rnd stabilirea unui obiectiv n
concordan cu destinaia funcional a acestuia. Pentru a putea defini un astfel de obiectiv (al
conducerii) este necesar introducerea setului mrimilor de calitate (z). Ele sunt nemijlocit
dependente de mrimile de stare, unele dintre ele putndu-se identifica cu acestea. Mrimile de
calitate pot fi anumii parametri tehnologici (temperaturi, debite, concentraii) sau mrimi
netehnologice (randamente, producii orare etc).
Cu ajutorul mrimilor de calitate, obiectivul conducerii poate fi exprimat prin necesitatea
atingerii i meninerii unui anumit regim de funcionare, atunci cnd acestea au valori impuse, z*,
considerate optime.

Definiie: Se nelege prin conducerea unui proces industrial ansamblul aciunilor efectuate asupra
procesului cu scopul aducerii i meninerii acestuia ntr-o stare care satisface obiectivul precizat.
Materializarea conducerii implic pe de o parte posibilitatea de intervenie asupra procesului prin
intermediul unui nou set de mrimi de execuie m, iar pe de alt parte, a posibilitilor de cunoatere
a strii curente a procesului.

Wi v
*
z
Dispozitiv de u Elemente m
Proces Traductoare We
automatizare de execuie de msur
x
Parte fixat y

Fig.I.2. Reprezentarea sistemului n circuit nchis dispozitiv de automatizare-parte fixat

Intervenia asupra procesului se face prin intermediul unor dispozitive numite elemente de
execuie, cu ajutorul setului de mrimi de comand u, iar mrimile de ieire, y, sunt msurate prin
intermediul unor traductoare de msur sau estimate prin calcul pe baza unui model al procesului.
Conducerea poate fi manual, cnd operatorul intervine direct asupra elementelor de execuie,
sau automat, cnd se realizeaz numai prin intermediul dispozitivelor de automatizare, prevzute
n acest scop.

Observaie: Elementele de execuie i traductoarele de msur sunt componente alese n funcie de


tipul procesului. De aceea ansamblul format din procesul propriu-zis (instalaie automatizat),
elementele de execuie i traductoarele reprezint un sistem cu funcionalitate fix i cunoscut.
Atunci cnd acest sistem constituie obiectul unei conduceri automate proiectantul sistemului
automat de conducere dispune de un numr redus de grade de libertate pentru a adopta variante
diferite pentru elementele din structura acestei pri.
Definiie: Ansamblul format din instalaia automatizat, elementele de execuie i traductoare
poart denumirea de parte fixat.

Un aspect important al aciunii de conducere este caracterul su permanent. ntr-adevr, odat


atins obiectivul conducerii (z=z*) meninerea constant a mrimilor de execuie m nu asigur n mod
obligatoriu i meninerea mrimilor de calitate la valorile optime z*.
Aceast stare de lucruri este determinat de existena mrimilor perturbatoare v. Datorit
prezenei acestor mrimi conducerea unui proces tehnologic nu poate nceta dect odat cu oprirea
acestuia din funciune.
Noiunea de conducere are o sfer mult mai larg dect aceea a noiunilor de comand, control,
reglare, care sunt cazuri particulare de conducere.

2
Sisteme numerice de reglare

Definiie: Prin comand se nelege un ansamblu de operaii care au ca efect stabilirea unei
dependene dup o lege prestabilit pentru valoarea unei mrimi de intrare dintr-un proces tehnic n
raport cu mrimi independente de proces. Caracteristic pentru acest caz de conducere este sensul
unidirecional de circulaie (n circuit deschis) a mrimilor aferente elementelor care efectueaz
comanda. Sensul este de la mrimea independent spre proces. Comanda este automat cnd se
efectueaz fr intervenia operatorului (de exemplu, pornirea sau oprirea unei instalaii).
n cazul n care comanda se efectueaz prin mai multe etape care se succed dup un program
prestabilit, aceasta se numete comand secvenial (pornirea unui motor electric realizat printr-o
scurtcircuitare succesiv i decalat n timp a diferitelor trepte ale reostatului de pornire).
Prin comand se pot efectua numai anumite operaii de conducere automat, dintre cele mai
simple, prezentnd anumite inconveniente datorit circuitului informaional deschis.

Definiie: Prin control (n sens strict) se nelege urmrirea (supravegherea) desfurrii unui proces
industrial prin msurarea direct sau determinarea indirect a variabilelor care caracterizeaz starea
sau desfurarea acestuia. O astfel de operaie se realizeaz cu ajutorul aparatelor de msur
(traductoare), iar informaia primit pe aceast cale este folosit la luarea deciziilor de conducere a
procesului n cauz. Deci sistemele de control dau n final valoarea mrimii controlate (msurate),
emiterea semnalelor de comand corespunztoare fiind lsat pe seama altor sisteme. Din acest
punct de vedere, sistemele de control automat sunt tot sisteme deschise.
Este evident c structura deschis, format din sistemul de comand i sistemul de control, este
influenat att de mrimile de comand ct i de mrimile perturbatoare, care modific suplimentar
i necontrolat mrimile de calitate. Astfel de sisteme prezint deci neajunsul unei precizii sczute n
contextul aciunii unor mrimi perturbatoare.

O desensibilizare a sistemului la aciunea perturbaiilor exogene asupra procesului condus se


obine prin realizarea unor structuri nchise. n acest caz comanda se elaboreaz att n raport cu
mrimi independente de proces (referine) ct i n raport cu mrimi care depind de proces (mrimi
msurate).
Legtura invers are urmtoarele efecte benefice:
filtrarea perturbaiilor;
creterea preciziei;
reducerea efectului neliniaritilor nesemnificative;
creterea benzii de frecven n care sistemul automat se comport satisfctor.
n cadrul unei structuri nchise comenzile u sunt elaborate de ctre dispozitivul de automatizare
care, n concepia clasic, este regulatorul (compensatorul/controlerul) automat iar n cea modern
este sistemul numeric.
n esen mrimile msurate sunt meninute n permanen n concordan cu mrimile de
referin prin acionarea de aa manier asupra procesului nct diferena dintre ele (abaterea) s fie
n permanen nlturat.

n funcie de natura variaiei mrimilor de referin, sistemele de reglare automate pot fi:
sisteme de rejecie a perturbaiilor (sisteme statice);
sisteme de urmrire (sisteme dinamice).
n cazul sistemelor de rejecie a perturbaiilor, instalaia tehnologic trebuie s funcioneze
ntr-un regim staionar, fixat printr-o referin constant. Funcia de reglare urmrete n final
realizarea mrimii de ieire la o valoare constant, egal cu referina, indiferent de aciunea
contextului perturbator.
Dac referinele variaz dup un program (sisteme de urmrire), funcia de reglare are ca efect
final urmrirea ct mai fidel de ctre mrimea msurat a mrimii de referin. Diferena de fond
dintre cele dou tipuri de sisteme const n caracterul optimal pe care l are dispozitivul de

3
Sisteme numerice de reglare

automatizare n raport cu problema avut n vedere (rejecie sau urmrire), asigurndu-se astfel un
compromis ntre cele dou tipuri de cerine (optimizarea unui criteriu local de performan).
innd seama de diversitatea proceselor, de gradul de complexitate i cunoatere al acestora
precum i de cerinele de performan impuse sistemelor de conducere au fost dezvoltate i
implementate structuri de sisteme de conducere cu grade diferite de complexitate compatibile att
cu probleme relativ simple privind reglarea automat a proceselor ct i probleme complexe ale
conducerii proceselor dup criterii globale de performan.

Exemplu: Procesul de elaborare a fontei de prim fuziune este un proces complex care depinde
de o serie de factori, cum ar fi: compoziia chimic i umiditatea minereului de fier, a cocsului, a
materialelor fondante, calitatea fontei dorite, temperatura aerului suflat n furnal, debitul lui,
temperatura din furnal n diferitele sale sectoare, compoziia chimic a gazelor rezultate etc.
n acest caz, numai simpla reglare a unor variabile nu mai este suficient, ci trebuie s se
recurg la optimizarea unor raporturi ntre diversele variabile ale procesului pentru a obine un
profit maxim. n ultim instan, toate aciunile de conducere din cadrul unui sistem trebuie astfel
organizate nct s conduc la optimizarea activitii care se desfoar n sistem, adic s asigure
un randament maxim al procesului condus.
Dispozitivul de automatizare care realizeaz asemenea prelucrri i asigur o conducere
automat a procesului respectiv, prin alegerea regimului su optim, este realizat cu ajutorul
sistemelor numerice (echipamentelor numerice de proces).

4
Sisteme numerice de reglare

II. Sisteme de reglare automat


II.1. Sisteme continue de reglare automat. Restricii
Automatizarea convenional este nc larg rspndit n conducerea proceselor industriale.
referin Regulator/ mrime msurat
u = f ( ) Parte
y* compensator/ y
- controler
fixat

Fig.II.1. Sistem continuu de reglare automat


Ea presupune utilizarea unui echipament care s elaboreze comenzi ctre proces n urma
prelucrrii erorii dintre mrimea de referin y* i mrimea controlat a procesului, y. Regulatorul
este elementul inteligent al unui sistem de reglare (conducere) automat, elabornd comanda u n
funcie de eroarea n sensul anulrii ei. Odat determinat legea de reglare u=f(), n faza de
proiectare, este realizat fizic structura regulatorului care o va implementa ct mai fidel, dup care
se realizeaz operaia de acordare a parametrilor regulatorului cu instalaia automatizat. Aceast
ultim operaie este posibil ntruct regulatorul este singurul element al sistemului automat care
prezint parametrii de acordare care pot fi modificai.

n cazul general, referina y*, reacia y, eroarea , comanda u sunt mrimi multidimensionale, ca
urmare a faptului c practic toate procesele industriale sunt caracterizate de modele cu mai multe
intrri i mai multe ieiri (multi-intrare multi-ieire). Dat fiind multivariabilitatea sistemului
condus, elaborarea comenzilor presupune calcule cu mult mai complicate dect cele care pot fi
efectuate de circuite analogice combinate ntr-o configuraie viabil din punct de vedere economic.
De aceea, dificultile de ordin practic au impus limitarea utilizrii sistemelor de reglare
analogic la reglarea proceselor cu o singur intrare i o singur ieire. Acest lucru s-a datorat
faptului c, pn cu puin timp n urm, regulatoarele electronice erau realizate n tehnic analogic,
ceea ce presupune utilizarea unui amplificator operaional (AO) cu reacii adecvate realizrii unei
comportri de tip proporional (P), integral (I), proporional-derivativ (PD), proporional-integral-
derivativ (PID).
n condiiile n care reglarea multivariabil nu este de conceput prin utilizarea regulatoarelor
convenionale, fie ele electronice, pneumatice sau hidraulice, rmne de examinat calitatea
reglrii monovariabile cu aceste mijloace.
Buclele de reglare, incluznd regulatoarele clasice, necesit reajustri manuale cnd parametrii
procesului au suferit modificri sau cnd caracterul perturbaiilor s-a modificat, iar stabilirea
manual a referinei se face ntr-un mod dependent de priceperea i experiena operatorului
procesului industrial.

II.2. Structuri de sisteme numerice de reglare

Prin structurarea funciilor pe diferite straturi (Fig.II.2), sistemele moderne de conducere


ierarhizat asigur o conducere eficient a proceselor.
Prima funcie n ierarhie realizeaz interaciunea cu procesul, asigurnd interfaa cu acesta,
fiindu-i asociate sarcinile de achiziie de date, de monitorizare/protecie a evenimentelor i de
elaborare direct a comenzii.
Celui de-al doilea strat i revine sarcina de a stabili obiectivele primului strat, de a asigura
regimul optim de funcionare a procesului prin stabilirea referinelor pentru buclele de reglare
numeric direct. Acest strat stabilete starea urmtoare care trebuie implementat prin reglarea
numeric direct, printr-o secven predeterminat de aciuni.
n cadrul celui de-al treilea strat se realizeaz sarcina de adaptare a strategiei de conducere la

5
Sisteme numerice de reglare

o clas larg de modele ale procesului, n condiiile n care apar modificri structurale i/sau
parametrice ale modelelor proceselor. Funcia de adaptare asociat celui de-al treilea strat apeleaz
la experiena acumulat n conducerea procesului pe un interval de timp i intervine asupra
celorlalte straturi n scopul asigurrii invarianei performanelor n contextul aciunii mrimilor
perturbatoare externe i/sau ale variaiilor parametrice.
Funcia de autoorganizare, asociat ultimului strat, asigur alegerea algoritmilor i
structurilor de conducere n corelaie cu regimurile de funcionare asociate procesului, cu criteriile
globale de performan i cu cerinele de coordonare a funcionrii ntregului ansamblu.
Baz de date
Funcia de
autoorganizare
Specificaii

Funcia de
Programe de
adaptare
identificare &
proiectare
Referine, Funcia de optimizare
restricii, criterii prin supervizare
de performan
Date din
proces Funcia de
reglare

Wi We
PROCES

Fig.II.2. Structurarea funciilor de conducere


Primul sistem numeric folosit n conducerea procesului a fost implementat n septembrie 1957.
Minicalculatorul utilizat efectua calcule de optimizare i modifica mrimile de referin ale unor
bucle de reglare clasice, realizate cu echipamente analogice, rezultnd astfel o conducere n regim
de supraveghere (SCC - Supervisory Computer Control) (Fig.II.3.) sau conducere prin fixarea
referinelor. Folosirea minicalculatoarelor n regim de supervizare a referinelor regulatoarelor
analogice a reprezentat prima etap de modernizare a echipamentelor de automatizare.
Regulatoarele automate primesc referine optimale, calculate de ctre echipamentul configurat
pentru nivelul superior, iar meninerea parametrilor procesului n punctul calculat este asigurat de
sistemele de reglare analogic.
Definiie: Sistemul de interfa (interfaa) este ansamblul format din echipamente i programe
destinat cuplrii a dou sisteme cu caracteristici diferite. Sistemul de interfa de proces este
destinat cuplrii procesului condus cu sistemul de conducere propriu-zis.
DMUX
MUX

Minicalculator Automatizare
numeric

y1* y2* yn* Automatizare


R1 R2 ... Rn convenional
(analogic)

y1 u1 y2 u2 yn un
Wi We
sP1 sP2 ... sPn

Fig.II.3. Structur de conducere n modul SCC ( Supervisory Computer Control)

6
Sisteme numerice de reglare

Conducerea prin fixarea referinelor presupune existena interfeei proces-calculator prin


intermediul creia sunt preluate valorile parametrilor reglai (y1, y2,... yn) i a interfeei
calculator-sistem de reglare analogic, cu ajutorul creia se genereaz referinele analogice. Aceast
structur este recomandat n cazurile n care procesul este dotat iniial cu automatizare
convenional iar calculatorul se conecteaz ulterior, pe baza unor argumente de ordin economic.
n 1965 au fost utilizate pentru prima dat minicalculatoare care s nlocuiasc echipamentele
de reglare automat analogic, intervenind astfel n interiorul buclelor de reglare pentru a realiza o
conducere numeric direct (DDC - Direct Digital Control) (Fig.II.4.).

DMUX
MUX Minicalculator
Automatizare
integral numeric

y1 u1 y2 u2 yn un
Wi We
sP1 sP2 ... sPn

Fig.II.4. Structur de conducere n modul DDC (Direct Digital Control)


Aceast structur de conducere direct asigur comanda elementelor de execuie din proces de
ctre calculator i presupune, pe lng interfaa proces-calculator i prezena interfeei
calculator-proces. Regulatoarele automate clasice sunt substituite de algoritmi numerici de reglare.
Folosirea unui minicalculator pentru reglarea numeric prin multiplexarea unui numr de bucle
(suficient de mare pentru justificarea tehnico-economic a soluiei) reprezint o structur
centralizat, spre deosebire de structura cu echipamente analogice, care reprezint o structur
distribuit, unde fiecare bucl de reglare este prevzut cu echipament propriu.
Dezavantajele reglrii numerice n form centralizat
Cderea minicalculatorului ar antrena oprirea regimului de funcionare automat pentru un
mare numr de bucle i instalaii tehnologice, prevenirea acestei opriri necesitnd pstrarea ca
rezerv a echipamentelor analogice (soluie costisitoare).
Traseele de circulaie a semnalelor ntre calculatorul central i traductoarele de cmp din
zonele extinse ale instalaiilor tehnologice pot deveni foarte lungi.
Concentrarea unui numr mare de funciuni (aferent unui numr important de bucle) n
sarcina calculatorului central ridic probleme legate de compatibilitatea funcionrii n timp real n
cazul proceselor a cror desfurare nu este suficient de lent.
Teoria sistemelor ierarhizate conjugat cu practica automatizrilor industriale a dus la
modificarea structurii centralizate de conducere, ntr-o structur ierarhizat multinivel, care const
n divizarea sarcinilor de conducere ntre mai multe dispozitive fizice, organizate pe mai multe
niveluri executive i decizionale (Fig.II.5). Asemenea arhitecturi de sisteme distribuite i ierarhizate
sunt caracterizare printr-o nalt flexibilitate i fiabilitate, prin performane superioare, determinate
de puterea de calcul, viteza de prelucrare i de clasele de algoritmi evoluai care pot fi implementai.
n felul acesta s-a ajuns ca n anul 1970 s se realizeze o structur ierarhizat, n care se disting
dou mari niveluri:
- nivelul inferior (subordonat);
- nivelul superior (coordonator).
n cadrul acestei structuri procesul de complexitate medie-mare este descompus n subprocese,
conduse fiecare de cte un calculator specializat (regulator numeric). Aceste regulatoare numerice,
situate la nivelul subordonat, asigur conducerea numeric direct (conducerea operativ) a cte
unui subproces cu meninerea regimurilor optime de funcionare att timp ct restriciile locale nu
sunt violate.

7
Sisteme numerice de reglare

Sistem de conducere
Calculator coordonator
coordonator

reacie informaional mrime de coordonare

Calculator Calculator Calculator Sisteme de conducere


specializat specializat ... specializat subordonate

y1 u1 y2 u2 yn un
Wi We
sP1 sP2 ... sPn

Fig.II.5. Structur ierarhizat de conducere multinivel


Cel de-al doilea nivel poate modifica criteriile de performan, referinele i/sau restriciile
locale pentru primul nivel, ca rspuns la modificrile impuse de funcionarea global optim a
procesului. n acest fel, calculatorul de la nivelul ierarhic superior preia sarcina de coordonare n
vederea asigurrii funcionrii optime a ntregului proces.
Nu exist o comunicaie direct ntre sistemele de conducere subordonate. Aceasta are loc prin
intermediul sistemului de conducere coordonator (comutator de mesaje). n felul acesta se evit
conflictele care pot aprea n etapa de luare a deciziilor.
Sistemele distribuite de conducere contemporane se caracterizeaz pe de o parte prin
distribuirea sarcinilor pe mai multe echipamente numerice de prelucrare iar pe de alt parte prin
ierarhizarea structural (multistrat) a acestora.
n cadrul acestor structuri (Fig.II.6), nivelul de baz este asigurat prin intermediul
regulatoarelor numerice care, pe lng funciile de reglare, n plus, pot prelua i funcii complexe de
supraveghere (monitorizare) i comand secvenial. Descompunerea ntregului sistem n
subsisteme poate fi bazat pe considerente geografice sau pe tipuri de echipamente, constituite n
uniti (noduri) de conducere.
Comunicarea ntre regulatoare i cu nivelul ierarhic superior se poate asigura n cadrul unei
topologii de tip inel, stea sau magistral global comun de comunicaie.
Calculator
coordonator
Controler
trafic
Calculator Gestiune protocol comunicaie
Calculator Calculator
specializat specializat ... specializat

y1 u1 y2 u2 yn un
Wi We
sP1 sP2 ... sPn

Fig.II.6. Structur de conducere distribuit

II.3. Criterii de alegere a structurilor de conducere analogic/numeric

Avantajele conducerii analogice:


tehnica este cunoscut de mult timp;
n timpul dezvoltrii i testrii nu trebuie intervenit dect asupra structurii electronice;
datorit buclelor monovariabile, funcionarea global a sistemului este transparent i uor de
neles;
teoria sistemelor continue este familiar inginerului.

8
Sisteme numerice de reglare

Dezavantaje ale conducerii analogice:


schimbarea ctorva funcii impune reproiectarea cablajelor instalaiei (structur rigid);
metodele de reglare moderne (reglarea dup stare, reglarea adaptiv, reglarea optimal) sunt
foarte dificil de realizat.

Avantajele conducerii numerice:


sistemul de control este mai mic, mai uor, necesit putere mai mic i costuri mai mici;
schimbarea unor funcii nu implic, n general, dect schimbarea unor subprograme
(flexibilitate sporit);
prelucrarea datelor este uor de realizat;
implementeaz metode moderne de reglare (conducere).
mbuntete performanele de comand prin luarea n considerare a anumitor neliniariti
funcionale.
posibilitatea de nregistrare a datelor de funcionare, cum ar fi: ore de funcionare, numr de
produse, consum de energie.
implementeaz funcii integrale, ca de exemplu: calcularea unor indici de performan,
obinerea unor randamente maxime, sau anticiparea anumitor scderi n ceea ce privete
performanele sistemului.
ofer posibilitatea de diagnosticare a funcionrii echipamentului prin controlul prefuncional n
cazul unor mrimi importante, controlul permanent pe parcursul funcionrii, sesizarea
anumitor condiii de avarie.
ofer posibilitatea eliminrii unor senzori i/sau traductoare.

Dezavantaje:
tehnic relativ nou (P, C);
n timpul dezvoltrii i testrii trebuie intervenit att asupra prii hardware ct i software;
din cauza concentrrii operaiilor n calculatorul de proces i de executarea lor de ctre
subprograme, funcionarea global a sistemului este mai puin transparent i greu de neles;
teoria sistemelor discrete (sisteme numerice, sisteme cu eantionare) nu este familiar
inginerului.
timp de rspuns limitat inferior.

Alte criterii tehnice


n cazul reglrii analogice, parametrii regulatoarelor sunt ajustai prin poteniometre. Valorile
exacte sunt mai puin cunoscute i pot fi schimbate cu ajutorul unor butoane de reglare. Aceasta
poate fi un avantaj n timpul punerii n funciune, dar un dezavantaj n timpul funcionrii normale,
deoarece o schimbare involuntar este foarte posibil. Din contra, n cazul regulatoarelor digitale
parametrii sunt ajustai numeric. Valorile sunt cunoscute exact. Ajustarea lor se face prin
intermediul unui terminal.
Constantele de timp de integrare mari sunt mai greu de realizat analogic dect digital. Acest
fapt nu poate fi neglijat n cazul instalaiilor de nclzire sau a proceselor chimice, unde
regulatoarele trebuie s intervin lent.
Schimbarea regimurilor manual-automat fr oscilaii se realizeaz mai uor digital.
n cazul regulatoarelor analogice, punctul zero (ofsetul) se poate decala din cauza derivei A.O
cu temperatura, tensiunea de alimentare, fenomenului de mbtrnire a circuitului. Precizia de
reglare este astfel limitat.
Sistemele de reglare numeric prezint imunitatea mrit la zgomot, prin nsi principiul de
funcionare al circuitelor numerice (structura discret a semnalelor numerice cu diferen mare ntre
valorile de tensiune corespunztoare celor dou niveluri logice ale variabilelor binare).

9
Sisteme numerice de reglare

III. Prelucrarea semnalelor n reglarea numeric

Definiie: O mrime fizic ce transmite informaie se numete semnal.


Lumea nconjurtoare abund n exemple de semnale. Se vorbete de semnale acustice,
semnale optice, tensiuni i cureni electrici, impulsuri, zgomote, unde seismice, neurosemnale etc.
Cu toate c exist multe surse de semnale neelectrice (biologice, acustice, mecanice etc) fie
naturale, fie artificiale, n general, cu ajutorul senzorilor i traductoarelor, acestea sunt posibil de
modelat prin semnale electrice (tensiune sau curent). n acest fel, limitarea discuiei doar la acestea
din urm nu produce o pierdere de generalitate.

III.1.Tipuri de semnale
Sub aspect formal, prin semnal se nelege o funcie de timp, purttoare de informaii.
x(t):DC
Att valoarea argumentului funciei ct i funcia pot fi continue sau discrete.
Definiie: Un semnal continuu n timp, sau pe scurt, semnal continuu este un semnal definit pe
un domeniu continuu n timp. Un astfel de semnal poate lua fie valori continue, fie un numr de
valori distincte. Un semnal continuu care ia valori continue se numete semnal analogic
(Fig.III.1.a). Un semnal continuu care poate lua numai un numr finit de valori distincte se numete
semnal cuantificat (Fig.III.1.b).
x(t) xq(t)

a. t t
b.
Fig.III.1.Tipuri de semnale continue a) analogic b) cuantificat
Din punct de vedere matematic, semnalele continue (analogice sau cuantificate) sunt funcii de
variabil real cu valori fie ntr-o submulime din R (semnal analogic), fie ntr-o mulime cu un
numr finit de elemente (semnal cuantificat).
- semnal continuu analogic: x:RR tR ; x(t) R
- semnal continuu cuantificat: x:RZ tR ; xq(t) Z
Definiie: Un semnal discret n timp, sau pe scurt, un semnal discret este un semnal definit pe un
domeniu discret de timp. Un astfel de semnal poate lua fie valori continue, fie un numr finit de
valori distincte. Un semnal discret care ia valori continue se numete semnal eantionat (Fig.III.2.a).
Un semnal discret care ia valori cuantificate se numete semnal numeric (Fig.III.2.b).
x(kTe)
xq(kTe)

kTe b. kTe
a.
Fig.III.2.Tipuri de semnale discrete a) eantionat b) numeric
Din punct de vedere matematic, semnalele discrete (eantionate sau numerice) sunt funcii de
variabil ntreag cu valori fie ntr-o submulime din R (semnal eantionat), fie ntr-o mulime cu
numr finit de elemente (semnal numeric).

10
Sisteme numerice de reglare

- semnal discret eantionat: x:ZR kZ ; x(kTe) R


- semnal discret numeric: x:ZZ kZ ; xq(kTe) Z

Codomeniul Codomeniul
R Z
Domeniul Semnale Semnale
R analogice cuantificate
Domeniul Semnale Semnale
Z eantionate numerice

Definiie: Procedeul prin care dintr-un semnal analogic se obine un semnal cuantificat se
numete cuantificare, iar valorile n numr finit, rezultate n urma cuantificrii, se numesc valori
cuantificate.
Definiie: Procedeul prin care dintr-un semnal analogic se obine un semnal eantionat se
numete eantionare.
n practica inginereasc semnalele numerice se obin uzual din semnale analogice prin
eantionare i cuantificare, ordinea de aplicare a celor dou operaii rmnnd la latitudinea
proiectantului de sistem.
cuantificare
x(t) Semnale analogice Semnale cuantificate xq(t)

eantionare eantionare

x(kTe) Semnale eantionate cuantificare


Semnale numerice xq(kTe)
Ca limbaj, trebuie remarcat faptul c dei semnalele numerice constituie o submulime a
semnalelor discrete, n unele texte, prin semnale discrete se refer semnalele numerice. Aceast
situaie se explic prin aceea c n realizrile practice eantionarea este n general nsoit i de
cuantificare, deci semnalele discrete rezultate sunt semnale numerice.

III.2. Cuantificarea semnalelor


Prin cuantificarea unui semnal, mulimea valorilor funciei care definete semnalul respectiv
este transformat dintr-o mulime continu (uzual, un interval) ntr-o mulime un cu numr finit de
elemente.
Pornind de la posibilitile concrete de reprezentare digital, conversia numeric a unei valori
continue nu poate fi dect o aproximare, deoarece semnalul analogic poate s aib o infinitate de
valori, n timp ce numerele care vor reprezenta aceste valori fac parte dintr-o mulime finit, ce are
un numr de elemente dependent de precizia impus.
n aplicaii, se utilizeaz game standardizate pentru valorile semnalelor care urmeaz a fi
cuantificate (de exemplu, tensiuni bipolare [-5V,5V], [-10V,10V], tensiuni unipolare [0V,5V],
[0V,10V] etc). Dac se noteaz prin [v,V] gama continu de valori acceptate ca intrare ntr-un
cuantificator cu n poziii binare, cuantificarea reprezint, din punct de vedere matematic, surjecia
de la mulimea [v,V] la mulimea {0,1, ... ,2n-1}.
f : [v, V ] {0,1,L 2 n 1}
n practic se urmrete realizarea unei cuantificri uniforme, care n cazul unei game de valori
[v,V], utiliznd n poziii binare, se caracterizeaz prin nivelul de cuantificare (pas de cuantificare n
amplitudine):
q=
2n
[
V v Volti
bit
]
Pentru o gam unipolar [0,V] (adic v=0) funcia caracteristic ce realizeaz cuantificarea
uniform se definete prin:

11
Sisteme numerice de reglare

0 x [0, (1 / 2)q ),
....................................


f : [0, V] {0,1, L 2 n 1}; f ( x ) = i x [iq (1 / 2)q, iq + (1 / 2)q ), i = 1..2 n 2
.....................................

2 n 1 x [V (3 / 2)q, V]

n acest fel domeniul de variaie finit al semnalului x se mparte n clase (intervale)


echidistante de forma:
q q
iq x < iq +
2 2
Prin cuantificare, valoarea x a semnalului se nlocuiete cu centrul clasei de apartenen cea
mai apropiat:
xi=iq
Folosind aceast transformare se observ c orice valoare x[0,V] se va aproxima prin
rotunjire cu valoarea xi. Eroarea de rotunjire va satisface, n modul, inegalitatea:
|eq|=|xi-x|(1/2)q,
pentru orice x[0,V-(1/2)q], adic pentru toat gama [0,V] cu excepia intervalului [V-(1/2)q,V].

Exemplu: Cuantificator cu 2 poziii binare n gama [0,10V]:


10V 0V
Nivelul de cuantificare este : q= = 2.5V / bit ,
22
iar caracteristica de cuatificare (funcia caracteristic) este (Fig.III.3):
00 x [0,1.25)
01 x [1.25,3.75)

f (x ) =
10 x [3.75,6.25)
11 x [6.25,10]

xq [UI] xq [UCAN]

7.5V 11

5.0V 10

2.5V 01

0.0V 00
x
2.5V 5.0V 7.5V 10.0V
eq
1
2
q
x
q
1
2

Fig.III.3. Caracteristica de cuantificare pentru un semnal


n gama [0V,10V] folosind n=2 poziii binare

Valorile ntregi rezultate din cuantificare (00,01,10,11), exprimate ulterior n virgul flotant
(prin conversie uniti CAN uniti inginereti, xi=iq), sunt: x1=0V, x2=2.5V, x3=5V, x4=7.5V.
Eroarea care se va introduce prin rotunjire pentru x[0V,8.75V] este de modul maxim
(1/2)q=1.25V.

12
Sisteme numerice de reglare

Se constat c valoarea maxim a erorii introduse prin cuantificare, urmat de transformarea n


virgul mobil, este dat de numrul de poziii binare n utilizat:
1 V V
| e q | =
2 2 n 2 n +1
ns eroarea absolut nu caracterizeaz complet calitatea unei aproximri. Pentru o valoare
oarecare x[0,V-(1/2)q] eroarea relativ e satisface relaia:
| x i x | | eq | q V
| e q |= = = n +1
|x| |x | 2| x| 2 | x|
Prin aceasta se constat c n aplicaii trebuie urmrit ca amplitudinea semnalului care trebuie
cuantificat, |x|, s fie n general sensibil mai mare dect nivelul de cuantificare q.
Impunnd o anumit precizie sistemului de reglare, |eq|adm, se poate determina tipul
convertorului folosit (numrul de poziii binare n).
V V
n +1
| e q |adm 2 n +1
2 |x| e q x
adm
Convertoarele analog-numerice (CAN) standardizate, care realizeaz i cuantificarea
semnalelor analogice, utilizeaz uzual cuvinte cu n=8, 10 sau 12 bii. Convertoarele cu n16 bii se
folosesc numai n aplicaii care necesit o precizie deosebit.
Pentru gama unipolar [0,10V] eroarea relativ de cuantificare la capt de scal este :
10 1
e q = = 0.002 = 0.2% (pentru n=8); eq=0.05% (pentru n=10); eq=0.01% (pentru n=12).
28 +110 512
Prin cuantificare (rotunjire) se pierde informaie, erorile de cuantificare acionnd ca un zgomot
perturbator (zgomot de cuantificare).
De regul, traductoarele industriale uzuale fac parte din diferite clase de precizie (1%, 1.5%,
2.5%, 5%).
Concluzie: innd seama de sensibilitatea traductoarelor i de ponderile zgomotelor externe
care afecteaz procesul, n etapa de analiz i sintez a sistemelor de conducere industriale
zgomotele de cuantificare pot fi neglijate.

III.3. Eantionarea semnalelor


Eantionarea const n extragerea din semnalul continuu a valorii corespunztoare unor
momente discrete de timp. Intervalul dintre dou eantioane poart numele de perioad de
eantionare.

Exist mai multe moduri de eantionare a semnalelor:


a). Eantionarea prin modulare n amplitudine a unui tren de impulsuri de ctre semnalul de
eantionat (Fig.III.4). Acest tren de impulsuri poate avea o perioad constant (eantionare clasic)
sau variabil.
x(kTe)

kTe
Fig.III.4.Eantionarea prin modularea impulsurilor n amplitudine
b). Eantionare prin modulare n lime (durat) a impulsurilor (Pulse Width Modulation).
Limea eantionului este proporional cu valoarea absolut a semnalului, amplitudinea fiind
constant (Fig.III.5).

13
Sisteme numerice de reglare

x(kTe)

kTe
Fig.III.5.Eantionare prin modularea impulsurilor n durat
c). Eantionare prin modulare n frecven a impulsurilor. Frecvena eantioanelor este, n acest
caz, proporional cu amplitudinea semnalului (Fig.III.6).
x(kTe)

kTe
Fig.III.6. Eantionare prin modularea impulsurilor n frecven
d). Eantionarea prin modulare n faz a impulsurilor. Impulsurile sunt defazate n raport cu un
semnal de referin, valoarea acestui defazaj fiind direct proporional cu amplitudinea semnalului
(Fig.III.7).
x(kTe)

kTe
Fig.III.7.Eantionare prin modularea impulsurilor n faz
Un element de eantionare prin modularea n amplitudine a impulsurilor este n esen un
element liniar deoarece, spre deosebire de celelalte tipuri de eantionare, care sunt elemente
neliniare, semnalele de intrare i de ieire ale elementului de eantionare se supun principiului
suprapunerii efectelor.

III.4. Circuite de conversie a semnalelor


Att eantionarea ct i cuantificarea sunt supuse unor legi specifice care impun i
implementarea fizic a dispozitivelor de conversie a semnalelor.
esantionare cuantificare
x(t) x (kTe ) x q (kTe )
Pentru ca un sistem numeric de prelucrare s poat utiliza informaia coninut n semnalele
analogice acestea trebuie supuse unor prelucrri primare (eantionare, cuantificare). Fig.III.8
detaliaz operaiile care se desfoar ntr-un lan de achiziie a datelor.
Circuit de Convertor
Filtru antialias
eantionare/memorare analog-numeric
xf(t) xq(kTe)
x(t) xkTe(t)
Traductor CAN R

Proces
-Vref Sfrit conversie
Start conversie
Comand E/M
Fig.III.8. Prelucrarea primar a semnalelor analogice

14
Sisteme numerice de reglare

Traductorul (ncorporat n proces) convertete informaiile despre evoluia unei variabile fizice
de natur oarecare ntr-un semnal electric analogic continuu.
Conversia analog-numeric se poate realiza corect atunci cnd semnalul analogic convertit are
banda de frecven limitat. Pe de alt parte, n aplicaiile practice, semnalul analogic util poate fi
contaminat de zgomote care au o band de frecven mult mai larg. De aceea se impune utilizarea
unui filtru care s limiteze n band semnalul supus conversiei. Banda de trecere a filtrului trebuie
astfel aleas nct s permit trecerea nealterat a semnalului util i atenuarea semnalelor parazite.
n mod normal sunt utilizate filtre pasive de tip trece-jos (FTJ). n situaiile n care semnalul este
puternic perturbat se pot utiliza filtre active.
Circuitul de eantionare i memorare reprezint o memorie analogic care pstreaz valoarea
semnalului de intrare la momentul eantionrii. Acest semnal trebuie s fie stabil pe durata
conversiei analog-numerice. Principial, circuitul de eantionare i memorare este constituit dintr-un
comutator analogic asociat cu un condensator (Fig.III.9).
xf(t), xkTe(t) xkTe(t)
xf(t) xkTe(t) xf(t)

Comand
Eantionare/Memorare t
Memorare (start conversie)
Eantionare (urmrire)
t=kTe t
Te
Fig.III.9. Regimuri de funcionare ale circuitului de eantionare/memorare
Atunci cnd comutatorul este nchis, tensiunea la bornele condensatorului urmrete tensiunea
de intrare, iar cnd comutatorul este deschis, condensatorul C pstreaz (memoreaz) tensiunea
pentru a putea fi utilizat de convertor.
Funcionarea ideal a unui astfel de circuit presupune un timp de ncrcare a condensatorului
neglijabil precum i pierderi neglijabile. Aceste cerine contradictorii determin pe de o parte viteza
de lucru a circuitului iar pe de alt parte precizia sa. n funcie de banda de frecven a semnalului
prelucrat trebuie aleas o soluie de compromis. Pentru semnale de band larg de frecven, unde
frecvena de eantionare este mare, parametrul esenial este viteza de lucru, n timp ce pentru
semnale cu variaii lente se pot alege circuite de eantionare i memorare cu performane
satisfctoare de vitez, dar de precizie mare.
Neglijndu-se timpul de urmrire, n Fig.III.10 se prezint semnalele de intrare i ieire ale unui
astfel de circuit de-a lungul mai multor perioade de eantionare. Se constat c circuitul de
eantionare i memorare transform semnalul analogic de la intrare (de form oarecare) ntr-un
semnal analogic constant pe poriuni (semnal sau funcie scar).
xf(t), xkTe(t) xkTe(t)
xf(t)

t
Memorare (start conversie)
Eantionare (urmrire)
t=kTe t
Te
Fig.III.10. Variaia semnalelor la intrarea/ieirea circuitului de eantionare/memorare
Observaii:
1. Semnalul de ieire, dei continuu, nu este un semnal cuantificat deoarece nu are restricii cu
privire la reprezentarea n amplitudine.
2. Dac semnalul convertit are variaii foarte lente n raport cu viteza de lucru a convertorului
analog-numeric atunci circuitul de eantionare i memorare poate s lipseasc. Din punct de vedere
conceptual el are ns o importan major asigurnd operaia de discretizare a semnalului pentru

15
Sisteme numerice de reglare

momentele de timp de valoare t=kTe. n acest fel chiar dac semnalul de ieire este continuu n timp
el furnizeaz convertorului analog-numeric valorile specifice momentelor eantionrii. El poate fi
considerat ca fiind circuitul de eantionare a semnalului analogic (cuantificare n timp).
Circuitul de conversie analog-numeric transform semnalul eantionat ntr-un semnal numeric,
transformare care implic operaia de cuantificare. n Fig.III.11 se prezint un circuit de conversie
analog-numeric cu aproximaii succesive (Convertor Analog Numeric CAN).
Convertor analog-numeric (CAN)
-Vref

VCNA Convertor
numeric-analogic
(CNA)
ncrcare

xkTe(t)
xq(kTe)
Semnal bn-1bn-2 b0 Semnal numeric
eantionat -
Registru aprox. (reprezentare de tip ntreg)
+
succesive (RAS)
Comparator
Logic de Sfrit conversie
comand Start conversie

Fig.III.11. Schema de principiu a unui circuit de conversie analog-numeric cu aproximaii succesive

Un astfel de circuit utilizeaz un circuit de conversie numeric-analogic (Convertor Numeric


Analogic-CNA), un registru de aproximaii succesive (un automat de stare) precum i un circuit de
comparare. Semnalul Start conversie iniializeaz automatul de stare care reseteaz cei n bii de
comand a CNA. n continuare, prin n etape succesive, se stabilesc valorile biilor bn-1bn-2.....b0.
La primul pas, registrul de aproximaii succesive RAS- poziioneaz bitul cel mai
semnificativ (bn-1) pe 1 ceea ce determin ca circuitul de conversie numeric-analogic s genereze
jumtate din tensiunea de referin (VCNA=Vref/2). Dac tensiunea astfel generat este mai mare
dect tensiunea semnalului eantionat (VCNA>xkTe(t)), atunci, n baza rezultatului logic furnizat de
circuitul de comparare, RAS repoziioneaz bitul bn-1 pe 0, aproximarea semnalului realizndu-se n
minus. La al doilea pas, RAS poziioneaz pe 1 urmtorul bit ca semnificaie (bn-2), generndu-se
(nc) un sfert din tensiunea de referin (VCNA=[Vref/2+]Vref/4), i, n funcie de rezultatul furnizat
de comparator, decide dac acesta rmne 1 sau trebuie resetat. n acest fel, dup n comparaii, RAS
conine n reprezentare binar rezultatul conversiei, valoarea fiecruia din cei n bii fiind decis la
cte un pas de aproximare.
n final RAS semnalizeaz n exterior sfritul conversiei prin activarea semnalului Sfrit
conversie.
Observaii
1. Precizia semnalului numeric rezultat depinde de numrul de bii ai RAS i ai CNA utilizai n
codificarea binar.
2. Frecvena de eantionare este limitat de viteza de rspuns a comparatorului, timpul de
conversie al CNA i de ntrzierile de propagare n circuitul digital.
tCAN = n (tComp +tCNA+tRAS)

Convertorul numeric-analogic (CNA) transform un semnal numeric, prezent ntr-un registru


cu ncrcare paralel, ntr-un semnal continuu cuantificat. Dac semnalul numeric care urmeaz a fi
convertit este reprezentat pe n poziii binare atunci semnalul de la ieirea CNA este un semnal
cuantificat care poate lua 2n valori distincte. n Fig. III.12 se prezint schema simplificat a unui
CNA cu rezistene ponderate avnd n=4 poziii binare. Rezistenele de intrare ale amplificatorului
operaional sunt ponderate dup puterile lui 2. Biii b3...,b0, corespunztori semnalului numeric,
comand comutatoarele analogice astfel: pentru valoarea 1, rezistena aferent este conectat la -
Vref, iar pentru valoarea 0, rezistena aferent este conectat la mas (acionarea comutatoarelor
desfurndu-se n paralel).

16
Sisteme numerice de reglare

Convertor numeric-analogic R
0
(CNA)

-
R 2
2R 2 R 2 R 3 +
xq(t)

-Vref
b3 b2 b1 b0
ncrcare Registru CNA

xq(kTe)

Fig.III.12.Schema de principiu a unui circuit de conversie numeric-analogic pe 4 bii cu rezistene ponderate

innd seama de ecuaiile de funcionare ideal a amplificatorului operaional atunci valoarea


semnalului de ieire poate fi exprimat sub forma:

R0 b b b
x q (t) = b 3 + 2 + 1 + 0 Vref
R 2 4 8
Suplimentar, din condiia ca ponderea pentru bitul cel mai semnificativ s fie jumtate din
tensiunea de referin se obine R=2R0.

17
Sisteme numerice de reglare

IV. Particulariti structurale i funcionale ale sistemelor numerice de reglare


(calculatoare de proces)

IV.1. Cerine ale calculatoarelor de proces


Asemenea calculatorului universal (de uz general), arhitectura calculatorului de proces
cuprinde unitatea central de prelucrare (CPU), sistemul de memorie principal i sistemul de
interfa cu dispozitivele periferice standard (generale): terminal, imprimant, linie de comunicaie.
Dar prezint o serie de particulariti legate de urmtoarele cerine:
1.- Posibiliti multiple de a comunica direct cu procesul n ambele sensuri. Aceast
comunicare se face prin intermediul unor module de interfa proces-calculator, care permit legtura
direct, prin diverse canale, ntre calculator i sursele de informaie ale procesului (senzori,
traductoare), precum i cu elementele de execuie din instalaia automatizat.
Prin aceast interfa calculatorul se cupleaz ciclic, prin divizarea timpului (time
slicing/sharing), la sursele de informaie i la elementele de execuie. Conectarea se face fie la
intervale de timp dinainte stabilite (ceas de timp real), fie dup un sistem de prioriti stabilit prin
program (sistem de ntreruperi).
2.- Aptitudinea de a reaciona n timp util la modificrile variabilelor care definesc starea sau
desfurarea procesului condus (corelarea timpului de calcul al sistemului de prelucrare cu cel cerut
de procesul condus), adic de a lucra n timp real cu procesul. n acest scop, prelucrarea
informaiilor i emiterea comenzilor trebuie s se fac suficient de rapid, astfel nct informaiile
recepionate s nu-i piard valabilitatea pn la executarea comenzilor ce se impun.
3.- Existena unui sistem de programe specifice i n corelaie cu resursele hardware ale
calculatorului de proces, elaborate n concordan cu specificul procesului condus sau adaptate la
acesta.
4.- Existena unor faciliti n realizarea unei comunicaii ntre operatorii tehnologi (de proces)
i instalaie prin intermediul calculatorului, care s permit operatorilor accesul la informaia
furnizat de calculator i la efectuarea unor comenzi cu sau fr ajutorul acestuia.
5.- Posibilitatea de a realiza cu uurin o configuraie optim (specializat) prin asamblarea
unui numr oarecare de componente modulare i de a modifica structura iniial n funcie de
nevoile i preteniile utilizatorului, innd seama de posibilele dezvoltri, att ale instalaiei
tehnologice ct i ale calculatorului de proces.
6.-Posibilitatea interconectrii cu alte echipamente de prelucrare a informaiei, pentru a putea
intra ntr-o structur complex de conducere i de a accepta programe standard.
7.-Fiabilitate de nivel nalt, de ordinul 99% pentru funcia de supraveghere i 99.9% pentru
funcii de conducere i protecie. Se cere, deci, ca ntregul echipament de prelucrare a informaiei s
fie apt n a preveni i detecta deficienele prin programe de diagnoz i remediere i s dispun de
suficiente resurse de alternativ care s fie folosite n caz de nevoie.
Toate aceste cerine i particulariti funcionale determin i structura general a calculatorului
de proces.

IV.2. Structura general a unui sistem numeric de reglare


Spre deosebire de echipamentele de calcul tradiionale (calculatoarele universale), calculatorul
de proces are o structur mai modular. De cele mai multe ori, realizarea unui sistem numeric de
reglare a proceselor industriale necesit executarea mai multor module care se introduc ntr-unul
sau mai multe sertare, interconectarea fcndu-se prin intermediul unei magistrale comune
(Fig.IV.1). Pe acest canal de comunicaie se transmit date, adrese, instruciuni, informaii despre
starea echipamentelor periferice, semnale de comand. Informaia transmis este nsoit de adresa
blocului sau dispozitivului cruia i este adresat mesajul. De aceea, cu toate c ea apare la intrrile
tuturor blocurilor calculatorului conectate la canalul unic, informaia respectiv este acceptat
numai de blocul cruia i este destinat.

18
Sisteme numerice de reglare

Principiul magistralei comune i al modularitii (serii de module standardizate constructiv,


autonome funcional) confer o mare flexibilitate n stabilirea configuraiei optime, calculatorul de
proces putnd fi uor specializat, prin agregarea modulelor corespunztoare. Cu ajutorul diferitelor
module funcionale (plachete) se pot implementa toate funciile necesare conducerii n timp real a
unui proces cu mai multe intrri i mai multe ieiri, modulele introducndu-se ntr-un sertar, iar
sertarele ntr-un dulap care formeaz echipamentul.
Sistemele numerice de reglare ofer mai multe posibiliti de comunicare cu operatorii dect
calculatoarele universale. Comunicarea are loc ntre calculator i personalul de exploatare a
calculatorului (operatori sistem) pe de o parte, i ntre calculator i personalul de supraveghere a
instalaiei automatizate (operatori tehnologi) pe de alt parte.

Unitate Memorie Canale de


central principal comunicaie
de prelucrare cu alte
echipamente

Magistral intern de comunicaie

Canale Canale Canale


intrare-ieire intrare-ieire intrare-ieire
proces operatori operatori
tehnologi sistem

Consol
operator Consol
PROCES tehnolog operator
(pupitru sistem
comand)

Fig.IV.1. Schema general a unui sistem numeric de reglare

Echipamentul de comunicaie cu personalul de exploatare a calculatorului este practic


identic cu echipamentul calculatoarelor universale:
- consol operator;
- una sau mai multe imprimante.
Aceste echipamente sunt amplasate, de obicei, n aceeai ncpere cu CP i exploatate de
personal specializat (operatori sistem).
Echipamentul de comunicare cu personalul de supraveghere a instalaiei tehnologice are
un caracter specific procesului industrial condus. Comunicarea se face prin forme i mijloace
tehnice variate, ntr-un limbaj simplu i comun unei mari categorii de personal: operatori tehnologi,
mecanici, electricieni etc. Se folosesc:
- dispozitive de introducere manual prin butoane, chei, manete .a. a diverselor comenzi
asupra elementelor de execuie, asupra regulatoarelor, dispozitivelor de semnalizare etc;
- dispozitive de vizualizare a informaiei;
- dispozitive de semnalizare optic i/sau acustic.
Canalele intrare-ieire proces au o serie de caracteristici comune ce decurg din
funcionarea asincron a acestora n raport cu CPU sau de vitez de lucru diferit a acestor canale i
CPU. Pentru a diminua aceste impedimente, canalele de I/E sunt prevzute cu registre de memorare
a comenzilor/datelor (latch-uri), prin intermediul crora se realizeaz comunicarea cu CPU.

19
Sisteme numerice de reglare

IV.3. Sistemul de interfa al calculatorului de proces


Conectarea calculatorului de proces (CP) la procesul industrial condus se realizeaz prin
intermediul sistemului de interfa, sistem destinat compatibilizrii semnalelor cu caracteristici
diferite.
Deoarece o instalaie tehnologic poate avea semnale de ieire logice (starea unui limitator de
curs, semnalizarea depirii unui nivel etc) este necesar un sistem de interfa pentru introducerea
valorilor unor variabile logice din proces.
De asemenea, pentru comanda unor elemente de execuie bipoziionale (relee, contactoare,
ntreruptoare, electroventile etc) calculatorul trebuie s transmit valorile unor variabile logice care
trebuie memorate i amplificate n putere, corespunztor elementului bipoziional acionat
(comand logic). i n acest caz este deci evident necesitatea unei interfee care s asigure
transmiterea unor variabile logice ctre elementele de execuie de tip binar.
Observaie:
Comanda proceselor secveniale se poate realiza i cu ajutorul unor sisteme specializate, numite
automate programabile (AP). Alegerea uneia dintre aceste metode (AP/CP) pentru automatizarea
unui proces tehnologic depinde de numrul de variabile logice msurate, de numrul de comenzi
logice transmise i de interdependena dintre aceti parametri. Astfel n cazul unui numr mare de
variabile logice de intrare/ieire se vor folosi AP. De asemenea, chiar dac numrul variabilelor este
mic ns funciile de prelucrare sunt complexe (timp de calcul mare, comparabil cu perioada de
eantionare a CP) este necesar s se foloseasc AP.

A. Interfa pentru citirea semnalelor logice de intrare


n cazul semnalizrilor (diagnoz, contacte ale limitatoarelor de capt de curs ale
mecanismelor de poziionare etc) se utilizeaz porturi de intrri numerice. Ele permit transferarea
informaiei exterioare n cuvinte de date binare, utilizabile prin program de ctre sistemul numeric
(memorare, testarea strii unor anumite semnale i luarea unor decizii corespunztoare). n cazul
unui defect, dac instalaia este prevzut cu semnale logice de diagnoz, se poate testa, prin analiza
acestora, care este defectul i se emite comanda corespunztoare (de ex. ntreruperea alimentrii).

B. Interfa pentru furnizarea semnalelor logice spre proces


n cazul comenzilor de tip bipoziional, deci pentru mrimi binare, se utilizeaz porturi de ieiri
numerice. Acestea vor permite transferarea informaiei din cuvintele de date binare ale
calculatorului ctre exterior, determinnd comanda unor elemente de amplificare binare ale schemei
(relee).

C. Interfa pentru achiziia semnalelor analogice


n principiu, conducerea unui proces cu o singur intrare i o singur ieire (SISO) poate fi
realizat cu o structur numeric avnd un canal de intrare analogic i un canal de ieire analogic.
Pentru un proces cu mai multe intrri i mai multe ieiri analogice (MIMO) - mai multe bucle de
reglare - arhitectura devine mai complex. Soluiile variaz n funcie de performanele impuse
sistemului de conducere i de dinamica procesului.
O prim soluie ar fi utilizarea unei structuri multiprocesor, fiecrei bucle de reglare fiindu-i
asociat cte un procesor specializat, care s realizeze conversiile, prelucrrile i comenzile specifice
buclei (conducere distribuit).
Dac ns condiiile de lucru sunt mai relaxate se poate adopta o arhitectur de tip conducere
centralizat, structura numeric urmnd s fie conectat succesiv, dup o ordine bine definit i, n
general, cu vitez mare, la fiecare canal de msur. Acest lucru se realizeaz prin intermediul unui
multiplexor (MUX- comutator electronic). n acelai timp, toate rezultatele furnizate de calculator,
n mod secvenial, pe aceeai ieire (magistral), trebuie dirijate spre utilizatori printr-un
demultiplexor (DMUX).

20
Sisteme numerice de reglare

Pentru conversia analog-numeric a unui numr mare de semnale analogice se pot folosi dou
metode:
multiplexarea semnalelor analogice;
multiplexarea semnalelor numerice.
Multiplexarea semnalelor analogice
n Fig.IV.2. se prezint arhitectura unui sistem de achiziie multicanal a semnalelor analogice.

x1(t)
x2(t)
....
xm(t) xq(kTe)
xm(t) xkTe(t)
CAN R
.... MUX E&M
analogic
-Vref Sfrit conversie
xn(t) Start conversie
Comand E/M

Adres canal m

Fig.IV.2. Schema bloc a sistemului de interfaare cu semnale analogice de intrare


cu achiziie secvenial
Selectarea unui semnal de intrare analogic, care s fie transmis la CAN, se face prin adresarea
multiplexorului MUX. Principalul element al MUX analogic este elementul de comutare i poate fi
realizat n una din variantele:
relee obinuite;
relee reed (contacte n vid, ntr-o fiol de sticl, comandate magnetic);
relee cu mercur;
comutaie static cu elemente semiconductoare.
Primele trei variante, care utilizeaz elemente electromecanice, conduc n general la investiii
iniiale reduse, compensate ns de costuri ridicate de exploatare, fiabilitate sczut, durat de
funcionare serios limitat. Ultima variant are dezavantajul de-a produce mrimi reziduale,
datorate funcionrii neideale a elementului de comutaie n starea nchis/deschis (cureni, tensiuni,
rezistene, capaciti) precum i decalibrrii canalului, datorat fenomenului de mbtrnire a
componentelor.

Observaie: Deoarece circuitul de E&M se afl dup MUX, nu este posibil msurarea
simultan a dou sau mai multe mrimi (semnale). Pe de alt parte, viteza de msurare este destul
de redus dac numrul de canale se mrete.
Pentru a putea citi simultan dou sau mai multe mrimi (achiziie sincron) se poate plasa cte
un circuit de E&M pe fiecare canal, la intrarea MUX (Fig.IV.3). Conversia analog-numeric i
citirea datelor pe fiecare canal se poate face la momente diferite.
x1(t)
x2(t)
....
xm(t) xm(t) xq(kTe)
CAN R
.... MUX
analogic
-Vref Sfrit conversie
xn(t) Start conversie

Adres canal m

Comand E/M

Fig.IV.3. Schema bloc a sistemului de interfaare cu semnale analogice de intrare


cu achiziie sincron

21
Sisteme numerice de reglare

Multiplexarea semnalelor numerice


Deoarece CAN trebuie s fie de precizie mare, avnd de regul 8, 10, 12 bii la ieire, acestea
sunt relativ complexe i au un cost ridicat. De aceea, n practic, se folosete foarte mult metoda
multiplexrii analogice. Totui, cnd fenomenele din proces variaz rapid, utilizarea unui singur
CAN pentru achiziia datelor nu mai d satisfacie. Este necesar utilizarea mai multor CAN, relativ
mai lente i mai ieftine, pentru fiecare canal n parte (Fig.IV.4).
x1(t)
CAN1
x2(t) EOC1
SOC1
CAN2
.... EOC2
xm(t) SOC2
CANm
EOCm
SOCm
xq(kTe)
.... MUX
numeric
xn(t)
CANn
EOCn
SOCn
Adres canal m

Comand E/M

Fig.IV.4. Schema bloc a sistemului de interfaare cu semnale analogice de intrare


cu eantionare i conversie sincron
Fiecare CAN este precedat de un circuit de E&M. Ieirile numerice ale CAN sunt multiplexate
cu ajutorul unui multiplexor numeric. Dei montajul este complex i scump, frecvena de achiziie
crete, dac cele n canale se eantioneaz secvenial.

Moduri de control al transferului de informaii


La un sistem cu microprocesor pot fi utilizate mai multe metode de transfer al rezultatelor
conversiilor analog-numerice. Metodele utilizate sunt caracterizate de funcionarea prin interogare
(transfer programat) sau prin ntreruperi (transfer concurent).
Schemele cu declanare i ateptare (polling), specifice transferului programat, sunt
caracterizate de faptul c CPU declaneaz conversia (Adres canal, comand E/M, Start conversie
(Start Of Conversion - SOC)) la fiecare moment de eantionare i testeaz linia Sfrit conversie
(End Of Conversion - EOC), care furnizeaz informaii referitoare la terminarea conversiei. La
detectarea terminrii conversiei se citete registrul de ieire al convertorului (R), registru care
conine valoarea convertit, xq(kTe).
Corelarea (sincronizarea) vitezei de lucru se poate realiza i prin intermediul semnalului
WAIT/READY (Fig.IV.5).
Start conversie
Start conversie
S
Q

Q
Sfrit conversie R

WAIT

Fig.IV.5. Sincronizarea hardware a CAN cu microprocesorul


Procesorul intr n starea de ateptare (WAIT MODE), prin bascularea unui bistabil de ctre
semnalul Start conversie i n starea de execuie (RUN MODE) prin rebascularea acestuia de ctre
semnalul Sfrit conversie, cnd operaia de conversie s-a terminat.
Dac timpul de conversie este foarte mic, microprocesorul poate trata canalul de conversie ca o
celul de memorie, operaia de citire a datelor efectundu-se direct, fr timpi de ateptare.
Schemele care utilizeaz sistemul de ntreruperi se bazeaz pe faptul c circuit de temporizare
(ceas de timp real) declaneaz conversia n vreme ce microprocesorul execut alte sarcini i la
momentul terminrii acesteia microprocesorul primete un semnal de ntrerupere generat de

22
Sisteme numerice de reglare

semnalul Sfrit conversie. Ca urmare a ntreruperii primite, se execut o subrutin de tratare a


ntreruperii, n cadrul creia se citete valoarea numeric a semnalului convertit.

D. Interfa pentru furnizarea semnalelor analogice spre proces


Aceste module realizeaz legtura ntre dou subsisteme: subsistemul continuu (procesul
condus) i subsistemul care opereaz cu informaia discretizat (subsistemul numeric)
Reconstituirea semnalului analogic
Ieirea din calculatorul de proces este un semnal discretizat n timp, reprezentat printr-un tren
de funcii impuls. Pentru durata foarte mic a unui impuls energia nu poate fi suficient pentru
intervenia asupra procesului. Din aceste motive semnalul discretizat trebuie transformat ntr-un
semnal de durat mai mare n timp. n principiu, reconstituirea unui semnal continuu dintr-un ir de
impulsuri uq(kTe) poate fi considerat ca o extrapolare bazat pe informaiile anterioare uq((k-1)Te),
uq((k-2)Te) .....uq(0Te). n acest caz trebuie s se predicteze (estimeze) valoarea semnalului ntre
dou momente consecutive de actualizare, kTe i (k+1)Te, pornind de la valorile precedente. Pentru
refacerea semnalului continuu, plecnd de la eantioanele uk=uq(kTe), se folosesc extrapolatoare.
~
Cea mai uzual funcie de extrapolare a valorii u k ( t ) n intervalul t[kTe,(k+1)Te), i mai
comod de implementat, este polinomul Taylor de ordin arbitrar, n:
~ ( t kTe ) ( t kTe ) 2 ( t kTe ) n
(1) u k ( t ) = u (kTe ) + u (1) (kTe ) + u ( 2) (kTe ) + ... + u ( n ) (kTe )
1! 2! n!
unde:
d i u(t)
(2) u (i ) (kTe ) =
dt i t =kTe

Pentru calculul unei diferene de ordin n sunt necesare (n+1) eantioane imediat anterioare
intervalului de timp pe care se realizeaz extrapolarea. Estimarea ct mai corect a semnalului
reconstituit de ctre extrapolator impune folosirea a ct mai multor eantioane (sau a mai multor
termeni din polinomul (1)). Pe de alt parte ns, folosirea unui extrapolator de ordin ridicat
presupune un element fizic mai complex, mai costisitor i acceptarea reinerii pe timp mai
ndelungat a eantioanelor precedente intervalului considerat. De aceea, n practic, din polinomul
Taylor se rein cel mult primii doi termeni (extrapolatoare de ordin zero sau unu).
Observaie
Dei semnalele furnizate de un echipament numeric sunt semnale numerice (eantionate i
cuantificate) acestea sunt dificil de modelat datorit procesului de cuantificare. De aceea, n scopul
unei tratri analitice mai simple se consimte s se opereze cu semnale eantionate. Lipsa indicelui q
(cu semnificaie de pas de cuantificare) marcheaz acest aspect.
Extrapolatorul de ordin zero (EOZ)
Prin reinerea primului termen al polinomului (1) rezult ecuaia extrapolatorului de ordinul
zero:
~
(3) u k ( t ) = u (kTe ) t [kTe , (k + 1)Te )
Prin folosirea extrapolatorului de ordin zero mrimea extrapolat, aplicat la intrarea prii
fixate continue, are urmtorul aspect (Fig.IV.6):
~
u k (t)
u(kTe)
u(kTe) ~
u k (t)
EOZ
Te

0Te 1Te 2Te 3Te 4Te 5Te kTe 0Te 1Te 2Te 3Te 4Te 5Te t

Fig.IV.6. Semnal discret extrapolat cu ajutorul unui extrapolator de ordinul zero

23
Sisteme numerice de reglare

Cu toate c semnalul este reconstituit cu o anumit eroare dac perioada de actualizare, Te, este
aleas corespunztor aceast eroare este acceptabil.
Extrapolatorul de ordin unu (EOU)
Particulariznd polinomul Taylor pentru n=1 se obine ecuaia extrapolatorului de ordinul unu
de forma:
(4) ~
u k ( t ) = u (kTe ) + u (1) (kTe )( t kTe ) t [kTe , (k + 1)Te )
Valoarea derivatei u(1)(kTe) poate fi aproximat cu expresia:
u (kTe ) u ((k 1)Te )
(5) u (1) (kTe )
Te
obinnd:
~ u (kTe ) u ((k 1)Te )
(6) u k ( t ) = u (kTe ) + ( t kTe )
Te
Reconstituirea semnalului se realizeaz aadar dup eantionul u(kTe) de la nceputul
intervalului considerat dar i dup tendina de variaie (panta) sesizat de cele dou eantioane
imediat anterioare acelui interval de timp (Fig.IV.7).

~
u k (t)
u(kTe)
u(kTe) ~
u k (t)
EOU
Te

0Te 1Te 2Te 3Te 4Te 5Te kTe 0Te 1Te 2Te 3Te 4Te 5Te t
Fig.IV.7. Semnal discret extrapolat cu ajutorul unui extrapolator de ordinul unu
n mod uzual se utilizeaz extrapolatorul de ordin zero. Operatorul de meninere (memorare) se
realizeaz fizic fie cu ajutorul unei memorii analogice, n cazul utilizrii unui singur CNA pentru
mai multe canale de ieire, fie cu ajutorul CNA, prin intermediul registrului propriu de zvorre
(latch), cnd este utilizat singur pentru un canal. Alegerea uneia dintre aceste dou variante depinde
de perioada dintre dou reactualizri (Te) i de precizia de conversie impus. Astfel, pentru intervale
de timp reduse ntre dou adresri i pentru o precizie medie de conversie, se poate alege metoda
memorrii sub form analogic (Fig.IV.8).
~
u1 (t)
-
+
C -
~
u 2 (t)
+ ....
C ~
u m (t)
-
+
u(kTe) R CNA C
DMUX ....
analogic
Load ~
-
u n (t)
+
C
Adres canal m

Fig.IV.8. Schema bloc a sistemului de interfaare cu semnale analogice de ieire


cu EOZ analogic

Schema conine un singur CNA, utilizat n comun de ctre toate canalele de ieire. Sistemul
numeric transmite adresa canalului cruia i este destinat comanda, precum i valoarea numeric a
comenzii, care este nscris n registrul R. DMUX analogic conecteaz ieirea CNA la canalul
specificat. Memoriile analogice (condensator i amplificator operaional-tip repetor) servesc la
memorarea ieirii CNA.

24
Sisteme numerice de reglare

Aceast structur are avantajul folosirii unui singur CNA (ceea ce poate conduce la un pre
redus) i dezavantajul demultiplexrii i memorrii de semnale analogice (care pot adesea anula
beneficiile oferite de un CNA unic).

Cea de-a doua structur (Fig.IV.9) utilizeaz cte un CNA pe fiecare canal n parte. n aceast
situaie, DMUX opereaz cu semnale numerice, valoarea numeric fiind introdus n registrul
corespunztor canalului cruia i este destinat comanda.
~
u1 (t)
CNA1
Load1
~
u 2 (t)
CNA2
Load2
~
u m (t)
u(kTe) CNAm
Loadm

DMUX
numeric ~
u n (t)
CNAn
Loadn

Adres canal m

Fig.IV.9. Schema bloc a sistemului de interfaare cu semnale analogice de ieire


cu EOZ numeric

25
Sisteme numerice de reglare

V. Analiza i modelarea proceselor secveniale

Modelarea sistemelor dinamice reprezint un domeniu vast de cercetare care include un numr
mare de metode bine fundamentate, bazate pe o diversitate de principii. Stabilirea unui model
matematic presupune parcurgerea unor etape plecnd de la cunotinele asupra sistemului real.
Transpunerea acestora ntr-un model matematic este n general posibil dar ar rezulta n cele mai
multe cazuri modele prea complicate pentru a putea fi utilizate. De aceea este necesar introducerea
unor ipoteze simplificatoare sau idealizarea unor situaii, ncercnd s se rein numai ceea ce este
esenial pentru sistemul investigat, ntr-un anume scop. Cu ct numrul de ipoteze este mai mare cu
att modelul va fi mai simplu.
Descrierea matematic a relaiei intrare-ieire a modelului matematic, ca de altfel i metodele
de determinare a parametrilor acestuia, depind de forma n care semnalele sistemului sunt
reprezentate. Modelele matematice ale sistemelor pot fi clasificate n acelai mod n care au fost
clasificate semnalele. Dac semnalele de intrare-ieire sunt continue n timp atunci modelul este
continuu iar dac semnalele de intrare-ieire sunt discrete n timp atunci modelul este discret.
n funcie de modul de caracterizare, modelele se pot clasifica n:
modele neparametrice;
modele parametrice.
Modelele neparametrice nu impun specificarea explicit a dimensiunilor sistemului, fiind
infinit dimensionale prin definiie. Prin parametrizare se pot obine modele finit dimensionale.
Considernd structura sistemului cunoscut, acesta poate fi descris prin modele parametrice, n care
informaia despre sistem este concentrat ntr-un set de parametri.
Dup natura prelucrrilor efectuate de ctre sistem exist modele liniare, pentru care se pot
aplica relaiile de proporionalitate i superpoziie (suprapunere a efectelor), sau modele care nu
respect aceste proprieti, modelele neliniare.

V.1. Modele parametrice ale sistemelor dinamice liniare


Modelele matematice ale sistemelor complexe sunt combinaii de ecuaii algebrice, ecuaii
difereniale ordinare i/sau ecuaii cu derivate pariale. n cazul ecuaiilor difereniale ordinare care
descriu sistemele dinamice variabila independent este timpul. n cazul ecuaiilor cu derivate
pariale pot exista mai multe variabile independente, ca de exemplu timpul, spaiu etc. Modelarea
matematic cu ajutorul ecuaiilor cu derivate pariale asigur o descriere detaliat a comportrii
sistemului din punct de vedere al evoluiei n timp n diverse puncte din spaiu. O astfel de
caracterizare conduce la modele matematice cu parametri distribuii. Modelele sistemelor cu
parametri concentrai conin, de regul, un numr finit de ecuaii difereniale ordinare, iar cele
corespunztoare sistemelor cu parametri distribuii conin fie un numr finit de ecuaii cu derivate
pariale fie un numr infinit de ecuaii difereniale ordinare.
Modelarea matematic cu ajutorul ecuaiilor difereniale ordinare are o importan deosebit
deoarece multe din legile fizicii sunt formulate n modul cel mai convenabil n termeni difereniali.
Pentru a obine o soluie din familia soluiilor posibile cu o mrime de intrare specificat, ecuaiei
difereniale ordinare neomogene trebuie s i se asocieze condiii suplimentare referitoare la valorile
soluiei n anumite puncte ale domeniului de definiie. n cazul problemelor de tip Cauchy,
condiiile suplimentare suficiente sunt cele cu privire la valorile variabilei dependente de timp la
momentul iniial.
Un sistem dinamic liniar invariant n timp poate fi descris cu ajutorul unei ecuaii difereniale
liniare de ordinul n cu coeficieni constani de forma:
n
d i y( t ) m d ju ( t ) d r y ( 0) d v u (0)
ai dt i
= bj
dt j
;
dt r
= y 0r ;
dt v
= u 0v ;
(1)
i=0 j= 0

r = 0, n 1; v = 0, m 1; a n = 1

26
Sisteme numerice de reglare

Modelul (1) reprezint un model continuu parametric, vectorul parametrilor fiind constituit din
coeficienii ecuaiei difereniale:
= [a n 1 a n 2 L a 0 b m b m1 L b 0 ]T (2)
Observaie. Modelul corespunde unui sistem dinamic fizic realizabil dac m<n.
Pentru descrierea comportrii sistemelor dinamice unde sunt disponibile numai valori
eantionate ale mrimilor de intrare-ieire se pot utiliza ecuaii cu diferene n locul ecuaiilor
difereniale. Considernd valorile eantionate ale semnalelor u(kTe) i y(kTe) atunci ecuaia cu
diferene are forma:
n m
a i y(kTe iTe ) = b ju (kTe jTe ); y(rTe ) = y 0r ; u ( vTe ) = u 0v ; (3)
i =0 j=0

r = 0, n 1; v = 0, m 1; a 0 = 1
i acest model este unul de tip parametric, vectorul parametrilor avnd ca elemente coeficienii
ecuaiei cu diferene:
= [a n a n 1 L a 1 b m b m1 L b 0 ]T (4)

Conceptele fundamentale ale domeniului teoriei controlului automat i al teoriei identificrii i


estimrii recursive, introduse n perioada anilor 60-70 ai secolului precedent, au permis noi
abordri n proiectarea sistemelor de control. Descrierea intern a sistemelor, pe baza variabilelor de
stare, constituie partea modern a teoriei sistemelor, permind o analiz unitar a sistemelor
monovariabile i multivariabile.
Starea unui sistem poate fi definit cu ajutorul unui set de variabile x1(t), x2(t), ... xn(t) (numite
variabile de stare) care mpreun cu semnalul de intrare determin complet comportarea sistemului.
Variabilele de stare (fr a fi necesar ca ele s fie msurabile) reprezint numrul cel mai mic de
mrimi utilizate pentru a descrie natura dinamic a sistemului. Evoluia temporal a variabilelor de
stare poate fi interpretat ca o traiectorie ntr-un spaiu n-dimensional, numit spaiul strilor.
Aceast abordare permite o generalizare a modelrii sistemelor dinamice, fiind valabil pentru o
gam mai larg de sisteme (variante n timp, neliniare etc).
n cazul sistemelor liniare, invariante n timp, strile sunt descrise n forma general printr-un
set de ecuaii difereniale de ordinul unu n funcie de variabilele de stare i variabila de intrare (5):
dx1 ( t )
= a11x1 ( t ) + a12 x 2 ( t ) + ... + a1n x n ( t ) + b1u ( t )
dt
dx 2 ( t )
= a 21x1 ( t ) + a 22 x 2 ( t ) + ... + a 2 n x n ( t ) + b 2 u ( t )
dt
L (5)
dx n ( t )
= a n1x1 ( t ) + a n 2 x 2 ( t ) + ... + a nn x n ( t ) + b n u ( t )
dt
x1 (0) = x10 ; x 2 (0) = x 20 ;L; x n (0) = x n 0 ;
Sistemul (5) poate fi pus n form matriceal obinnd ecuaia diferenial vectorial a strilor
X& ( t ) = AX( t ) + Bu ( t ); X (0) = X (6)
0
n general, ieirea unui sistem monovariabil poate fi exprimat printr-o combinaie liniar a
strilor i mrimii de intrare
y( t ) = c1x1 ( t ) + c 2 x 2 ( t ) + L + c n x n ( t ) + d u ( t ) (7)
sau n form matriceal
y( t ) = C T X ( t ) + d u ( t ) (8)
Observaii
1. Rspunsul sistemului la un semnal de intrare, u(t), este posibil de determinat cunoscnd
matricele (A, B, C, d), unde A este matricea de stare, B matricea (coloan) intrrii, C matricea
(linie) ieirii, d matricea (scalar) de cuplaj.

27
Sisteme numerice de reglare

2. n majoritatea sistemelor fizice nu exist cuplaj direct intrare-ieire, deci d=0.


3.Reprezentarea sistemelor monovariabile se extinde uor la modelarea sistemelor
multivariabile prin dimensionarea corespunztoare a vectorilor de intrare (comand), u(t), i de
ieire, y(t), precum i a matricelor de intrare, de ieire i de cuplaj:
& ( t ) = AX( t ) + BU( t ); X(0) = X
X 0
(9)
Y( t ) = CX( t ) + D U( t )
Rspunsul sistemului la un semnal de intrare poate fi determinat prin evaluarea strii
sistemului, X(t), i a ieirii, y(t). Evoluia strilor este definit de ecuaiile difereniale (5) i de
condiiile iniiale X 0 = [x10 x 20 L x n 0 ]T . Pentru condiiile iniiale precizate, X0, ecuaia de stare
(6) are soluia:
t
X( t ) = e At X 0 + e A ( t ) Bu ()d (10)
0

Primul termen reprezint efectul condiiilor iniiale, X0, iar cel de-al doilea termen reprezint
efectul semnalului de intrare u(t) aplicat. Cu alte cuvinte primul termen constituie soluia de regim
liber a ecuaiei omogene iar cel de-al doilea termen este o soluie particular a ecuaie neomogene,
ambele satisfcnd condiiile iniiale.
Folosind ecuaia ieirii (8) i soluia ecuaiei de stare, dat de (10), atunci ieirea sistemului are
evoluia
t
y( t ) = Ce At X 0 + Ce A ( t ) Bu ()d + d u ( t ) (11)
0

n mod similar reprezentrii interne a sistemelor continue, sistemele dinamice liniare invariante
n timp discrete pot fi modelate pe stare cu ajutorul unui set de ecuaii cu diferene de ordinul unu n
funcie de variabilele de stare x1(kTe), x2(kTe), ... xn(kTe) i de mrimea de intrare (12):
x1 ((k + 1)Te ) = a11x1 (kTe ) + a12 x 2 (kTe ) + ... + a1n x n (kTe ) + b1u (kTe )
x 2 ((k + 1)Te ) = a 21x1 (kTe ) + a 22 x 2 (kTe ) + ... + a 2 n x n (kTe ) + b 2 u (kTe )
L (12)
x n ((k + 1)Te ) = a n1x1 (kTe ) + a n 2 x 2 (kTe ) + ... + a nn x n (kTe ) + b n u (kTe )
x1 (0) = x10 ; x 2 (0) = x 20 ; L; x n (0) = x n 0 ;
Forma matriceal a ecuaiei discrete de stare are expresia:
X((k + 1)Te ) = AX(kTe ) + Bu (kTe ); X(0) = X 0 (13)
n cazul general, ieirea sistemului dinamic liniar i invariant n timp reprezint o combinaie
liniar de variabile de stare i mrime de intrare:
y(kTe ) = c1x1 (kTe ) + c 2 x 2 (kTe ) + L + c n x n (kTe ) + d u (kTe ) (14)
sau n form matriceal:
y(kTe ) = CX (kTe ) + d u (kTe ) (15)
Ca i n cazul sistemelor continue, soluia ecuaiei discrete de stare poate fi exprimat prin
suprapunerea soluiei de regim liber (soluia ecuaiei omogene) i a celei de regim forat (soluia
ecuaiei neomogene), care satisfac condiiile iniiale; evoluia mrimii de ieire se obine cu ajutorul
soluiei ecuaiei de stare.

V.2. Analiza i modelarea sistemelor secveniale


Termenii automat i automatizare fac parte din categoria celor mai utilizai att n limbajul
ingineresc ct i, pstrnd proporiile, n limbajul curent.
n limba romn, cuvntul automat se folosete cu valoare de substantiv i cu valoare de
adjectiv. Adjectival, cuvntul automat desemneaz calitatea unui sistem fizico-tehnic de a efectua,
pe baza unei comenzi, o operaie sau un complex de operaii fr participarea direct a operatorului
uman. Substantival, un automat este un dispozitiv, un aparat sau o instalaie n general un sistem

28
Sisteme numerice de reglare

care opereaz sau funcioneaz n mod automat, adic are calitatea desemnat prin adjectivul
automat.
n acest context automatizarea reprezint aciunea de concepere, de realizare de automate i de
echipare a sistemelor fizico-tehnice cu automate pentru efectuarea n mod automat a unor operaii,
micri, aciuni etc.
n acest cadru, proiectantul unor astfel de automate are rolul ca, pe baza cunoaterii sistemelor
fizico-tehnice, s conceap i s realizeze automatizarea acestora. n mod firesc aceast profesie
este inter- i multidisciplinar deoarece exercitarea ei competent necesit cunotine de
matematic, fizic, chimie, biologie, electrotehnic, electronic, mecanic, informatic i, nu n
ultimul rnd, de automatic, toate adecvat operante n conceperea, realizarea i utilizarea
automatizrilor. Cu alte cuvinte, un astfel de proiectant trebuie s aib o vast cultur tiinific i
tehnic, dublat de o autentic viziune sistemic, astfel nct s-i permit abordarea i soluionarea
problemelor de automatizare a celor mai diverse sisteme fizico-tehnice (mecanice, electrice,
termice, fluidice, biologice sau combinaii ale acestora).
Conceptual, un sistem dinamic reprezint un sistem care evolueaz continuu n timp. n cadrul
acestor sisteme, variabila timp - t, este esenial, toate celelalte mrimi fiind exprimate, explicit
sau implicit, n funcie de aceasta.
Utiliznd formalismul matematic, un sistem dinamic poate fi descris cu ajutorul sextuplului:
S = (T , U, X , Y, f , g ) (16)
unde
T reprezint mulimea de valori pe care le ia variabila independent timp, t,
U - reprezint mulimea de valori pe care le ia variabila de intrare, u,
X - reprezint mulimea de valori pe care le ia variabila de stare, x,
Y - reprezint mulimea de valori pe care le ia variabila de ieire, y,
iar
f reprezint funcia de tranziie a strii (de trecere), i definete modul de evoluie a strii
iniiale x(t0)=x0, sub aciunea mrimii de intrare, u,
g - reprezint funcia de ieire (de rspuns), i exprim modul de evoluie a ieirii ca rezultat al
evoluiei mrimii de stare.
Observaii
1. Funcia de tranziie a strii i funcia de ieire se mai numesc i funcii caracteristice ale
sistemului dinamic.
2. n cazul sistemelor dinamice neliniare, pentru un sistem continuu, funciile caracteristice au
formele generale:
x& ( t ) = f (u ( t ), x ( t )) (17)

y( t ) = g (u ( t ), x ( t ))
iar pentru un sistem discret ele sunt exprimate sub forma:
X((k + 1)Te ) = f (u (kTe ), x (kTe )) (18)

y(kTe ) = g (u (kTe ), x (kTe ))
3. Starea x(ti) a unui sistem dinamic la momentul ti reprezint informaia strict necesar n
momentul ti astfel nct ieirea y(ti) s poat fi unic determinat cu ajutorul ei i al mrimii de
intrare, u(ti). Cu alte cuvinte, starea unui sistem dinamic reprezint memoria minim necesar
asupra trecutului pentru a determina evoluia n viitor. Evoluia n spaiul strilor a unui astfel de
sistem este continu, indiferent dac modelul utilizat pentru descriere este unul continuu, de tipul
(17), sau discret, de tipul (18).
4. n cazul sistemelor liniare, continue sau discrete, expresiile (17)-(18) se reduc la cele
prezentate de relaiile (9), respectiv (13)-(15).

29
Sisteme numerice de reglare

Exist ns i o alt categorie de sisteme, sistemele secveniale, pentru care starea evolueaz
ntr-o manier discontinu (discret). Aceasta se schimb instantaneu, la anumite momente de timp,
determinate de producerea unui anumit eveniment. Datorit acestui fapt, astfel de sisteme mai sunt
denumite i sisteme cu evenimente discrete.
Comportarea n timp a unui sistem secvenial se descrie ca o succesiune de evenimente
singulare (stri) care apar la momente discrete de timp, determinate de secvena de evenimente
asincrone de la intrarea sa {u1, u2, ...}. Aplicnd un semnal discret la intrare, uk, un proces
secvenial trece ntr-o nou stare intern, xk+1, i elaboreaz un rspuns la ieire, yk+1.
Deoarece un astfel de sistem poate fi unic specificat numai cu ajutorul secvenei evenimentelor
{u1, u2, ...}, fr a mai fi necesar precizarea momentului tk cnd au avut loc acestea atunci, spre
deosebire de sistemele dinamice, sistemele secveniale pot fi descrise cu modele mai simple,
netemporizate; n acest caz, variabila timp, cu evoluie continu cresctoare, se transform ntr-o
variabil discret i indexat cu indicele k, care, n cazul unei evoluii liniare, este incrementat la
fiecare schimbare a strii procesului.
Apelnd, din nou, la formalismul matematic, un sistem secvenial poate fi descris axiomatic cu
ajutorul cvintuplului:
A = ( U, X, Y , f , g ) (19)
unde entitile utilizate au aceeai semnificaie ca n situaia anterioar.
Noul sistem reprezint o clas particular, cea a automatelor de stare, unde semnalele de
intrare i ieire sunt mrimi binare ( U, Y {0,1} ), iar funciile caracteristice au expresiile:
x k +1= f (u k , x k ) (20)

y k = g(u k , x k )
Un automat A este finit dac mulimile U, X i Y, aparinnd automatului, sunt finite. n acest
caz se obine un automat cu stri finite (FSM - Finite State Machine).
Pentru evidenierea evoluiei n timp a automatelor cu stri finite s-au realizat diferite modele
de automat. Primul model a fost elaborat de Mealy n anul 1955. n 1956, Moore a elaborat un alt
model, care este un caz particular al modelului lui Mealy, i care are numeroase aplicaii n tehnic.
Aceste modele matematice au primit denumirile de model Mealy i model Moore, fiind n prezent
cele mai folosite.
Dac se accept c strile unui automat finit sunt realizabile fizic printr-un bloc de memorie,
atunci modelul unui automat poate fi reprezentat prin schema bloc din Fig.V.1.
uk U
x k +1 X xk X yk Y
f (x k , u k ) Memorie g(x k , u k )

Fig.V.1. Modelul de automat Mealy


Modelul de automat Mealy este definit cu ajutorul cvintuplului (19), iar funciile caracteristice
au expresiile (20). Conform acestor expresii, starea urmtoare este determinat de variabila de
intrare i starea prezent. De asemenea, ieirea prezent este determinat de variabila de intrare i
starea prezent.
n cazul unui automat de stare Moore, funciile caracteristice au expresiile (21).
x k +1= f (u k , x k ) (21)

y k = g( x k )
Deci n cazul unui automat Moore, reprezentat n Fig.V.2, starea urmtoare este determinat, n
mod similar, de variabila de intrare i starea prezent, n vreme ce ieirea prezent este determinat
numai de starea prezent.

30
Sisteme numerice de reglare

uk U
x k +1 X xk X yk Y
f (x k , u k ) Memorie g(x k )

Fig.V.2. Modelul de automat Moore


n ambele scheme au fost prezentate i blocurile care calculeaz funciile de tranziie i de ieire.
Un automat pentru care funcia de ieire este de forma
y = g(u ) (22)
k k
se numete automat fr memorie sau trivial. n acest caz funcia de tranziie i variabilele
intermediare determinate de legtura invers nu au nicio influen i prin urmare noiunea de stare
este de prisos. Deoarece pentru automatele triviale ieirea la un moment dat este determinat numai
de combinaia mrimilor aplicate la intrare n acel moment, astfel de automate se mai numesc i
combinaionale. Aceste automate sunt realizate fizic prin circuite logice combinaionale. Blocurile
care implementeaz funciile f(uk,xk) i g(uk,xk) ale unui automat de stare sunt de tip combinaional.
Automatele finite cu memorie sunt realizate fizic cu ajutorul circuitelor logice secveniale, care,
conform Fig.V.1 sau Fig.V.2, conin circuite combinaionale i elemente de memorie.
i invers, sistemele secveniale sunt descrise prin modele matematice de automat finit cu
memorie, iar sistemele combinaionale prin modele de automat finit fr memorie.

Un automat finit cu memorie, i deci un sistem secvenial, poate fi modelat cu ajutorul unui
graf orientat i marcat, G. Asocierea grafului G unui automat finit se face n modul urmtor:
a. mulimii strilor automatului A i se asociaz mulimea nodurilor grafului.
b. dac strile xi i xj sunt legate prin relaia xj=f(ui,xi), atunci nodul xj este legat de nodul xi
printr-un arc orientat de la xi la xj. Arcul (xi,xj) astfel obinut va fi marcat cu semnalul de intrare, ui,
care a determinat tranziia ntre strile xi i xj, Fig.V.3
yi ui yj

xi xj

Fig.V.3. Reprezentarea unui automat de stare Moore


c. pentru cele dou modele de automate, Moore i Mealy, grafurile asociate difer dup modul
cum sunt definite semnalele de ieire n graf. Astfel, pentru automatele Moore se va marca n graf
ieirea pentru fiecare stare, Fig.V.3, iar pentru automatele Mealy, dac yi=g(ui,xi), arcul (xi,xj) va fi
marcat i cu ieirea yi, Fig.V.4. Deci pentru un automat Mealy ieirile se obin n timpul tranziiilor
dintre stri.
u i / yi

xi xj

Fig.V.4 Reprezentarea unui automat de stare Mealy

Spre deosebire de variabilele de intrare i ieire ale unui sistem secvenial, care pot fi
evideniate i msurate de un observator extern, variabilele de stare pot fi msurate i observate
numai n anumite condiii. Dificultatea este determinat de faptul c aceste variabile reprezint
rezultatul efectului combinat dintre variabilele de intrare i strile interne ale automatului. Or,
determinarea precis a mulimii de stri este problema cea mai dificil pentru care nu exist reguli
generale. Stabilirea mulimii de stri se face prin aproximaii succesive, apelnd la experimente
(teoretice) asupra automatului. n general, experimentele necesit aplicarea unor succesiuni de
combinaii (secvene) ale variabilelor de intrare i observarea ieirilor. ns, n majoritatea cazurilor

31
Sisteme numerice de reglare

de interes practic exist suficiente informaii despre structura intern a sistemului pentru a
determina toate variabilele care caracterizeaz evoluia acestuia.
Se pot defini urmtoarele stri ale sistemului secvenial, stri care pot fi evideniate de un
observator extern:
a. starea de start este starea pe care o accept sistemul n momentul punerii n funciune;
b. starea iniial este starea n care se aduce automatul pentru aplicarea unei secvene de
intrare;
c. starea final este starea n care ajunge automatul n urma aplicrii unei secvene la intrare.
Dac starea iniial nu este specificat, aceasta se consider a fi starea de start. Ca i n cazul
sistemelor dinamice, n studiul sistemelor secveniale cunoaterea strii iniiale este foarte
important n determinarea secvenei de ieire, i rezult din urmtoarea teorem.
Teorema strii iniiale: n cazul unui automat netrivial, ieirea este unic determinat pentru o
anumit intrare dac i numai dac se cunosc funciile caracteristice f(uk,xk) i g(uk,xk) i starea
iniial x0.
Starea iniial a automatului este analog energiei iniiale, x0, dintr-un sistem dinamic.
ntr-o modelare de sistem secvenial, unele stri pot fi supraabundente (redundante), n sensul
c rolul lor poate fi ndeplinit de alte stri din interiorul sistemului. Evidenierea strilor redundante
este legat de realizarea unor sisteme de comand mai economice i deci este de dorit s se
stabileasc cnd dou stri au roluri echivalente. n acest fel, prin eliminarea tuturor strilor
redundante se obine un automat redus, echivalent cu cel iniial.

Problemele de analiz a sistemelor secveniale se definesc astfel: cunoscnd structura


circuitului secvenial, se cere evoluia semnalelor de ieire corespunztoare unei anumite evoluii
(secvene) a semnalelor de intrare. Pentru ca problemele de analiz s poat fi rezolvate trebuie
cunoscut starea iniial a circuitului.
Sinteza sistemelor secveniale este mai complex dect analiza acestora i comport mai multe
etape. Problemele de sintez a circuitelor secveniale se definesc astfel: cunoscnd corespondena
ntre secvenele de intrare i secvenele de ieire se cere s se determine structura sistemului
secvenial.
Sinteza circuitelor secveniale presupune etapele de sinteza abstract i de sinteza structural.
I. Sinteza abstract implic urmtoarele etape:
1. Stabilirea strilor posibile n care se poate afla automatul, folosind datele din enunul
problemei de sintez. Aceste stri se determin n aa fel nct s se in seama de toate situaiile
enunate, condiiile s fie clare, necontradictorii i s nu se repete. Se numete i etapa de stabilire
primar a strilor.
2. Din condiiile impuse i avnd n vedere strile stabilite n etapa precedent se stabilete
graful de tranziii. De multe ori problemele de sintez se dau prin graful de tranziii, cnd prima
etap este de prisos.
3. Se elimin strile redundante i apoi se minimizeaz numrul de stri ale automatului.
4. Se stabilesc expresiile minime pentru funciile caracteristice (funcia de tranziie a strii,
funcia de ieire).
II. Sinteza structural comport urmtoarele etape:
5. Implementarea funciilor obinute n etapa 4 cu circuite logice sau automate programabile.
6. Analiza dispozitivului de automatizare obinut.

V.3. Tehnologii de implementare a automatelor de stare


Proiectarea sistemelor de control secvenial presupune o abordare sistemic, bazat pe o
gndire structurat. Definirea riguroas a problemelor tehnice privind circuitele logice i comenzile
secveniale se poate face folosind principiile logicii matematice, n particular principiile calculului
propoziiilor.

32
Sisteme numerice de reglare

Spre mijlocul secolului al XIX-lea, matematicianul i logicianul englez George Boole


(1815-1864) a propus o interpretare a logicii propoziiilor bivalente, fundamentnd algebra propo-
ziiilor cu dou valori care adesea este denumit algebra logicii sau algebr boolean. Apariia
elementelor, circuitelor i sistemelor care n funcionare pot avea dou stri distincte a condus la
aplicarea n tehnic a perceptelor logicii bivalente. Algebra boolean cu dou valori constituie
fundamentul teoretic al circuitelor logice i comenzilor secveniale.
Logica este o categorie filosofic care se extinde n matematic i n teoria informaiei sub
forma algebrei binare (algebra boolean). Obiectul de studiu al acestei logici sunt propoziiile i
compunerile lor. n logica boolean propoziiile pot avea numai dou valori: adevrat (sau 1) i fals
(sau 0). Compunerea a dou sau mai multe propoziii logice se numete funcie logic i rezultatul
este fals (0) sau adevrat (1). Funciile logice se formeaz cu ajutorul a trei operatori cuprini n
mulimea operatorilor algebrei Boole M op={I, SAU, NU}.
Funcia logic I (conjuncia) se aplic ntre doi termeni A, B prin operaia A I B notat
AB. Ea este definit prin tabelul:
A B AB
0 0 0
0 1 0
1 0 0
1 1 1
A corespunde unui semnal de intrare I1, iar B corespunde unui semnal de intrare I2. Semnalul
de ieire ia valoarea 1 dac ambele semnale de intrare iau valoarea 1. Semnalul de ieire ia valoarea
0 dac cel puin unul din semnalele de intrare ia valoarea 0.
Funcia logic SAU (disjuncia) se aplic ntre doi termeni A i B, rezultatul fiind adevrat
dac cel puin unul dintre cei doi termeni este adevrat. Operaia A SAU B se mai noteaz A + B i
este definit prin tabelul:
A B A+B
0 0 0
0 1 1
1 0 1
1 1 1
Dac cel puin o variabil de intrare are valoarea 1, variabila de ieire ia valoarea 1. Dac
ambele variabile de intrare au valoarea 0, atunci variabila de ieire are valoarea 0.
Funcia logic NU (negaia) se aplic pentru un singur termen (propoziie sau funcie) creia i
schimb valoarea de adevr. Tabelul de adevr alocat funciei NU logic este:

A A
0 1
1 0
Semnalul de ieire ia valoarea 1 dac semnalul de intrare este 0. Dac semnalul de intrare este
1, atunci semnalul de ieire este 0.
Exist numeroase aplicaii n care algebra boolean poate fi folosit n sinteza sistemelor de
control combinaional i/sau secvenial. Funcionarea acestor circuite poate fi reprezentat cu
ajutorul ecuaiilor booleene.
Rezolvarea acestor ecuaii se realizeaz folosind axiome ale algebrei booleene. Unele dintre
acestea sunt similare celor din algebra obinuit. Exist ns i unele axiome care sunt specifice i,
deci, creeaz diferene subtile fa de algebra obinuit.

V.3.1. Circuitele logice realizate cu contacte de relee


Circuitele logice constituie modelul fizic al funciilor logice bivalente. Orice element fizic,
organ sau sistem care n funcionarea sa poate avea dou stri distincte sub aciunea unei comenzi
exterioare este capabil, n principiu, s materializeze variabile sau funcii booleene. n

33
Sisteme numerice de reglare

funcionarea lor, elementele capabile de o funcionare binar pot implica intervenia unor
fenomene de naturi diferite: mecanice, electrice, magnetice, electronice, pneumatice, criogenice etc.
Elementele fizice care stau la baza realizrii circuitelor logice se pot mpri n dou
categorii :
- elemente dinamice sau cu piese n micare;
- elemente statice sau fr piese n micare.
Prima categorie este definit n special de contactele releelor electromagnetice, dar se mai pot
aminti i alte elemente cum ar fi elementele pneumatice cu piese n micare. Dac strii unui
contact (nchis sau deschis) sau obturrii sau nu a unui orificiu prin care circul un fluid li se
asociaz valorile binare 0 sau 1, funcionarea lor permite materializarea variabilelor i funciilor
binare. Deoarece prin funcionarea elementelor dinamice se conecteaz sau se deconecteaz un
circuit, electric sau fluidic, se spune c aceste elemente realizeaz o logic de conexiuni.
Elementele din a doua categorie, datorit avantajelor pe care le prezint n raport cu primele, au
cptat o utilizare foarte mare n domeniul circuitelor logice. Din aceast clas fac parte:
dispozitivele electronice, elementele pneumatice fr piese n micare, materialele magnetice cu
ciclu de histerezis dreptunghiular, elemente optoelectronice (optroni) etc. Elementelor din aceast
categorie, care n funcionare se bazeaz pe fenomene electrice, li se asociaz cifrele binare 0 i 1
fie nivelelor de tensiune, fie semnalelor sub form de impulsuri. n cazul nivelelor de tensiune se
atribuie convenional valoarea 0 unui nivel de tensiune n jurul valorii de zero voli iar valoarea 1
altui nivel n valoare absolut distinct fa de primul. n cazul semnalelor sub form de impulsuri,
absenei impulsului i se atribuie cifra 0, iar prezenei acestuia cifra 1. Elementele statice care au ca
agent de lucru un fluid li se asociaz valorile 0 i 1 nivelelor de presiune cobort sau nul i
respectiv unor nivele de presiune distincte de primele. Se spune c elementele statice cu aciune
discret funcioneaz cu o logic de nivele.
Circuitele logice realizate cu contacte de relee au fost primele tipuri i mult timp au fost
singurele. Dei prezint multe dezavantaje n raport cu elementele statice (piese n micare,
durat redus de funcionare, vitez mic de comutare, gabarite mari, ntreinere periodic) aceste
elemente se utilizeaz nc suficient de mult datorit unei serii de avantaje (numr mare de contacte
acionate simultan, robustee la suprasarcini).
Cea mai utilizat convenie de ataare a cifrelor binare 0 i 1 celor dou stri distincte a
unor contacte de releu este urmtoarea: cnd nfurarea releului este alimentat contactelor
nchise li se atribuie cifra 1 iar celor deschise cifra 0; deci n stare de repaus contactelor normal
nchise (n..) cifra 0 iar contactelor normal deschise (n.d) cifra 1.
n Fig. V.5 sunt prezentate circuitele logice care materializeaz sistemul complet de funcii
I, SAU, NU. Evident, folosind circuitele prezentate se poate materializa orice funcie logic, deci
orice circuit logic n care nu intervine timpul, adic un circuit combinaional.

Fig. V.5. Circuite logice cu contact de relee


Folosirea releelor temporizate sau polarizate permite introducerea timpului n prelucrarea
logic, obinnd astfel posibilitatea realizrii cu contacte i a circuitelor secveniale.

34
Sisteme numerice de reglare

L L
S1 S1
14 14

D1 D1
K1 K1
R1 R1
C1 C1
R2 R2

13 13
L L
Fig.V.6. Relee de temporizare
a. la declanare b. la anclanare
n Fig. V.6.a este prezentat schema electric a releului de timp ce realizeaz temporizarea
ncetrii execuiei unei comenzi (la declanare). n momentul cnd se apas butonul S1, prin
intermediul diodei D1 este alimentat bobina K1 a releului electromagnetic care comand nchiderea
cii de curent 1314. Simultan, se ncarc condensatorul C1 conectat n paralel la bornele bobinei.
n momentul cnd se elibereaz butonul S1 i deci se ntrerupe alimentarea circuitului de
temporizare condensatorul C1 ncepe s se descarce prin bobina K1 i rezistenele R1 i R2, tensiunea
electric la bornele sale scznd exponenial. Bobina i menine starea de activare dup momentul
eliberrii butonului att timp ct tensiunea la bornele sale (identic cu cea a condensatorului) nu
scade sub valoarea pragului minim necesar funcionrii. Atingerea acestui prag minim la momentul
t1 conduce la deschiderea cii de curent 1314. Deoarece timpul de descrcare a condensatorului
prin circuit este direct proporional cu produsul C1 (R1 + R2 ) , temporizarea t1 poate fi reglat prin
varierea rezistenei R1. Dimensionnd corespunztor elementele din circuit se obin temporizatoare
cu diferite caracteristici de funcionare.
n cazul releului de timp cu temporizare la execuia comenzii (la anclanare) Fig. V.6.b, dioda
D1 este conectat invers n schem, astfel c la apsarea butonului S1 alimentarea condensatorului
C1 i a bobinei K1 se face lent, prin rezistena reglabil R1. Cnd S1 este eliberat, condensatorul se
descarc rapid prin dioda D1 i bobina neactivat deschide linia de curent 1314.
Un alt circuit necesar implementrii comenzilor secveniale este circuitul secvenial RS
(Reset-Set), cunoscut i sub denumirea de circuit cu automeninere. n fapt acest tip de circuit
implementeaz n tehnologia de contact funcia de memorare realizat de un bit de memorie, realizat
n tehnologie electronic.
n cazul circuitului RS din Fig.V.7.a, apsnd butonul S (Set), prin calea de curent 1 se nchide
circuitul de alimentare a bobinei releului K1 ceea ce determin comutarea contactelor K1 aflate n
liniile de curent 2 i 3. Dup apsare, butonul S poate fi eliberat imediat deoarece alimentarea
bobinei releului K1 se face prin calea de curent paralel 2, a crei nchidere o comand chiar bobina
releului. Deci releul i pstreaz starea de activare (Set) prin automeninere.

V. 7. Circuit secvenial tip RS


a. Reset dominant b. Set dominant

35
Sisteme numerice de reglare

Apsnd butonul R (Reset), aflat n aval de conexiunea ntre liniile de curent 1 i 2, alimentarea
bobinei releului K1 este ntrerupt, indiferent de starea butonului S (chiar dac acesta este apsat).
n acest caz, la concurena acionrii celor dou butoane, dominant este aciunea butonului R.
Diferena dintre circuitul din Fig.V.7.a. i circuitul din Fig.V.7.b const doar n poziia diferit
a butonului R, de tip n.. n circuitul din Fig.V.7.b. R este plasat pe linia de curent 2, deci n amonte
de conexiunile liniilor de curent 1 i 2. Se poate astfel constata c dac S rmne apsat atunci
apsarea butonului R nu are niciun efect. n acest caz, la concurena acionrii celor dou butoane,
dominant este aciunea butonului S.
Simplitatea deosebit n realizarea circuitelor logice cu contacte este umbrit de un mare
neajuns i anume c nu sunt unidirecionale, fapt ce permite realizarea unor legturi false. Deci,
la realizarea comenzilor cu astfel de elemente sunt necesare precauii pentru a evita legturile
false.

V.3.2. Circuitele logice realizate cu dispozitive semiconductoare


Posibilitatea dispozitivelor semiconductoare de a funciona n regim de comutaie, cu dou stri
stabile, le confer acestora proprietatea de element cu aciune discret apt de a materializa funcii
logice.
Dup cum s-a menionat, n cazul acestor elemente se folosete logica de nivele: unui nivel de
tensiune ridicat n valoarea absolut i se atribuie cifra binar 1 iar unui nivel cobort n valoare
absolut cifra 0. Nivelul nalt mai este notat cu litera H (high) i respectiv cel cobort cu L (low).
Neliniaritatea caracteristicii volt-amper permite diodelor semiconductoare o funcionare
discret n regim de comutare. Comutarea se realizeaz ntre regiunea de conducie direct i de
conducie invers.

+ + +
R D1 R
D1 I1
I1 O I2 O O
D2 I T
I2 R
D2
- - -

Fig. V.8. Circuite logice realizate cu elemente semiconductoare


n Fig. V.8 sunt prezentate circuitele logice care materializeaz sistemul complet de funcii I,
SAU, NU n tehnologie electronic.
Dac la intrrile I1 i I2 ale circuitului din Fig.V.8.a se aplic semnale nalte, diodele D1 i D2
fiind polarizate invers vor fi blocate i, n consecin, la ieire va fi un semnal nalt. Dac ns cel
puin la o intrare se aplic semnal cobort atunci dioda respectiv fiind polarizat direct va intra n
conducie iar la ieire semnalul va fi de asemenea cobort. Cu alte cuvinte, acest circuit
implementeaz funcia logic I.
n cazul circuitului electronic din Fig.V.8.b, se observ c dac cel puin la o intrare se aplic
un semnal ridicat atunci, dioda respectiv fiind polarizat direct, la ieire se va obine de asemenea
un semnal ridicat. Semnal cobort la ieirea circuitului se obine atunci cnd la ambele intrri ale
circuitului se aplic semnale coborte. n acest fel circuitul realizeaz funcia logic SAU.
Spre deosebire de circuitele electronice analizate anterior, circuitul electronic din Fig.V.8.c este
realizat cu un tranzistor bipolar, T. Posibilitatea de a lucra n regim de comutaie (blocat-saturat)
confer i tranzistoarelor proprietatea de element cu aciune discret, apt pentru a fi utilizat la
materializarea funciilor booleene. Avnd proprietatea de inversare a semnalului logic, cu ajutorul
lor se poate materializa funcia negaie NU. Astfel, aplicnd la intrarea I a circuitului semnalul logic
0 (nivel de tensiune apropiat de 0 voli) tranzistorul se blocheaz i la ieire se obine semnal logic 1
(nivel de tensiune apropiat de V+). Dac la intrare se aplic un semnal ridicat atunci tranzistorul se

36
Sisteme numerice de reglare

satureaz i la ieire se obine semnal logic 0 (nivel apropiat de 0 voli). Avnd n vedere
funcionarea descris, un astfel de circuit asigur complementarea semnalului aplicat la intrarea sa.
Combinnd circuitele cu diode de tip I i SAU cu circuitul cu tranzistor cu funcionare n
regim de comutaie se pot obine alte tipuri de funcii logice. n primul caz se obine funcia logic
I-NU (cunoscut i sub denumirea de NUMAI), n vreme ce n cel de-al doilea caz se obine
funcia logic SAU-NU (cunoscut i sub denumirea de NICI).
Indiferent de tehnologia utilizat pentru materializare, studiul funciilor booleene se face n
multe cazuri pe reprezentrile acestora. Exist o mare diversitate de reprezentri ale funciilor
booleene care pot fi grupate n reprezentri grafice (geometrice) i analitice. Reprezentrile din
prima categorie sunt intuitive i se folosesc pentru studiul funciilor booleene cu un numr redus de
argumente. Din aceast categorie fac parte reprezentrile prin tabel de adevr, diagramele Veitch
sau Karnaught, prin grafuri, scheme cu contacte, scheme logice sau cronograme (diagrame de
semnal). A doua categorie asigur o reprezentare prin expresii algebrice sau sub form de coduri.
Reprezentrile din aceast categorie permit studiul funciilor booleene cu un numr arbitrar de
argumente, cu posibilitatea utilizrii mijloacelor numerice de calcul.
Circuitele basculante bistabile clasice reprezint circuite secveniale asincrone elementare.
Aceste circuite pot fi considerate ca fiind formate din dou circuite NICI interconectate. Dac se
consider i intrrile de comand se obine schema structural din Fig.III.5.a.
R Q S Q

Q
S R

Fig.V.9. Circuit basculant bistabil tip RS


a. schema structural b. simbol
Este cunoscut faptul c cele dou ieiri ale circuitului basculant bistabil sunt ntotdeauna
complementare iar comutarea se face prin aplicarea succesiv a semnalelor de comand la cte una
din intrri. Un astfel de bistabil este cunoscut i sub denumirea de trigger RS. Deoarece dup
aplicarea unui semnal pe una din intrri repetarea comenzii pe aceeai intrare nu i afecteaz starea,
acest circuit se mai numete i trigger cu zvorre (latch). Se observ ns c la aplicarea simultan
a comenzii R=S=1 ieirea circuitului se reseteaz, ceea ce nseamn c semnalul R este dominant.
Un astfel de circuit poate fi utilizat n calitate de celul de memorie binar (memorarea
informaiei de 1 bit) n circuitele secveniale complexe. n Fig. V.9.b se prezint simbolul unei
astfel de memorii elementare de 1 bit.

V.4. Sinteza structural a automatelor de stare de tip Moore


Anterior s-a arta c modelarea sistemelor secveniale poate fi realizat ntr-un mod similar
modelrii sistemelor dinamice, utiliznd conceptele de funcii caracteristici ale sistemului (funcia
de tranziie a strii i, respectiv, funcia de ieire). Evoluia automatului cu stri finite poate fi
modelat utiliznd un graf orientat (diagrama de stare a automatului) care permite evidenierea
strilor procesului secvenial (modurile distincte de funcionare) i a celor dou funcii
caracteristice.
O astfel de modelare poate fi realizat din perspectiva procesului secvenial controlat sau din
cea a sistemului secvenial de control. n cazul automatizrilor industriale intereseaz n mod
deosebit modelarea sistemului secvenial de control care, odat implementat, asigur evoluia
procesului secvenial controlat (automatizat) dup un anumit protocol de funcionare, impus de
caietul de sarcini ntocmit de tehnolog.
Sistemul secvenial de control se constituie ntr-un sistem reactiv, ale crui reacii (mrimi de
ieire) sunt determinate fie de evoluia sistemului controlat fie de comenzile elaborate de operatorul
tehnolog prin intermediul dispozitivelor de intrare avute la ndemn (butoane, chei, manete etc).

37
Sisteme numerice de reglare

Aceste semnale de natur logic, mpreun cu cele provenite de la traductoarele binare ale
procesului controlat, vor influena evoluia ulterioar a sistemului secvenial de control i, indirect,
comenzile de natur logic ce vor fi elaborate ctre elementele de execuie binare ale procesului
controlat.
Dac n urma etapei de sintez abstract, problema de automatizare poate fi precizat cu
ajutorul unei diagrame de stri (cu numr minim de stri) i a funciilor de tranziie i de ieire
atunci se poate trece la ultima etap, cea de sintez structural. Problematica sintezei structurale va
fi prezentat considernd un exemplu de sistem secvenial de control generic avnd trei stri de
funcionare. Generalizarea este imediat.
Fie un sistem secvenial cu trei stri stabile x1, x2 i x3, unde x1 este starea iniial (starea n
care trebuie s se afle automatul secvenial de control la punerea sub tensiune).
Condiiile tehnologice de funcionare impun ca n starea x1 s fie comandat elementul de
execuie y1, n starea x2 s fie comandat elementul de execuie y2 iar n starea x3 s fie comandat
elementul de execuie y3.
Tranziia din starea x1 n starea x2 este determinat numai de condiia logic c1, tranziia din
starea x2 n starea x3 numai de condiia logic c2, respectiv tranziia din starea x3 n starea x1
numai de condiia logic c3.
Iniierea fiecrui ciclu de funcionare se realizeaz de ctre operatorul tehnolog prin
intermediul butonului START, situat pe panoul operator (consol). Valoarea extrem de prag
(maxim sau minim) a mrimii fizice controlate n starea x2 este sesizat de senzorul binar s2 iar
cea a mrimii controlate n starea x3 este detectat de senzorul binar s3.
n baza caietului de sarcini precizat mai sus se poate ntocmi urmtoarea diagram de stri,
specific acestui tip de automat secvenial de control de tip Moore.
y1 c1
y2
x1 x2
Power on

c2
c3

x3

y3
Fig.V.10. Diagrama de stri a automatului secvenial de control
Condiiile de tranziie ntre stri (funcia de tranziie) au expresiile:
c1=x1START; c2=x2s2; c3=x3s3
n aceast situaie, funciile de rspuns (de ieire), dependente numai de strile automatului de
comand, au expresiile:
y1=x1; y2=x2; y3=x3
Este evident c la un moment dat automatul secvenial se va afla ntr-o stare de funcionare {x1,
x2, x3} i numai una. Tehnic, gestionarea i evidenierea strii curente de funcionare se realizeaz
cu ajutorul a cte unui bit de memorie asociat fiecrei stri. Cu alte cuvinte, trebuie utilizat un
numr de bii egal cu numrul strilor automatului secvenial de control. Bitul asociat va fi setat n
momentul cnd starea corespunztoare devine activ i, respectiv, resetat (ters) atunci cnd starea
corespunztoare devine inactiv. Sinteza structural a automatului de control trebuie s
implementeze i acest mecanism de evideniere a strii curente de funcionare. Suplimentar, pentru
iniializarea automatului de control n starea iniial de pornire (n acest caz x1) trebuie implementat
un mecanism (semi)automat de iniializare.
Avnd n vedere aceast strategie de implementare a funciei de tranziie (trecere), metoda de
sintez structural bazat pe diagrama de stri mai este cunoscut i sub denumirea de metoda
biilor secveniali sau metoda comutaiei secveniale.

38
Sisteme numerice de reglare

Trebuie subliniat faptul c spre deosebire de etapa de sintez abstract, care este independent
de tehnologia utilizat, etapa de sintez structural depinde de tehnologia utilizat (circuite logice
realizate cu relee de contact, circuite logice realizate cu semiconductoare, automate de stare
programabile).

V.4.1. Sinteza structural a automatelor de stare folosind tehnologia logicii de contact


n cazul implementrii funciilor booleene prin logic de contact, bitul elementar de memorie
(bistabilul de tip RS) se implementeaz cu ajutorul circuitului (releului) cu automeninere cu R
dominant. Automatul secvenial de comand avnd trei stri de funcionare va trebui s utilizeze trei
astfel de circuite.
I. Activarea releului cu automeninere asociat strii destinaie se va realiza de ctre condiia de
tranziie spre acea stare, adic
- s-a produs un eveniment (senzor activat, aciune operator, temporizare ncheiat, etc)
- releul strii anterioare s fi fost activat.
II. Dup activarea releului strii destinaie (care n acest fel a devenit noua stare curent), acesta
trebuie s dezactiveze (s reseteze) releul anterior (s inhibe mecanismul de automeninere).

Fig.V.11. Sinteza structural a automatului folosind tehnologia logicii de contact


Se impune deci ca fiecare astfel de releu s aib cel puin un contact principal pentru
materializarea funciei de ieire i cel puin trei contacte auxiliare: unul necesar mecanismului de
automeninere de tip n.d., unul pentru evaluarea condiiei de tranziie spre urmtoarea stare
destinaie de tip n.d. iar cel de-al treilea, de tip n., pentru dezactivarea releului anterior.
Mecanismul de evoluie a automatului secvenial de control descris mai sus funcioneaz numai
dac la un moment dat exist un releu setat i numai unul. ns fr msuri suplimentare, la punerea
sub tensiune, toate releele cu automeninere sunt resetate. De aceea, pentru forarea automat a strii
iniiale numai la punerea sub tensiune se mai utilizeaz un releu cu automeninere suplimentar,
Kinit, ntr-o configuraie special (Fig.V.11).

V.4.2. Sinteza structural a automatelor de stare folosind tehnologia electronic de tip cablat
n cazul implementrii funciilor booleene prin tehnologie electronic, bitul elementar de
memorie (bistabilul de tip RS) se implementeaz cu ajutorul circuitului electronic, al crui simbol a
fost prezentat n Fig.V.9.b. Din nou, automatul secvenial de comand avnd trei stri de
funcionare va trebui s utilizeze trei astfel de circuite (Fig.V.12).

39
Sisteme numerice de reglare

y1 y2 y3

R
INIT
S Q Q
S
S Q
C
R
R
R
x1 x2
Power-on Reset x3

START s2 s3

Fig.V.12. Sinteza structural a automatului folosind tehnologia electronic de tip cablat


Condiiile de tranziie (funcia de tranziie a automatului de stare) sunt evaluate cu ajutorul unor
pori logice de tip I. Dac n urma evalurii, una din condiii este ndeplinit atunci bistabilul din
avalul fluxului informaional este setat, iar bascularea acestuia n starea 1 determin, prin reacia
invers, resetarea bistabilului din amonte. Funcia de ieire, dependent numai de starea curent a
automatului, se implementeaz folosind ieirile bistabilelor alocai strilor.
Spre deosebire de implementarea automatului de stare prin tehnologia logicii de contact, cnd
la punerea sub tensiune fr mecanisme specifice toate bistabilele se poziioneaz pe zero, n cazul
utilizrii tehnologiei electronice, statistic, 50% din bistabile se pot poziiona pe zero, iar celelalte pe
1. ntr-o asemenea situaie automatul de stare este nefuncional. De aceea, i n aceast situaie se
impune aducerea automatului de stare n starea iniial, x1, adic setarea bistabilului asociat acestea
i resetarea celorlalte. n mod practic acest lucru se poate realiza automat folosind un circuit
electronic standard, de tip Power-on Reset, care poate furniza pentru o perioad scurt de timp un
impuls. Acest impuls poate fi utilizat pentru poziionarea adecvat a bistabilelor.

V.5. Sisteme de control industriale de tip PLC


Elementul central n cadrul unui sistem secvenial automatizat l constituie sistemul de control.
Aceast structur poate fi realizat cu logic de contact (relee), pori logice integrate, sau automate
programabile. n cazul controlului aplicaiilor industriale, cea mai larg utilizare o au sistemele de
control electronic de tip PLC (Programmable Logic Controller - Controler Logic Programabil),
care, pe baza unor programe elaborate de utilizator, comand procesul, vizualizeaz starea acestuia,
semnalizeaz anumite defeciuni, comunic ntre ele sau cu alte structuri de control prin reele
standardizate.
Scurt istoric. La sfritul anilor 60 Compania General Motors (prin Divizia Hydramatic -
1968) a constatat c automatizarea liniilor de fabricaie a unui model de autovehicul realizat cu
ajutorul logicii de contact este nereutilizabil i neflexibil; schimbarea modelului de fabricaie
impunea recablarea ntregii linii de automatizare.
Suplimentar, depanarea i ntreinerea acestor structuri logice de control era destul de dificil
iar consumul de energie era mare.

Fig.V.13. Automat de stare implementat n logic de contact

40
Sisteme numerice de reglare

De aceea, ea a elaborat specificaiile de proiectare pentru un calculator industrial care urma s


nlocuiasc controlul pe baz de relee din industria de maini. Noul sistem de control trebuia s
ndeplineasc urmtoarele cerine:
s ofere o modalitate simpl de programare a aplicaiilor;
s poat fi utilizat n mediile industriale (variaii de temperatur, tensiuni de alimentare
variabile);
modificrile de program s nu presupun modificri structurale;
s aib dimensiuni reduse, siguran n funcionare, robustee;
costurile de ntreinere s fie reduse.
Dou companii independente au rspuns cerinelor General Motors: Bedford Associates (astzi
Modicon) i Allen Bradley (astzi Rockwell Automation). Primele asemenea sisteme erau alctuite
dintr-o unitate central de prelucrare (CPU - Central Processing Unit) conectat la proces prin 4
module de cmp: intrri i ieiri logice (binare) de curent continuu i de curent alternativ (Fig.V.14).

Fig.V.14. Automat de stare implementat n logic programat


Numele dat acestor sisteme a fost iniial Programable Controllers, cu abrevierea PC. Odat cu
apariia calculatoarelor de tip Personal Computer (PC) i datorit dezvoltrii fulminante a acestora,
pentru a evita confuziile ntre cele dou abrevieri s-a procedat la referirea acestor sisteme de control
industrial prin acronimul PLC (Programmable Logic Controller), marc nregistrat Allen Bradley
pentru aceast gam de produse. n literatura tehnic romn termenul consacrat pentru aceste
sisteme de control este automat programabil (AP).
Iniial, sarcina acestor controlere a fost s identifice starea logic a unor semnale de intrare i,
n funcie de valoarea de adevr a unei expresii logice (construite pe baza semnalelor de intrare), s
comande ieirile corespunztoare.
Treptat, capacitatea de prelucrare s-a dezvoltat prin asimilarea funciilor temporizatoarelor,
numrtoarelor, executarea operaiilor matematice, prelucrarea semnalelor analogice (msurarea
forelor, deplasrilor). Evoluia tehnologiei a extins prelucrarea semnalelor binare la semnalele
analogice, devenind posibil implementarea controlului n bucl nchis.
Aadar evoluia n timp a PLC-urilor a fcut posibil prelucrarea i a semnalelor analogice,
vizualizarea strii proceselor, comunicarea prin reele standardizate (CAN, PROFIBUS), accesarea
aplicaiilor de la distan etc. Acest nivel de prelucrare a informaiei nu se mai regsete n
denumirea controlerului (Controler Logic Programabil) ns denumirea se pstreaz n
continuare: PLC.
Termenul de PLC este definit prin IEC-1131 (International Electrotechnical Commission): ,,Un
sistem electronic (digital) de operare, proiectat pentru utilizarea n mediul industrial, care folosete
o memorie programabil pentru memorarea intern a instruciunilor necesare implementrii unor
funcii specifice (logice, secveniale, temporizare, numrare, calcul matematic), pentru a controla
prin intrrile i ieirile digitale i analogice diferite tipuri de maini sau procese.
Aadar, un PLC este un calculator proiectat pentru realizarea funciilor de control al
proceselor, adic un calculator de proces!

41
Sisteme numerice de reglare

Structura hardware de principiu a unui PLC este prezentat n Fig. V.16. Se evideniaz astfel
trei elemente importante ale acesteia: unitatea central de prelucrare, memoria i interfeele de
intrare/ieire.

Fig.V.16. Schema bloc a unui PLC


PLC-ul este un echipament electronic de automatizare care poate conduce un proces numai
dup efectuarea legturilor cu senzorii i cu elementele de execuie, urmat de nscrierea
programului de lucru n memoria automatului. Legtura dintre PLC i procesul condus se asigur
prin intermediul interfeelor de intrare/ieire (module de intrare/ieire). Fiecare senzor trebuie
conectat la o interfa de intrare a automatului i fiecare element de execuie trebuie conectat la o
interfa de ieire a automatului.
Programul de lucru al PLC-ului se scrie ntr-un limbaj de programare adecvat, cu ajutorul unui
dispozitiv de programare (ex. PC). Programul se memoreaz n memoria program a automatului iar
execuia programului este controlat de procesorul unitii centrale. Faptul c memoria automatului
poate fi tears i apoi ncrcat cu un nou program ori de cte ori se dorete sau c programul din
memorie poate fi modificat dup dorin ofer PLC-ului flexibilitatea n utilizare, dorit i impus
n specificaiile iniiale de proiectare de ctre Compania General Motors. Acest aspect face chiar
demarcaia clar ntre automatele de stare programate prin legturi fizice (cablaje de relee) i
automatele programate prin programe software.
PLC-ul este conceput totodat pentru funcionarea n mediu industrial, adic:
este insensibil la perturbaii electromagnetice:
poate funciona ntr-un domeniu larg de temperatur i de umiditate;
este compatibil direct cu procesul prin utilizarea unor semnale la tensiuni industriale etc.
Unitatea central de prelucrare (CPU) reprezint creierul automatului programabil i are
rolul de a pune n legtur interfaa de intrare, memoria de date i interfaa de ieire, pe baza
programului descrcat de utilizator n memoria program. Unitatea central interpreteaz
instruciunile din memoria program, pe baza crora efectueaz evaluri logice, calcule aritmetice,
prelucrri numerice ale variabilelor de intrare i furnizeaz un rezultat memoriei de date sau
interfeei de ieire.
Memoria PLC-urilor este alctuit din circuite electronice integrate care sunt capabile s
nregistreze informaii sub form binar (0 logic sau 1 logic). Informaia elementar este bit-ul,
denumire care provine de la binary digit din limba englez. Din punctul de vedere al modului de
stocare a informaiei nscrise n memorie se deosebesc dou tipuri principale de memorii: memorii
volatile i memorii nevolatile. Ambele tipuri de memorii se utilizeaz n PLC-uri.
Memoria PLC-ului este organizat n:
memoria program
memoria de date
Memoria program joac un rol important n funcionarea PLC-ului deoarece reprezint locul n
care se nscrie programul de lucru al aplicaiei sub forma unei liste de instruciuni. Ea pstreaz
programul realizat i ncrcat de utilizator prin intermediul calculatorului sau al consolei de
programare. Procesorul citete din memorie instruciunile programului i le execut. Memoria
program este nevolatil (de tip flash sau EEPROM), adic menine memorat programul i dup

42
Sisteme numerice de reglare

dispariia tensiunii de alimentare.


Memoria de date (sau memoria de lucru) reprezint zona volatil a memoriei automatului
programabil. Pe acest suport electronic sunt memorate rezultatele operaiilor efectuate de unitatea
central sau valorile introduse de operator de la un terminal extern (consol de programare, terminal
programabil) i care sunt apoi prelucrate de ctre unitatea central. Aceast zon de memorie poate
fi asimilat memoriei RAM de la calculatoarele personale (PC-uri). Majoritatea automatelor
programabile sunt prevzute cu baterie pentru a pstra coninutul unor zone din memoria de date i
dup dispariia tensiunii de alimentare.
Module (interfee) de intrare/ieire. Comunicarea unui PLC cu procesul controlat se realizeaz
prin intermediul canalelor de intrare i ieire (intrri, ieiri). Intrrile i ieirile unui PLC sunt
necesare pentru monitorizarea i controlul procesului i pot s fie de dou tipuri: logice sau
analogice. Intrrile provin de la senzorii care convertesc mrimile fizice n semnale electrice.
Ieirile spre elementele de acionare permit PLC-urilor s genereze o anumit aciune n proces.
Ansamblul modulelor electronice de intrare amplasate ntre porturile de intrare ale automatului i
magistrala intern constituie interfaa de intrare. Interfaa de intrare este prevzut cu un anumit
numr de canale de intrri logice sau analogice. Fiecrei intrri i se aloc o adres. Modulele
electronice amplasate ntre magistrala intern i porturile de ieire ale automatului constituie
interfaa de ieire. Ele amplific n putere semnale de la CPU n semnale de comand n scopul
acionrii diferitelor echipamente prin intermediul elementelor de execuie binare. Modulele de
ieire sunt adesea construite pe baz de relee ns pot s includ i tranzistoare pentru ieirile n
curent continuu sau triace pentru ieirile n curent alternativ. Interfaa de ieire este prevzut cu un
anumit numr de canale de ieiri logice sau analogice. Fiecrei ieiri i se aloca cte o adres.
Pentru extinderea capacitii de prelucrare, PLC-urile contemporane au incluse i funciile de
numrare i temporizare, funcii implementate cu ajutorul unor numrtoare i temporizatoare
virtuale, emulate prin tehnici software.
Implementarea tehnologic a automatelor programabile se poate realiza fie n structuri
monobloc (Fig.V.17.a) fie n structuri modulare (Fig.V.17.b). n structurile monobloc intrrile i
ieirile sunt integrate n aceeai unitate. Pe de alt parte structurile modulare permit montarea
suplimentar a unor module prin care se lrgete setul (numrul) de semnale prelucrate sau care
mbogesc gama funciilor: module de intrare/ieire digitale i/sau analogice, module de
poziionare, module de comunicaie etc.

Fig.V.17. PLC-uri : a) structur monobloc; b) structur modular.

Programarea automatelor programabile


Proiectate ca nlocuitoare ale releelor, limbajul de programare conceput a fost inspirat din
diagramele aplicaiilor conduse prin relee, adresat electricienilor i numit ca atare: Ladder Diagram
(diagrame tip scar marinreasc). Aceast decizie a fost una strategic pentru a nu impune o
recalificare profund a personalului disponibil (Fig.V.15).
Ladder Diagram (LADDER) este un limbaj de programare grafic. n cea mai simpl form a sa,
un program LADDER este asemntor cu imaginea unei diagrame de circuit electric de tip
american. Aceasta este similar cu diagrama de circuit electric german (standard DIN) dar se
citete de la stnga la dreapta.

43
Sisteme numerice de reglare

Fig.V.15. Transpunerea unui program convenional ntr-un program LADDER

Structura unui program Ladder


Elementele principale din Ladder Diagram (LDR) sunt contactele (contacts) i bobinele de
releu (coils), n diferite forme i care au diferite semnificaii (Fig.V.16).

a. b.
Fig.V.16. Elementele fundamentale pentru transpunerea logicii de contact (conexiuni)
n diagram Ladder
a. contacte b. bobin de releu
Ele sunt legate ntr-o secven logic cu ajutorul unor trasee grafice numite rungs (ramuri
principale) i branches (ramuri paralele) care sunt similare cu conductoarele de legtur din
circuitele electrice convenionale. O ramur principal (rung) poate fi mprit ntr-o parte
condiional i o parte de execuie, Fig.V.17.

Parte condiional Parte de execuie

Fig.V.17. Partea condiional i partea de execuie a unei ramuri principale


n partea condiional se evalueaz o funcie logic ca fiind adevrat sau fals (1 sau 0),
funcie definit de utilizator cu ajutorul contactelor. Bobina reprezint partea executiv iar aciunile
descrise de coninutul ei se declaneaz atunci cnd partea condiional a fost evaluat ca adevrat,
adic 1 logic. Funcionarea unei ramuri principale este identic cu cea a unui circuit electric de
comand; sarcina electric (releul) este reprezentat de partea executiv a ramurii LDR. Partea
condiional este analog contactelor electrice serie sau paralel.
Operanzii LDR sunt identificatori ai resurselor sistemului: intrri, ieiri, temporizatoare,
numrtoare, etc. n cadrul unui program, utilizarea acestor operanzi este singurul mod de accesare
a resurselor pe care le reprezint. n funcie de dimensiunea lor exist dou tipuri de operanzi:
operanzi pe un singur bit (logici);
operanzi pe mai muli bii - 8, 16 sau 32 de bii.

Execuia programelor
Unitatea central de prelucrare a PLC-ului execut n mod ciclic programul introdus de
utilizator. Prelucrarea ciclic presupune parcurgerea programului ,,linie cu linie i, dup
prelucrarea ultimei instruciuni, reluarea prelucrrii de la nceput (Fig.V.18). n cadrul acestei
prelucrri unitatea central citete starea curent a semnalelor de intrare, efectueaz prelucrrile
logice i/sau analogice stabilite prin program i actualizeaz corespunztor starea semnalelor de
ieire. Rularea ciclic a programului va continua pn la comanda de oprire.

44
Sisteme numerice de reglare

Fig.V.18. Modul de funcionare a unui PLC


nainte de ncrcarea programului LDR n PLC, programul este translatat n cod main cu
ajutorul unui program special numit compilator. Instruciunile cuprinse n ramuri sunt translatate
consecutiv, conform numerotrii i a ordinii apariiei n program. Cnd se pornete automatul (cu
ntreruptorul RUN/STOP sau prin alimentare cu tensiune) instruciunile se execut de la prima
linie de program.
De obicei, automatele programabile folosesc o zon de memorie numit imagine de proces
(process image). Funcionarea PLC-urilor cu memorie de imagine de proces este urmtoarea:
nainte de intrarea n ciclul unui program, n aceast memorie se ncarc valoarea logic a
semnalelor fizice de intrare;
pe parcursul rulrii unui ciclu, valorile intrrilor sau ieirilor folosite n program sunt cele din
memoria imaginii de proces (chiar dac pe parcursul ciclului unele intrri se pot schimba). Imaginea
de proces este actualizat cu comenzi de setare sau resetare a ieirilor;
la terminarea ciclului, ieirile fizice sunt actualizate corespunztor valorilor din imaginea de
proces. Dup aceasta ciclul se repet.
De multe ori se impune ca la punerea sub tensiune a PLC-ului s se execute o singur dat o
secven de iniializare a unor variabile determinante n funcionarea corect ulterioar a
programului de control (Fig.V.19).

Fig.V.19. Program cu cerine de iniializare variabile


Pentru condiionarea execuiei singulare a secvenei de iniializare se poate utiliza indicatorul
de nceput de program (IF Initialization Flag), gestionat de sistemul de operare al automatului
programabil. IF are valoarea 1 logic pentru primul ciclu al programului. ncepnd cu al doilea ciclu
i pn la oprirea automatului, operandul IF va avea valoarea 0 logic. Cu ajutorul operandului IF
este posibil aducerea oricrui operand n starea iniial dorit la nceputul programului.

45
Sisteme numerice de reglare

n Fig.V.20, setarea n 1 logic a ieirii O0.1 se face doar pentru primul ciclu al programului.
Setarea n 1 logic a ieirii O0.2 se face ncepnd de la al doilea ciclu al programului i pn la
oprirea acestuia deoarece negaia lui IF are valoarea 0 logic n primul ciclu iar n rest are valoarea
1 logic. O0.1
IF

IF O0.2

Fig.V.20. Utilizarea operandului binar special, IF (Initialization Flag )


Observaie: Contactele sau bobinele pot fi reprezentate i prin casete de instruciuni. De regul,
ns, o caset de instruciuni se folosete pentru funcii care sunt imposibil de reprezentat grafic n
LDR (de exemplu operaia aritmetic de adunare a dou numere sau reprezentarea unui
temporizator/numrtor).

Tipuri de instruciuni
Instruciunile folosite n diagramele LDR se mpart n:
instruciuni pe bit
instruciuni de utilizare a temporizatoarelor/numrtoarelor
instruciuni de comparare
instruciuni matematice
instruciuni de control execuie program
instruciuni logice i de deplasare
instruciuni pentru lucrul cu fiiere
instruciuni de shiftare
instruciuni de secveniere
instruciuni de I/O i ntreruperi
blocuri funcionale

46
Sisteme numerice de reglare

VI. Analiza i modelarea semnalelor numerice

Dup cum s-a vzut, un sistem numeric de reglare reprezint o structur hibrid din punct de
vedere al semnalelor vehiculate i prelucrate: partea fixat (procesul condus) reprezint un
subsistem continuu, pe cnd algoritmul de reglare este implementat pe un subsistem numeric.
Utilizarea unui echipament numeric permite folosirea mai eficient a semnalelor informaionale n
cadrul sistemului. Aa cum demonstreaz teoria informaiei, pentru o frecven de eantionare prea
mare i sistemele automate continue reprezint cazul limit cnd aceast frecven este infinit
se transmite o cantitate de informaie mai mare dect cea necesar sau justificat de caracteristicile
dinamice ale sistemului care conine i elemente cu lrgime de band mic. n acelai timp ns s-ar
prea c prin eantionare se pierde o parte din informaia coninut n semnalul continuu. De aceea
se impune un studiu privind alegerea judicioas a frecvenei de eantionare astfel nct s se poat
asigura semnalului eantionat o cantitate de informaie suficient pentru descrierea exact a mrimii
continue din care a provenit.

VI.1. Analiza matematic a procesului de eantionare ideal a semnalelor continue


Procesul de eantionare reprezint conceptul fundamental al teoriei sistemelor discrete.
Matematic, semnalul constituit din impulsuri purttoare de informaie poate fi reprezentat printr-o
operaie de eantionare ideal, descris n mod adecvat, cu ajutorul unui element fictiv numit
eantionor sau comutator de eantionare, Fig.VI.1.
T(t)
x(t) x*(t)
Te t
.
t t
Fig.VI.1. Eantionarea ideal a unui semnal
Pentru modelarea matematic a semnalului astfel eantionat se utilizeaz distribuia Dirac (o
funcie generalizat), caracterizat prin:
, t = 0
( t ) = (1)
0, t 0
Dup cum se cunoate, distribuia (impulsul) Dirac satisface condiia

(t)(t)dt = (0)

(2)

unde (t) se numete funcie test.


Pentru cazul particular (t)=1 se obine relaia

(t)dt = 1

(3)

n acest fel, conform relaiei (1), un impuls Dirac este caracterizat prin amplitudine infinit i
durat nul, iar conform relaiei (3), prin arie unitar. Un astfel de impuls, de arie unitar, se
reprezint grafic prin intermediul unei sgei.
Un tren de impulsuri Dirac, decalate temporal prin intervale egale cu perioada de eantionare
Te, poate fi modelat sub forma:

T (t ) = (t nT )
n =
e (4)

Astfel, un semnal eantionat, x*(t), poate fi exprimat cu ajutorul produsului scalar



x * (t ) = x (t ) T (t ) = x (t ) (t nT )
n =
e (5)

47
Sisteme numerice de reglare

Observaii:
1. ntruct, de regul, se consider x(t)=0 pentru t<0, relaiile (4)-(5) au expresiile:

T (t ) = (t nT )
n =0
e (6)

x* (t ) = x(t ) T (t ) = x(t ) (t nT )
n =0
e (7)

2. Deoarece pentru un impuls Dirac localizat la momentul t= relaia (2) devine:


(t )(t)dt = ()

(8)

atunci valoarea semnalului x*(t) este dat, la momentele de eantionare, de suprafaa impulsului
respectiv

x* (t) = x(t)
n =0
( t nTe ) = x(nT )(t nT )
n =0
e e (9)

3. Semnalele discrete n timp pot fi reprezentate fie ca o funcie de timp cu ajutorul secvenei de
impulsuri (9) fie sub forma unui ir de valori de tipul
x (nTe ) = {x (0Te ), x (1Te ), x (2Te ) L} (10)

Prin urmare, cnd semnalul de intrare n dispozitivul de eantionare este o funcie continu de
timp (semnal analogic), semnalul de ieire al dispozitivului (semnalul eantionat) este un tren de
impulsuri a crui nfurtoare este identic cu semnalul de intrare n cursul perioadelor de
eantionare (Fig.VI.1).
S analizm n continuare, cu ajutorul transformatei Fourier, compoziia spectral a semnalului
eantionat obinut prin acest tip de modulare.
Transformata Fourier reprezint o transformare integral liniar din domeniul timp n domeniul
frecven, definit matematic ca fiind:
+
X( j) = F{x ( t )} = x ( t )e
j t
dt = X( j) e j (11)

unde x(t) este semnalul de energie finit (funcie real), iar X(j) este spectrul su (funcie
complex, al crei modul |X(j)| reprezint spectrul de amplitudini, sau coninutul n armonici, i al
crei argument reprezint spectrul de faz). Trecerea din domeniul frecven n domeniul timp se
face cu ajutorul transformatei Fourier invers:
+
1
x ( t ) = F {X( j)} = X( j)e
1 j t
d (12)
2

n general, semnalul analogic, x(t), este de band limitat, adic X( j ) = 0 pentru ||m
(Fig.VI.2).
X ( j)

m m
Fig.VI.2. Spectrul de amplitudine al semnalului analogic
Transformata Fourier a semnalului eantionat are expresia
+ +
*
{
X ( j) = F x ( t ) = *
} x ( t )e
* j t
dt = (x(t) T (t ) )e jt dt (13)

48
Sisteme numerice de reglare

Observaie
Datorit discontinuitilor prezente n semnalul eantionat, x*(t), ne ateptm s gsim
componente de pulsaii mult mai ridicate n spectrul de amplitudine X * ( j) dect n X( j) .
Orice funcie periodic, f(t)=f(t+T), poate fi descompus ntr-o serie complex Fourier sub
forma:

f (t ) = c e
n =
n
jn0 t
(14)

unde:
T
2 1
0 =
T
; cn =
T
f ( t )e jn0 t dt
0
(15)

Deoarece trenul de impulsuri Dirac, T(t), este o funcie periodic, de perioad Te, descris pe o
perioad sub forma:
( t ), t = 0
T (t ) = (16)
0, t (0, Te )
relaiile (15) devin:
Te
2 1 1
( t )e
jn e t
e = ; cn = dt = (17)
Te Te 0
Te
Observaie
e reprezint pulsaia impulsurilor T(t), i este practic pulsaia (frecvena) de eantionare.
Particulariznd relaia (14) pentru coeficienii Fourier determinai, cn, se obine urmtoarea
descompunere n serie Fourier a trenului de impulsuri Dirac:

1 1
T (t ) = Te e jn t = Te e jn t
e e
(18)
n = n =
Prin substituirea expresiei (18) n relaia (13), transformata Fourier a semnalului eantionat
devine:
+
{ } x(t) T1 e j t

X * ( j) = F x * ( t ) = jne t e dt = 1 x ( t )e j(ne ) t dt = 1
X( j jne ) (19)
Te Te
e n = n = n =

Datorit discontinuitii coeficienilor Fourier, cn, se poate scrie:



1
X * ( j ) =
Te
X( j jne ) (20)
n =

Relaia (20) arat c spectrul de amplitudine al semnalului eantionat |X*(j)| const n repetri
periodice (de ne) ale spectrului semnalului original, |X(j)|, ponderat cu 1/Te, (Fig.VI.3),
consecin n domeniul frecven a eantionrii n timp. Acestea se numesc spectre secundare.

1
e > 2 m X * ( j) =
Te
X( j jn e
n =

2 e e m 0 m e 2 e

Fig. VI.3. Spectrul de amplitudine al semnalului eantionat pentru e> 2m


O interpretare a procesului de eantionare i a consecinelor acestuia este urmtoarea:
eantionarea n timp elimin informaia cuprins ntre eantioane, ceea ce determin n domeniul
frecven o separare a axei n fii de lime e, care sunt apoi suprapuse, iar componentele
spectrale sunt nsumate pentru a forma spectrul X*(j). Aceast operaie distructiv se numete
aliere (aliasing) iar setul {ne} cu n ntreg, este numit setul de alieri ale lui .

49
Sisteme numerice de reglare

Teorema de eantionare. Teorema de eantionare, ce aparine lui Shannon, stabilete condiiile n


care un semnal x(t), ce ia valorile x(nTe) n momentele de eantionare, multipli ai intervalului Te,
determin complet semnalul continuu. Astfel, dac un semnal este limitat n band, adic |X(j)|=0
pentru ||m, atunci el poate fi reconstituit din valorile sale eantionate (i necuantificate) x(nTe),
dac frecvena de eantionare este cel puin dubl fa de frecvena maxim din spectrul semnalului
(e >2m). Frecvena N=e/2 se numete frecvena Nyquist.
Pentru a reface semnalul iniial este necesar s se poat elimina spectrele secundare de forma:
+
X( j jne ) cu nZ-{0} (21)
n =
Nerespectarea teoremei eantionrii conduce la fenomenul de aliere (suprapunere), prin care n
semnalul reconstituit apar componente inexistente n semnalul original (Fig.VI.4).
1
e < 2 m X * ( j) =
Te
X( j jn e
n =

4 e 3 e 2 e e m 0 m e 2 e 3 e 4 e
Fig. VI.4. Spectrul de amplitudine al semnalului eantionat pentru 0< 2m
De aceea, frecvena de eantionare trebuie aleas n funcie de spectrul semnalului eantionat.
n situaiile reale apar unele limitri determinate de presupunerea c |X(j)|=0 pentru ||m
poate s nu fie adevrat. Dac semnalul nu este limitat n band, ns intereseaz numai acea parte
a semnalului care este ntr-un domeniu 0m atunci este necesar folosirea unui filtru antialiere
(antialiasing) pentru eliminarea spectrului semnalului ce depete m. De asemenea, dac perioada
de eantionare nu poate fi micorat din motive tehnologice, se poate recurge la limitarea benzii
semnalului de intrare pn la o valoare acceptabil din punct de vedere al teoremei eantionrii.
Filtrul antialias, cu rol de limitare a benzii, se plaseaz chiar la intrarea circuitului de
eantionare, frecvena sa de tiere putnd fi cu att mai apropiat de frecvena Nyquist, cu ct
ordinul filtrului este mai mare. Cum complexitatea i costul filtrului cresc considerabil cu creterea
ordinului, n general se alege un ordin ntre 2 i 8.
n practic, eroarea de estimare a spectrului face ca delimitarea spectrelor secundare s nu fie
ferm. O distanare a acestora peste limitele impuse de teorema eantionrii este deci de dorit. n
cele mai multe cazuri, se pot alege frecvene de eantionare de ordinul e(3...4)m.

VI.2. Analiza matematic a procesului de eantionare real a semnalelor continue


Semnalele discrete, n sensul strict al modului cum au fost introduse anterior (Fig.VI.5.a), pot
face doar obiectul unor prelucrri matematice. De cte ori ne vom referi la semnalele discrete cu un
anumit suport fizic, trebuie neleas forma real din Fig.VI.5.b.
x*(t) xp*(t)

Te
t Te
t
a. b.
Fig.VI.5.Forma unui semnal discret: a) idealizat b) cu semnificaie fizic
Eantionorul real utilizeaz, n locul trenului de impulsuri ideale T ( t ) (imposibil de realizat
practic), un tren de impulsuri reale (Fig.VI.6), de forma:
1, t [0, p]
S( t ) = (22)
0, t (p, Te )

50
Sisteme numerice de reglare
S(t)
x(t) 1 xp*(t)

. t
t

Fig.VI.6. Eantionarea real a unui semnal


Fiind un semnal periodic, S(t)=S(t+Te), seria complex Fourier asociat acestui semnal are
forma:
+
S( t ) = c n e jn t e
(23)
n =
unde:
n e p
T p sin n e p ne p
1 e 1 p 2 e j 2 = p sinc n e p e j 2
cn =
Te 0
S( t )e jne t dt =
Te 0
e jne t dt =Te n e p Te 2
(24)
2
iar p este limea impulsurilor.
Semnalul eantionat astfel obinut se poate exprima sub forma:

x *p ( t ) = x ( t )S( t ) = x ( t ) c n e jn t e
(25)
n =
n domeniul frecvenial semnalul are urmtoarea reprezentare:
+
{ } x(t) c e j t

X *p ( j) = F x *p ( t ) = n
jne t e dt =

c n x ( t )e j(ne ) t dt =
n = n
c X( j jn e ) (26)
n = n =
Ca i n situaia precedent, datorit caracterului discret al coeficienilor Fourier, cn, se obine:

X *p ( j) = c n X( j jne ) (27)
n =
Pe de alt parte ns, n aceast situaie coeficienii Fourier sunt, conform relaiei (24), mrimi
complexe, caracterizate n coordonate polare prin modul i argument:
p n p
cn = sinc e (28)
Te 2
Coeficienii |cn| au un maxim pentru n=0 i descresc progresiv cnd n, avnd nfurtoarea
specific modulului funcie sinus cardinal (sinc x=(sin x)/x). n Fig.VI.7. se prezint spectrul de
amplitudine al impulsurilor periodice S(t). c p p
0 sinc
Te 2
c 1 c1
c 3 c3
c 4 c4
c 2 c2

4 e 3 e 2 e e e 2 e 3 e 4 e

Fig.VI.7. Dependena coeficienilor Fourier de pulsaia de eantionare

Presupunnd c spectrul de amplitudine al semnalului analogic, x(t), este cel prezentat n


Fig.VI.2. atunci relaia (27) are reprezentarea grafic din Fig. VI.8.

e > 2 m X *p ( j) = cn X( j jn e
n =

4 e 3 e 2 e e m m e 2 e 3 e 4 e

Fig. VI.8. Spectrul de amplitudine al semnalului eantionat cu un eantionor real pentru e> 2m

51
Sisteme numerice de reglare

Comparnd Fig.VI.3 cu Fig.VI.8 se poate remarca faptul c spectrul semnalului eantionat real
|Xp*(j)| const n repetri periodice, axate fa de ne, ale spectrului semnalului original x(t), ca i
n cazul eantionrii ideale, cu deosebirea c spectrele secundare apar aici ponderat cu factorii |cn|.
Din punctul de vedere al posibilitii de reconstituire a semnalului iniial, rezultatul este practic
identic, iar consecinele sunt similare.

Observaie
Indiferent de modelul semnalului eantionat, (9) sau (25), concluzia este aceeai, adic
folosirea unei frecvene de eantionare nepotrivit aleas (prea mic) fa de frecvena maxim
coninut n semnalul de intrare, m, duce la denaturarea informaiei coninut n acesta. Din
punctul de vedere al tratrii analitice ns modelul (9) este mult mai versatil, fapt pentru care va fi
utilizat n continuare.

VI.3. Analiza matematic a procesului de reconstituire ideal a semnalelor continue


n componena unor sisteme exist i elemente care nu accept ca semnale de intrare semnale
eantionate sau numerice; remediul const n acest caz n introducerea n sistem a unor elemente de
reconstituire a semnalului analogic.
Considernd eantionarea cu e>2m, pentru a reconstitui semnalul analogic, x(t), semnalul
eantionat x*(t) trebuie trecut printr-un filtru trece jos ideal, Fig.VI.9, caracterizat de funcia de
transfer G(j).
G ( j) = Te
e > 2 m

2 e e N m m N e 2 e

Fig.VI.9. Reconstituirea semnalului analogic prin filtrare cu un FTJ ideal


Matematic, reconstituirea se reduce la relaia (produs scalar n domeniul frecven):
X ( j ) = X * ( j ) G ( j ) (29)
unde:
2
Te = , N
G ( j) = e (30)
0 , >
N

Echivalentul acestei relaii n domeniul temporal este produsul de convoluie:


x ( t ) = g( t ) * x * ( t ) (31)
unde g(t) este rspunsul la impuls al filtrului analogic trece-jos ideal (funcia pondere). Pentru un
astfel de filtru, cu frecvena de tiere egal cu frecvena Nyquist (N=e/2), se poate deduce
expresia funciei pondere cu ajutorul transformatei Fourier inverse:
+
g(t ) =
1
2
T N
G ( j)e jt d = e e jt d =
2
1 1 jN t

e jt
e e j N t = (1
N t
sin N t = )
sin N t
N t
= sinc N t (32)
N

n baza integralei de convoluie, relaia (31) devine:


t t

g(t )x ()d = sinc( (33)


* *
x ( t ) = g( t ) * x ( t ) = N (t )) x * ()d

innd seama de aceste observaii, reconstituirea semnalului analogic din eantioanele sale
poate fi interpretat fie ca o filtrare n domeniul frecvenial a spectrului de alieri cu un filtru trece
jos ideal, relaia (29) (Fig.VI.9), fie ca o interpolare n domeniul timp a eantioanelor prin
convoluia cu o funcie sinus cardinal, relaia (33).
n situaiile reale apare o limitare de implementare deoarece reconstituirea dat de relaia (33)

52
Sisteme numerice de reglare

nu se poate realiza, filtrul fiind necauzal. Necauzalitatea se datoreaz caracteristicii ideale a filtrului
trece jos, care impune o tranziie abrupt ntre banda de trecere i banda de stopare, ceea ce ar
presupune n domeniul timp un rspuns de tipul (32) nainte de a se manifesta cauza (impulsul Dirac
aplicat).

VI.4. Analiza matematic a procesului de reconstituire real a semnalelor continue


Din forma numeric n forma analogic a semnalelor ar trebui s se poat ajunge prin operaia
de conversie numeric-analogic, operaie n principiu invers celei analog-numerice. n realitate,
prin eantionare semnalul pierde parial o parte din componentele sale spectrale, datorit limitrii
benzii de frecven prin filtrul antialiasing. Presupunnd c acest efect este neglijabil, sau semnalul
este deja de band limitat i innd seama de relaia de interpolare (33), se poate admite c este
posibil de reconstituit complet semnalul x(t).
Prin cuantificare ns se produce o pierdere de informaie ireversibil datorit erorii de
cuantificare intrinseci, eqV/2n+1. Aceast eroare poate fi minimizat, dar niciodat eliminat. Se
poate observa c prin conversie numeric-analogic se obine doar o aproximaie a semnalului iniial,
cu att mai bun cu ct eroarea de cuantificare este mai mic i deci rezoluia este mai ridicat.
Conversia NA permite obinerea numai a unui numr finit de valori analogice pentru un
semnal, ceea ce face ca acesta s nu capete nc aspectul unui semnal analogic (Fig.VI.10). n
practic se utilizeaz interpolarea cu filtre de netezire, care apropie foarte mult semnalul obinut de
cel iniial.
~
x (t )
xq(t)

t t

Filtru de netezire
CNA
xq(t) (interpolator) ~
x(t)
xq(kTe)
Semnal Semnal Semnal continuu
numeric cuantificat interpolat
Fig.VI.10. Reconstituirea semnalului analogic prin conversie NA i interpolare

Semnalul numeric xq(kT) este transformat ntr-un semnal aproape analogic (semnal
~
cuantificat), xq(t), cu ajutorul unui convertor NA. Aproximaia semnalului analogic iniial x ( t ) se
obine dup netezire cu un filtru trece-jos de ordinul 1 sau 2 (semnal continuu interpolat).
n mod uzual se utilizeaz numai un CNA care, aa cum s-a artat, este asimilat cu un
extrapolator de ordinul zero (EOZ). n fapt, prin transformarea unui semnal eantionat (numeric)
ntr-un semnal cuantificat EOZ realizeaz o prim filtrare a spectrului de frecven care, de cele mai
multe ori, este i suficient. Argumentarea ns se poate face, din nou, printr-un studiu n domeniu
frecven.
S artm c EOZ are o comportare aproximativ similar unui filtru trece jos analogic. Pentru
aceasta este necesar s deducem funcia sa de transfer.
Prin definiie, funcia de transfer a unui sistem continuu este transformata Laplace a funciei
pondere, h(t), i constituie modelul dinamic n domeniul complex.
H(s) = L{h ( t )} (34)
Funcia pondere poate fi interpretat ca fiind soluia unei ecuaii difereniale omogene care
descrie comportarea dinamic a sistemului n regim liber, ale crui condiii iniiale nenule au fost
stabilite cu ajutorul impulsului Dirac. Orice semnal de intrare, u(t), poate fi considerat formal ca
fiind o combinaie liniar de funcii impuls. Aceasta conduce la integrala de convoluie de forma:
t
y( t ) =


h ( t )u ()d = h ()u ( t )d
0
(35)

53
Sisteme numerice de reglare

Modelul (35) constituie un model continuu neparametric determinist. Se observ c modelul


este infinit dimensional deoarece este necesar precizarea unei infiniti de valori ale funciei
pondere.
Observaii:
1. Pentru sistemele cauzale h(t)=0 pentru t<0, iar pentru un sistem asimptotic stabil
lim h ( t ) = 0. (36)
t

2. Sistemele aflate n repaus pn la momentul iniial t=0 sunt caracterizate prin condiii
iniiale nule. n acest caz integrala de convoluie are expresia:
t t
y( t ) = h ( t )u ()d = h ()u ( t )d (37)
0 0

Cu ajutorul modelului (37) ns se pot formula trei probleme:


P1. Cunoscnd semnalul de intrare u(t) i funcia pondere h(t) s se determine mrimea de ieire
y(t), ceea ce nseamn de fapt rezolvarea integralei de convoluie (problema analizei sistemelor).
P2. Cunoscnd semnalul de ieire y(t) i funcia pondere h(t) s se deduc semnalul de intrare u(t)
(problema reconstituirii intrrii). Aceast problem apare frecvent n comunicaii cnd semnalul
recepionat y(t) nu coincide cu semnalul emis u(t) deoarece acesta din urm este deformat de mediu
prin care se propag. Dac se cunoate modelul de propagare prin mediul respectiv, h(t), i semnalul
recepionat se poate reconstitui semnalul emis.
P3. Cunoscnd semnalul de intrare u(t) i ieire y(t) s se deduc funcia pondere h(t). Aceasta este
de fapt problema de identificare care se poate formula indiferent de clasa de modele considerat.
Identificarea sistemelor poate fi interpretat ca fiind problema invers a analizei sistemelor:
dndu-se istoria semnalelor de intrare-ieire s se determine un model adecvat care s descrie
proprietile sistemului.
O problem esenial pentru identificare o constituie alegerea tipului i parametrilor semnalului
de test. Calitatea rezultatelor obinute depinde n mare parte de precizia cu care sunt realizate
caracteristicile semnalelor de test i exactitatea msurrilor mrimilor respective. Atunci cnd
nivelul zgomotului este nesemnificativ se pot folosi semnale de test deterministe.
Semnalele de test neperiodice se caracterizeaz prin uurina generrii i aplicrii lor la intrarea
sistemului precum i prin interpretarea direct a rezultatelor. Caracteristicile obinute definesc
modelul matematic neparametric n domeniul timp. Dac la intrarea sistemului se aplic un impuls
Dirac, definit prin relaiile (1) i (3), atunci mrimea de ieire reprezint tocmai funcia pondere a
sistemului (Fig.VI.11):
y ( t ) = h ( t ) * ( t ) = h ( t ) (38)
u(t) (t) y(t) h(t)

t y(t)=h(t)*u(t) t

Fig.VI.11. Determinarea experimental a funciei pondere pentru sistemele continue


Pentru analiza sistemelor discrete se definete impulsul discret unitar (secvena Kronecker) sub
forma:
1, n = 0
d (nTe ) = (39)
0, n 0
care are un rol similar impulsului Dirac din cazul semnalelor i sistemelor continue. Totui, spre
deosebire de impulsul Dirac care este o distribuie, impulsul discret unitar este o funcie.

Aa cum s-a artat, EOZ aproximeaz prin funcii n scar semnale numerice aplicate la
momente discrete nTe. Dac se cunoate funcia pondere (rspunsul la impuls) se poate stabili
funcia de transfer a elementului de extrapolare. Rspunsul unui EOZ la impulsul discret unitar este
un semnal dreptunghiular de amplitudine unitar pe o perioad de eantionare Te (Fig.VI.12).

54
Sisteme numerice de reglare

u(nTe) d(nTe) y(t) hEOZ(t)


1 1

0Te 1Te 2Te 0Te 1Te 2Te t


EOZ
Te
Fig.VI.12. Determinarea funciei pondere a EOZ
Funcia pondere poate fi obinut considernd c acest impuls se poate obine ca o diferen
dintre un semnal treapt unitar i acelai semnal ntrziat cu Te (Fig.VI.13).
hEOZ(t)
(t)
1

0Te 1Te 2Te t


-1
-(t-Te)

Fig.VI.13. Sinteza semnalului de rspuns al EOZ


h EOZ ( t ) = ( t ) ( t Te ) (40)
Conform definiiei (34) funcia de transfer a EOZ are forma:
1 1 sTe 1 e sTe
H EOZ (s) = L{h EOZ ( t )} = L{( t ) ( t Te }) = e = (41)
s s s

Rspunsul n frecven pentru extrapolatorul de ordinul zero


Dac transformata Fourier direct se aplic funciei pondere hEOZ(t) se obine factorul de
amplificare complex, care reprezint modelul neparametric n domeniul frecvenelor:
H EOZ ( j) = F{h EOZ ( t )} (42)
Transformata Fourier se obine din transformata Laplace bilateral, pentru s=j, adic
transformata Fourier este transformata Laplace bilateral evaluat pe axa imaginar. n timp ce
HEOZ(s) este o reprezentare mai mult abstract, fr a fi o form de descriere msurabil,
reprezentarea n frecven, HEOZ(j), este interpretabil fizic intuitiv.
Prin substituirea variabilei s cu j n relaia (41) se obine:
Te
j 2Te j e
T

( )
-j
e 2
e e 2 T
j e
T T
j e -j e
( )
jTe Te
H EOZ ( j) =
1 e
= = e 2 e 2 e 2 = T sin 2 e - j 2Te = T sinc e - j 2Te (43)
e Te e e
j j 2j 2 2


H EOZ ( j) = Te sinc

e
G ( j) = Te
e > 2 m

2 e e N m m N e 2 e

Fig. VI.14. Caracteristica de amplitudine a EOZ


Caracteristica de amplitudine a EOZ este dat de relaia:
H EOZ ( j ) = Te sinc ( ) e
(44)
i este reprezentat n Fig.V.14. Suplimentar, se reprezint i caracteristica de amplitudine a filtrului
trece jos ideal, prezentat n Fig.VI.9. n acest fel se poate constata comportarea n frecven a EOZ
similar unui filtru trece jos real. Evident c benzile laterale de frecven nu sunt complet eliminate,
consecin a faptului c semnalul filtrat nu este un semnal analogic ci un semnal cuantificat.
Dac pulsaia de eantionare este mrit (N>>m), efectul de filtrare introdus de extrapolator
este ameliorat (Fig.VI.15).

55
Sisteme numerice de reglare

H EOZ ( j) = Te sinc

e
G ( j) = Te
e > 2 m

2 e e N m m N e 2 e
Fig.VI.15. Caracteristica de amplitudine a EOZ pentru e mrit
Observaie
Pentru analiza comparativ cu situaia precedent s-a preferat rescalarea axei pulsaiilor ceea ce
are drept consecin n reprezentarea grafic micorarea pulsaiei maxime a semnalului filtrat, m,
n raport cu pulsaia Nyquist N (sau n raport cu pulsaia de eantionare e).

VI.5. Transformri operaionale discrete


Transformrile integrale ale funciilor au nceput s fie studiate n mod sistematic la nceputul
secolului XIX; ideea principal a fost aceea de a transforma unele operaii de analiz (integrare,
derivare etc) n operaii algebrice (algebrizarea ecuaiilor integro-difereniale). Calculul operaional
a cunoscut o dezvoltare deosebit att n privina fundamentrii sale teoretice ct i n lrgirea
gamei sale de aplicaii. Ecuaiile fizicii matematice ale ctorva probleme de elasticitate, de teoria
vibraiilor i teoria undelor, ca i unele probleme de control automat utilizeaz n mod curent
diverse tipuri de transformri integrale i tehnici de calcul operaional.
n general, fiecare tip de transformare integral este legat de o anumit clas de funcii, ceea ce
aduce dificulti n respectarea rigorii matematice. Pe lng proprietile de calcul, transformrile
utilizate au calitatea extrem de important de a putea fi inversate. Astfel, transformata Laplace
stabilete o coresponden ntre domeniul timp i planul complex, dup cum transformata Fourier
realizeaz o coresponden ntre domeniile timp i frecven, iar inversarea face ca anumite
probleme s poat fi rezolvate n domeniul cel mai convenabil din punct de vedere matematic.
Pentru semnalul x(t) de energie finit transformata integral este definit de relaia:
+
X ( ) = x(t)f (t, )dt

(45)

n care funcia nucleu f(t,) trebuie astfel aleas nct s existe o funcie f-1(t,) care s permit
transformarea invers:
+

X ( )f
1
x (t ) = ( , t ) d (46)

Transformatele Laplace sunt definite de relaiile:
+

x( t ) e
st
X( s) = dt (47)

0 + j
1
x(t) =
2j j
X (s)e st ds (48)
0

unde s=+j reprezint o frecven complex.


Relaia (47) exprim transformata Laplace bilateral. De foarte multe ori semnalul x(t) este nul
pentru t<0 i, n acest caz, se obine transformata Laplace unilateral:
+

x ( t )e
st
X(s) = dt (49)
0
Printr-o particularizare a transformatei Laplace bilaterale (trecnd de la frecvena complex
s=+j la frecvena imaginar j) se obin relaiile transformatelor Fourier (transformata Laplace
evaluat pe axa imaginar):

56
Sisteme numerice de reglare

x ( t )e
j t
X( j) = dt (50)

+
1
X( j)e
jt
x( t ) = d (51)
2

Se menioneaz c transformata Laplace este mai comod n calcule dect transformata Fourier,
datorit faptului c revenirea n domeniul timp (calculul funciei original) se realizeaz cu dificulti
mai mici. De aceea n cazul n care semnalul analizat este nul pentru t<0 se utilizeaz cel mai
frecvent transformata Laplace unilateral.
n acest fel semnalele de intrare-ieire ale sistemelor pot fi reprezentate att n domeniul
temporal ct i n domeniul frecvenial. n funcie de domeniul vizat, semnalele sunt reprezentate
prin funcii avnd drept argument variabila timp sau frecven. Corespunztor, i modelele obinute
pentru cele dou tipuri de reprezentri vor fi modele temporale respectiv modele frecveniale.

Utilizarea echipamentelor numerice n controlul proceselor industriale impune ca n etapa de


proiectare s se utilizeze un formalism matematic specific. Transformatele integrale definite de
relaiile (47)-(51) reprezint instrumente teoretice de studiu pentru semnalele i sistemele continue,
neputnd fi implementate pe un sistem numeric de prelucrare. De aceea se impune definirea unor
transformate operaionale specifice studiului semnalelor i sistemelor discrete.

VI.5.1. Transformata Laplace discret (eantionat)


Fie un semnal eantionat x*(t), obinut n urma eantionrii semnalului analogic x(t), relaia (9)

x* (t) = x(t)
n =0
( t nTe ) = x(nT )(t nT )
n =0
e e (9)

Dac semnalul analogic x(t) admite o transformat Laplace atunci i semnalul eantionat
admite o transformat Laplace:
def
{ }
not
L x * (t ) = X* (s) =
x * ( t )e st dt = L x (nTe ) (t - nTe ) =
n = 0

n = 0
x (nTe ) L{(t - nTe } (52)
0
Deoarece transformata Laplace a impulsului Dirac localizat la t=nTe este:
L{(t nTe )} = e nTe s (53)
relaia (52) devine:

X* (s ) = x(nTe ) e- nT s e
(54)
n =0
Relaia (54) constituie formula de definiie a transformatei Laplace discrete pentru semnalul
eantionat, x*(t). Ea reprezint forma neparametric a modelului frecvenial al semnalului
eantionat, similar formei neparametrice (49) asociat semnalului analogic. Forma parametric
(restrns) poate fi stabilit prin determinarea limitei de convergen a seriei.

VI.5.2. Transformata Z direct. Definiie. Proprieti


Cunoscnd funcia X(s) se poate calcula funcia original a semnalului x(t) prin transformata
Laplace invers:
0 + j
1
x ( t ) = L1 {X(s)} =
X(s)e st ds (55)
2j j
0

n mod similar se poate scrie i pentru semnalul eantionat x*(t):


+ j
{
x * ( t ) = L1 X * (s) = } 1 0 *

X (s)e st ds
2 j j
(56)
0

*
Totui, expresia X (s) conine n mod normal termeni de forma e nTes care inevitabil determin

57
Sisteme numerice de reglare

ca X(s) s fie o relaie transcendent. Se tie c atunci cnd sunt folosite relaii transcendente apar
dificulti la obinerea transcendentei inverse Laplace i a dezvoltrii n fracii simple. De aceea este
convenabil s se introduc substituia:
1
z = e sTe sau s= ln z (57)
Te
Variabila complex z este denumit variabila transformatei Z. Substituind relaia (57) n (54) se
obine:
def not
X(z) = x(nTe ) z -n = Z{x(t)} = X* (s) z=e sTe
(58)
n =0
Prin urmare, transformata Laplace a semnalului eantionat x*(t), X*(s), este acum o funcie de
variabile z denumit transformata Z a semnalului x(t). Evident c transformata Z a semnalului x(t)
este aceeai ca i transformata Laplace a semnalului x*(t), cu toate c este mascat de schimbarea
variabilei s n z. De aceea, orice semnal analogic care admite o transformata Laplace admite de
asemenea o transformat n Z.
Observaie
Deoarece transformata Z se aplic numai semnalelor eantionate utilizarea simbolului * este
superflu.

Cteva teoreme de baz simplific i uureaz utilizarea transformatei Z.


T1. Adunarea i scderea
Z{x1 ( t ) x 2 ( t )} = X1 (z) X 2 (z)
Demonstraie:

Z{x1 ( t ) x 2 ( t )} = n =0
( x1 (nTe ) x 2 (nTe ))z n =
n =0
x1 (nTe )z n x
n =0
2 ( nTe ) z
n
= X1 (z ) X 2 (z)

T2. nmulirea cu o constant


Z{ax ( t )} = a X(z)
Demonstraie:

Z{ax ( t )} =
n =0
ax (nTe )z n = a x(nT )z
n =0
e
n
= a X(z)

Observaie
Proprietile precedente demonstreaz liniaritatea transformatei Z.

T3. Deplasarea n domeniul timp


Z{x ( t kTe )} = z k X(z)
Demonstraie:

Z{x ( t kTe )} = x(nT
n =0
e kTe )z n

Dac se face substituia m = n k atunci pentru n=0 se obine: m = k



Z{x ( t kTe )} = z k x(mT )z
m = k
e
m

Deoarece x(t)=0 pentru t<0 se poate scrie:



Z{x ( t kTe )} = z k x(mT )z
m =0
e
m
= z k X ( z )

T4. Deplasarea n domeniul operaional


{ }
Z e at x ( t ) = X(ze aTe )

58
Sisteme numerice de reglare

Demonstraie:

{ } (x(nT )e
Z e at x ( t ) = e
anTe
)z n = x(nT )(e
e
aTe
z) n
n =0 n =0
aTe
Fcnd substituia z d = e z se obine:

{ } x(nT )z
Z e at x ( t ) = e d
n
= X(z d ) = X(ze aTe )
n =0

Observaie
Folosind aceast proprietate se uureaz sensibil determinarea transformatei Z pentru unele
funcii mai complicate. Astfel dac se cunoate funcia imagine a semnalului x(t) atunci funcia
imagine a semnalului e-atx(t) se obine prin substituirea argumentului z cu zeaTe.
T5. Teorema valorii iniiale
Dac exist X(z)=Z{x(t)} i exist lim X(z) atunci:
z
lim x (nTe ) = lim X (z)
n 0 z

Demonstraie:

X(z) = x(nT )z
n =0
e
n
= x (0) + x (Te )z 1 + x (2Te )z 2 + ...

lim X(z) = x (0) = lim x (nTe )


z n 0
Observaie
Valoarea funciei eantionate x(nTe) n vecintatea lui t0 este determinat de comportarea
funciei X(z) n vecintatea z.
T6. Teorema valorii finale
Dac exist X(z)=Z{x(t)} i (1-z-1)X(z) nu are poli pe cercul unitar sau n afara acestuia, adic
z < 1 , atunci:
lim x (nTe ) = lim(1 z 1 )X(z)
n z1

Demonstraie:
Considerm sumele consecutive finite:
n
S1 = x(kT )z
k =0
e
k
= x (0) + x (Te )z 1 + x (2Te )z 2 + .... + x (nTe )z n

n n 1
S2 = x((k 1)T )z
k =0
e
k
= x (0)z 1 + x (Te )z 2 + x (2Te )z 3 + .... + x ((n 1)Te )z n = z 1 x(kT )z
k =0
e
k

Observaie: x(-Te)=0.
Diferena sumelor este:
n n 1
= S1 S2 =
k =0
x (kTe )z k z 1 x(kT )z
k =0
e
k

Se calculeaz limita diferenei cnd z1:


n n 1 n n 1
lim = lim
z1 z1
x (kTe )z k z 1 x (kTe )z k =
x (kTe ) x(kT ) = x(nT )
e e
k =0 k =0 k =0 k =0

Apoi, dac se calculeaz limita cnd n, ultima relaie devine:


n n 1
lim x (nTe ) = lim lim
n n z1

x (kTe )z k z 1 x (kTe )z k =

k =0 k =0
n n 1
= lim lim
z1 n

x (kTe )z k z 1 x (kTe )z k = lim(X(z) z 1X(z)) = lim(1 z 1 )X(z)
z1 z1
k =0 k =0

59
Sisteme numerice de reglare

Observaie:
Valoarea funciei eantionate x(nT) n vecintatea t este determinat de comportarea
funciei imagine (1-z-1)X(z) n vecintatea z1.

Calculul transformatei Z directe nu pune probleme. Se aplic relaia de definire (57) sau se
utilizeaz tabele de transformri, aplicndu-se reguli de calcul de tipul celor anterior menionate.

VI.5.3. Transformata Z invers


Analog transformatei Laplace, rspunsul n domeniul timp trebuie obinut din expresiile
transformatei Z (domeniul operaional). ns transformata Z conine informaii referitoare la
semnalul analogic x(t) numai n momentele de eantionare (este de fapt transformata lui x*(t)).
Dou semnale analogice distincte x1(t) i x2(t) avnd aceleai valori n momentele de eantionare
nTe au funciile imagine egale (X1(z)=X2(z)), Fig.VI.16.
x1(t), x2(t), x*(t)

0Te 1Te 2Te 3Te 4Te 5Te 6Te 7Te 8Te t


Fig.VI.16. Semnale analogice distincte care au aceeai transformat Z
n consecin, fiind dat funcia imagine X(z) transformata sa Z invers nu este unic deoarece
x*(t) obinut din X(z) poate reprezenta oricare din semnalele x1(t) sau x2(t) care au aceleai valori ca
i x*(t) n momentele de eantionare.
Deoarece transformata Z invers nu este unic, trecerea de la modelul operaional (n variabil
z) la modelul temporal (n variabil t) implic determinarea funciei x*(t), adic a valorilor
eantionate x(nTe) ale lui x(t) n momentele de eantionare i nu a semnalului analogic x(t).

Transformata Z invers poate fi calculat prin urmtoarele metode:

M1. Metoda formulei de inversiune


1
X(z)z
n 1
x (nTe ) = dz (59)
2j

unde este un contur nchis (cerc) n planul z (z=ecTe), care cuprinde toate singularitile lui
X(z)zn-1. Integrala de contur poate fi evaluat folosind teorema reziduurilor (Cauchy):
[Reziduu X(z)z ]
1
X(z)z
n 1 n -1
x (nTe ) = dz = z = pi (60)
2j
i
Se numete reziduu al unei funcii relativ la un punct singular de tip pol, coeficientul rezultat
din dezvoltarea Laurent. Relaiile de calcul sunt de forma:
Re ziduu ( X ( z) z n 1 )|z=pi = lim ( z p i ) X ( z) z n 1 - pentru pol simplu (61)
z pi

Re ziduu (X(z)z n 1 )|z =pi =


1 d q 1
[ ]
lim q1 (z p i ) q X(z)z n 1 - pentru pol multiplu de ordin q (62)
(q 1)! zpi dz

M2. Metoda dezvoltrii n fracii simple


Dac funcia imagine X(z) este exprimat ca o funcie raional atunci ea este dezvoltat ntr-o
sum de fracii simple. Folosind apoi tabelul de transformate Z directe se determin prin
coresponden funcia original pentru fiecare funcie component a dezvoltrii.
p
B(z) A1 A2 A3 Ai
X(z) = = + +
A(z) z a1 z a 2 z a 3
+ ... = za
i=1 i
(63)

Se obine:

60
Sisteme numerice de reglare

p A
x (nTe ) = Z 1
i=1
z
i

a i
(64)

Dei foarte utilizat n cazul semnalelor i sistemelor continue, o astfel de dezvoltare n fracii
simple nu este folositoare n cazul semnalelor i sistemelor discrete deoarece n tabela de
transformate Z directe nu exist o funcie original corespondent. n schimb exist funcie original
pentru fracii simple cu variabil z la numrtor, de tipul:
zA i
Z -1 = A i (a i )
n
(65)
z ai
Plecnd de la acest considerent este de dorit ca mai nti s se dezvolte n fracii simple funcia
X(z)
i apoi s se multiplice fiecare din termenii dezvoltai prin z.
z
p p
X(z) A1 A2 A3 Ai zA1 zA 2 zA 3 zA i
z
= + +
z a1 z a 2 z a 3
+ ... = i =1
z ai
sau X(z) = + +
z a1 z a 2 z a 3
+ ... = za
i=1 i
(66)

n baza relaiei (65) se obine:


p zA p
x (nTe ) = Z 1
i=1
z
i
a
=
i
A (a ) i i
n
(67)
i=1

M3. Metoda seriilor de puteri


Dac nu intereseaz forma explicit x(nTe) ci numai valorile numerice atunci se poate folosi
metoda seriilor de puteri. n cazul n care X(z) se prezint ca o fracie raional, este suficient s se
mpart numrtorul la numitor, dup regula mpririi nelimitate, pentru a obine o serie n z-1,
coeficienii obinui fiind valorile x(nT) dorite. Se obine:

b 0 + b1z 1 + b 2 z 2 + ... + b m z m
X(z) =
1 + a1z 1 + a 2 z 2 + .... + a n z n
= c 0 + c1z 1 + c 2 z 2 + ... = c z
n =0
n
n
(68)

Comparnd seria de puteri obinut, (68), cu relaia de definire a transformatei Z directe, (58),
se constat c c n = x (nTe ) .
Se deduce astfel c acest coeficient, cn, al termenului z-n corespunde cu valoarea semnalului
analogic x(t) n momentul de eantionare nTe.

x * (t) = c 0 ( t ) + c1( t Te ) + c 2 ( t 2Te ) + ...... =
n =0
c n ( t nTe ) = x(nT )(t nT )
n =0
e e (69)

Exemple
S se determine transformata Z direct pentru urmtoarele semnale:
1. x ( t ) = ( t ) - impulsul Dirac.
Semnalul eantionat este de forma:

x * (t ) = x(nT )(t nT ) = (nT )(t nT ) =(t)
n =0
e e
n =0
e e (E1)

Transformata Laplace discret a semnalului are expresia:


{ }
X * (s) = L x * ( t ) = L{( t )} = 1 (E2)
n baza relaiei de legtur (57) dintre transformata Laplace discret i transformata Z se obine:
X (z) = Z{( t )} = X * (s ) z=esTe = 1 (E3)

2. x ( t ) = T ( t ) = ( t nTe ) - trenul de impulsuri Dirac
n =0

n aceast situaie semnalul eantionat este:



x * (t ) =
n =0
x (nTe )( t nTe ) =
n =0
( t nTe )( t nTe ) = (t nT ) =
n =0
e T (t ) (E4)

61
Sisteme numerice de reglare

Pentru semnalul considerat transformata Laplace discret are expresia:



{ }
X * (s) = L x * ( t ) = L{ T ( t )} = L ( t nTe ) = L{( t nTe )} = e nTes
(E5)
n =0 n =0 n =0

iar transformata Z:

X (z) = X * (s ) z =esTe = zn =0
n
(E6)

Observaie
Expresia (E6) constituie forma neparametric a modelului frecvenial al semnalului x*(t).
Pentru a determina forma parametric se impune determinarea limitei de convergen a seriei. Fie
seria trunchiat:
n
1 z ( n +1)
Sn =
k =0
z k = 1 + z 1 + z 2 + z 3 + ... + z n =
1 z 1
(E7)

Atunci:
1 z ( n +1) 1
lim Sn = lim = pentru | z |> 1 (E8)
n n 1 z 1 1 z 1
sau:

1
X (z) = Z{ T ( t )} = z
n =0
n
=
1 z 1
(E9)

3. x ( t ) = ( t ) - semnalul treapt unitar (funcia Heaviside)



x * (t ) =
n =0
x (nTe )( t nTe ) = n =0
(nTe )( t nTe ) = (t nT ) =
n =0
e T (t ) (E10)

Deoarece semnalul eantionat (E10) este identic cu (E4) atunci transformata Z a semnalului
treapt unitar are forma:
1
X (z) = Z{( t )} = (E11)
1 z 1
Observaii
1. Exemplele 2 i 3 evideniaz faptul c o funcie imagine X(z) poate avea semnale analogice
diferite.
2. De regul se elimin etapa intermediar, de calcul al transformatei Laplace discrete,
folosindu-se fie formula de definire a transformatei Z directe, (58), fie tabelul de coresponden
ntre funcii original i funcii imagine.

4. x ( t ) = e at - funcia exponenial

1
X (z) = Z{e at } =
n =0
x (nTe )z n =
n =0
e anTe z n = (e
n =0
aTe
z ) n =
1 e aTe 1
z
pentru e aTe z > 1 (E12)

Observaie
Expresia (E12) poate fi obinut mai expeditiv dac se folosete proprietatea T4 a transformatei
Z directe. Astfel, reformulnd expresia semnalului sub forma:
x ( t ) = e at ( t ) (E13)
i innd seama c
1
Z{( t )} = X(z) = (E14)
1 z 1
se obine:
1
Z{e at ( t )} = X(ze aTe ) = aTe 1
(E15)
1 e z

62
Sisteme numerice de reglare

5. x ( t ) = v 0 t - semnal ramp neunitar



X(z) =
n =0
v 0 . (nTe ) z -n = v 0 (nT )z
n =0
e
n
= v 0 (Te z 1 + 2Te z 2 + 3Te z 3 + 4Te z 4 + L) =

1 1 2 3
= v 0 Te z (1 + z +z +z + z 4 + L
+ z 1 + z 2 + z 3 + z 4 + L
+ z 2 + z 3 + z 4 + L (E16)
L )=
1 1 1 1 1
= v 0 Te z 1 ( 1
+ z 1 1
+ z 2 1
+ z 3 1
+ z 4 + L) =
1 z 1 z 1 z 1 z 1 z 1
1 v 0 Te z 1 v Tz
= v 0 Te z 1 1
(1 + z 1
+ z 2
+ z 3
+ z 4
+ L ) = 1 2
= 0 e2
1 z (1 z ) (z 1)

S se determine o funcie original pentru funcia imagine X(z), de forma:


(1 e aTe )z
X(z) = (E17)
(z 1)(z e aTe )
1. Metoda fraciilor simple
Funcia raional X(z)/z se dezvolt n fracii simple sub forma:
X(z) (1 e aTe ) A B
= aTe
= +
z (z 1)(z e ) z 1 z e aTe
(E18)
(z - 1)X(z) 1 e aTe (z e aTe )X(z) 1 e aTe
A= = aTe
= 1; B = = aT = 1
z z =1 1 e z aTe e e
1
z =e
Se obine:
1 1
X(z) = (E19)
z 1 z e aTe
Dac se ine seama de corespondena (65) atunci:
1 1
x (nTe ) = Z 1 aTe
= 1 e anTe (E20)
z 1 ze
Semnalul eantionat are expresia:

x* (t) =
n =0
x (nTe )( t nTe ) = (1 e
n =0
anTe
)( t nTe ) (E21)

Avnd n vedere neunicitatea transformatei Z inverse se poate afirma doar c unul din
semnalele analogice care admit transformata Z de forma (E17) are expresia:
x ( t ) = 1 e at (E22)

2. Metoda formulei de inversiune


Funcia X(z) are doi poli simpli z=1 i z=e-aTe. n consecin, innd seama de relaia (60), se
obine:
x (nTe ) =
1
2j X(z)z

n 1
[
dz = Reziduu X(z)z n -1 ]
z =1 [
+ Reziduu X(z)z n -1 ]z =eaTe (E23)
unde:
[Reziduu X(z)z ] n -1
z=1 = lim(z 1)
z1
(1 e aTe )z
(z 1)(z e aTe )
z n 1 = 1 (E24)

[Reziduu X(z)z ] n -1
z=eaTe = lim
aT
ze
(z e aTe )
e
(1 e aTe )z
(z 1)(z e aTe
)
z n 1 = - e -anTe (E25)

Relaia (E23) devine:

63
Sisteme numerice de reglare

[
x (nTe ) = Reziduu X(z)z n-1 ]z =1 [
+ Reziduu X(z)z n -1 ]
z =eaTe = 1 e anTe (E26)
adic identic cu relaia (E20).

3. Metoda seriilor de puteri


Funcia imagine (E17) poate fi pus sub forma:
(1 e aTe )z
X(z) = (E27)
z (1 + e aTe )z + e aTe
2

Prin mprirea polinomului numrtor la polinomul numitor dup regula mpririi nelimitate a
polinoamelor se obine urmtoarea serie de puteri:

(1 e aTe )z
X(z) =
z 2 (1 + e aTe )z + e aTe
= z 1 (1 e aTe ) + z 2 (1 e a 2Te ) + z 3 (1 e a 3Te ) + L = (1 e
n =0
anTe
)z n (E28)

Transformata Z invers a funciei (E28) este




x * ( t ) = Z 1{X(z)} = Z 1 (1 e anTe )z n =
n = 0

n = 0
(1 e anTe )( t nTe ) (E29)

rezultat care coincide cu cele obinute prin metodele anterioare.

64
Sisteme numerice de reglare

VII. Analiza i modelarea sistemelor numerice

Majoritatea proceselor industriale evolueaz continuu n timp. Dup liniarizare n jurul unui
punct de funcionare comportarea lor poate fi descris n mod natural cu ajutorul modelelor
parametrice continue (ecuaii difereniale, funcii de transfer). Dezvoltarea controlului automat s-a
fcut n mare msur pe baza conceptelor definite iniial n domeniul continuu n timp.
La nceput cercetrile n domeniul identificrii sistemelor s-au axat pe identificarea modelelor
continue din date experimentale continue. Ulterior, datorit dezvoltrii rapide a sistemelor de
achiziie i prelucrare numeric a semnalelor, atenia cercettorilor s-a ndreptat spre identificarea
modelelor discrete cu ajutorul datelor eantionate. Considernd semnalul de intrare constant ntre
dou momente de eantionare au fost stabilite teorii i practici bine fundamentate pentru o astfel de
problem de identificare. A aprut astfel o mare cantitate de literatur n modelarea, identificarea i
controlul bazat pe modele discrete.

VII.1. Structura sistemelor de reglare numeric monovariabil


Sistemele automate care prelucreaz semnale continue se numesc sisteme automate continue,
iar cele care prelucreaz semnale discrete se numesc sisteme automate discrete. Dac semnalele
discrete prelucrate sunt semnale eantionate, sistemul automat se numete sistem automat cu
eantionare. Astfel de sisteme sunt realizate cu componente analogice (componente pasive,
amplificatoare i comutatoare analogice).
Dac semnalele discrete prelucrate sunt semnale numerice, sistemul automat se numete sistem
automat numeric. Aceste sisteme sunt realizate cu circuite combinaionale (decodificatoare,
memorii ROM, etc) i/sau secveniale (bistabile, registre, memorii RAM - calculator).
Controlul proceselor bazat pe algoritmi de reglare implementai pe sisteme numerice de
prelucrare (SNP) este referit drept control numeric. n Fig.VII.1. se prezint schema bloc a structurii
uzuale utilizat n reglarea numeric monovariabil, pe care sunt precizate semnalele prelucrate de
fiecare bloc n parte, precum i natura acestor semnale. Structura evideniaz faptul c un asemenea
sistem este hibrid din punct de vedere al semnalelor vehiculate i prelucrate.
0 0 0 0 0 1
uq(kTe) 1 0 0 0 0 0 uq(t) y(t)
yq(kTe) 0 0 1 1 1 1 1 1 0 0 0 0
. . . . . . . . . . . .
. . . . . . . . . . . .
0
1
1
1
1
0
1
0
1
1
1
1
yq*(kTe) 1
0
0
1
1
1
1
0
1
1
1
1

kTe kTe t t

Echipament
CAN R numeric R CNA Parte fixat
yq (kTe) (SNP) uq(kTe) uq(t)

Start conversie Semnal Te Semnal ncrcare Semnal Semnal


numeric ceas numeric cuantificat analogic

Fig.VII.1. Schema bloc a unei structuri de reglare monovariabil

Se remarc urmtoarele aspecte fundamentale n funcionarea sistemului n circuit nchis.


Procesul este un sistem continuu, avnd drept mrime de intrare semnalul continuu cuantificat uq(t)
i mrime de ieire semnalul continuu analogic y(t). CAN convertete semnalul analogic y(t) ntr-un
semnal numeric yq(kTe). Pe baza unui algoritm numeric care prelucreaz semnalele yq*(kTe) i
yq(kTe) echipamentul numeric elaboreaz semnalul numeric de comand uq(kTe). Att semnalele
yq*(kTe) i yq(kTe) ct i semnalul uq(kTe) sunt semnale numerice codificate binar, corespunztor
unei reprezentri de tip ntreg. Semnalul uq(kTe) este convertit de CNA n semnal continuu
cuantificat uq(t). Blocul ceas permite sincronizarea temporal a blocurilor CAN echipament
numeric - CNA. Software-ul dedicat controlului numeric realizeaz o gestionare n timp real a
resurselor sistemului de calcul, corelat cu evoluia temporal a procesului reglat. De aceea blocul
ceas din schema de mai sus este referit n unele texte drept ceas de timp real. Ca principiu general

65
Sisteme numerice de reglare

de funcionare, ceasul de timp real activeaz conversia AN, execuia unei iteraii a algoritmului de
reglare implementat pe echipamentul numeric i conversia NA la intervale de timp echidistante
(perioada de eantionare/actualizare).

n proiectarea algoritmilor de reglare numeric se folosesc att metodologii corelate cu cele din
domeniul continuu ct i metodologii specifice sistemelor numerice. Utilizarea metodologiilor
specifice sistemelor continue permite valorificarea unui spectru larg de metode frecveniale i reguli
de acordare euristice dezvoltate pentru acestea. De aceea, n faza de modelare a sistemului global
este util s dezvoltm att un punct de vedere continuu (funcie de transfer n s) ct i un punct de
vedere discret (funcie de transfer n z).
Proiectarea (sinteza) algoritmilor de reglare se face, n principiu, dup dou tendine.

Modelarea buclei de reglare numeric drept sistem continuu cu eantionare


Prima tendin de abordare, ntemeiat pe experiena i relaiile experimentale verificate ale
analizei i sintezei sistemelor continue, se bazeaz pe ipoteza de cvasicontinuitate, potrivit creia o
alegere suficient de mic a perioadei de eantionare, Te, n raport cu constantele de timp ale prii
fixate continue conduce la o comportare a sistemului discret foarte asemntoare cu cea a sistemului
continuu din care a provenit procedural. n acest mod se permite utilizarea, aproape n totalitate, a
unor serii de relaii numerice de acordare, proceduri i tehnici de analiz i sintez din contextul
teoretic i practic al sistemelor continue. Algoritmul numeric de reglare se obine prin discretizarea
unor algoritmi continui apelnd la proceduri de discretizare a modelului continuu al legii de reglare.
Structura simplificat a unui asemenea sistem de reglare este prezentat n Fig.VII.2.
Regulator tip analogic
r(t) (t) q (kTe) Echipament uq(kTe) uq(t) y(t)
CAN CNA&EOZ
numeric Parte fixat
- (SNP)

ceas timp real


Te HR*(s)

Fig.VII.2. Structura numeric a unui regulator analogic


n acest caz, ansamblul CAN-SNP-CNA se comport asemntor cu un regulator analogic,
dac perioada de eantionare este foarte mic n raport cu cea mai mic constant de timp a
procesului. Semnalul de eroare continuu, (t)=r(t)-y(t), este eantionat, convertit n semnal numeric
i prelucrat de algoritmul numeric de reglare. Acesta trimite valoarea numeric a semnalului de
comand ctre CNA, care o convertete n semnal cuantificat i l menine o perioad egal cu Te la
intrarea procesului.
Observaii
1. Aceast structur de reglare nu implementeaz o comand numeric n adevratul sens al
cuvntului ci asigur o manier tehnologic de a utiliza echipamentul numeric pentru un control
analogic.
2. Structura prezentat nu utilizeaz eficient puterea de calcul a echipamentului numeric.

Modelarea buclei de reglare numeric drept sistem discret


Structura din Fig.VII.3 se obine din precedenta prin deplasarea elementului de comparaie
prescris-ieire msurat dup CAN. n acest caz ansamblul CNA-parte fixat-CAN este interpretat
ca un proces discretizat, a crui intrare de comand este uq(kTe) iar ieirea este yq(kTe). Acest
model, numit discretizatul procesului, descrie relaia ntre secvena de eantioane uq(kTe) i yq(kTe).
Observaie
Nu exist de fapt nici o schimbare tehnologic a buclei de reglare analogic care utilizeaz un
echipament numeric ci doar o schimbare a modului de abordare a problemelor.

66
Sisteme numerice de reglare
Proces discretizat

rq(kTe) q (kTe) Echipament uq(kTe) uq(t) y(t) yq(kTe)


CNA&EOZ CAN
numeric Parte fixat
- (SNP)

ceas timp real


Te H(z)

Fig.VII.3.Structura unui sistem de reglare numeric


Aceast structur de reglare prezint urmtoarele avantajele:
1. Frecvena de eantionare este aleas n funcie de banda de trecere a procesului continuu
(B) rezultnd de 10-50 de ori mai mic dect frecvena precedent. Regulatorul numeric nu
intervine prea frecvent ns el utilizeaz cel mai bine ineria procesului, intervenind exact cnd
trebuie i ct trebuie, obinnd astfel aceeai precizie de reglare ca i regulatorul de tip analogic
dar care intervine mult mai frecvent.
2. Ofer posibilitatea unei sinteze directe n domeniul timp a algoritmilor de comand, adaptai
modelelor proceselor discretizate.
3. Permite utilizarea eficient a echipamentului numeric deoarece creterea sensibil a
perioadei de eantionare permite utilizarea capacitii de calcul pentru implementarea de algoritmi
numerici mai performani dect un PID, dar care, evident, necesit un timp de calcul mai mare.
n acest context terminologia se schimb (proces continuu - discretizatul procesului; regulator
continuu - algoritm numeric de reglare) partea de concepie deplasndu-se la nivelul algoritmului
numeric de reglare.

VII.2. Modelarea sistemelor numerice


Pentru caracterizarea prii fixate a sistemului de reglare numeric se pot utiliza modele liniare
continue sau discrete, deterministe sau stohastice.
Modelele continue pot fi utilizate pentru caracterizarea funcionrii proceselor n cazul n care
structura sistemului de reglare numeric include un algoritm de reglare tip analogic.
Modelele discrete ale proceselor controlate pot fi obinute pe cale analitic, prin discretizarea
modelelor continue (apelnd la diverse metode de discretizare) sau prin identificare experimental
n discret. n cazul identificrii experimentale n timp discret a proceselor, modelul matematic se
obine pe baza evalurii semnalelor de intrare i de ieire din proces (u(kTe) i y(kTe)), utiliznd
metode adecvate de identificare. Modelul obinut poate fi un model neparametric, cum ar fi
rspunsul indicial sau rspunsul n frecven n form tabelar, sau poate fi un model parametric,
cum ar fi ecuaia cu diferene, funcia de transfer n z etc. Modelele neparametrice sunt obinute prin
evaluarea semnalelor msurate pe baza analizei Fourier sau prin metode de corelaie, pe cnd
modelele parametrice se obin cel mai frecvent prin intermediul metodelor de estimare a
parametrilor. Pentru proiectarea sistemelor numerice sunt utilizate modelele parametrice.
Pentru descrierea comportrii sistemelor dinamice unde sunt disponibile numai valori
eantionate ale mrimilor de intrare-ieire se pot utiliza ecuaii cu diferene n locul ecuaiilor
difereniale. Considernd valorile eantionate ale semnalelor u(kTe) i y(kTe) atunci ecuaia cu
diferene are forma:
n m
a i y(kTe iTe ) = b ju (kTe jTe ); y(rTe ) = y 0r ; u ( vTe ) = u 0v ; (1)
i =0 j=0

r = 0, n 1; v = 0, m 1; a 0 = 1
Observaii
1. Dac se utilizeaz operatorul de ntrziere, q i x (kTe ) = x (kTe iTe ) atunci se poate defini
operatorul de transfer discret, sub forma:
y(kTe ) b 0 + b1q 1 + L + b m q m B(q 1 )
= = = H(q 1 ) (2)
u (kTe ) 1 + a1q 1 + L + a n q n A(q 1 )

67
Sisteme numerice de reglare

2. Pentru asigurarea condiiei de cauzalitate este necesar ca valoarea mrimii de ieire la


momentul kTe s nu depind de valori ulterioare ale mrimii de intrare, u((k+1)Te), u((k+2)Te)
.a.m.d. Se observ c modelul (2) respect la limit condiia de cauzalitate, adic mrimea de ieire
y(kTe) depinde de valoarea mrimii de intrare u(kTe) la acelai moment de eantionare printr-un
element proporional b0. n general sistemele fizice nu sunt caracterizate printr-o astfel de legtur
direct intrare-ieire (transmisie instantanee) i de aceea termenul b0 este considerat nul. n acest
caz operatorul de transfer devine:
y(kTe ) q 1 (b1 + b 2 q 1 + L + b m q m+1 ) B(q 1 )
= = = H(q 1 ) (3)
u (kTe ) 1 + a1q 1 + L + a n q n A(q 1 )
Acest model este unul de tip parametric, vectorul parametrilor avnd ca elemente coeficienii
ecuaiei cu diferene:
= [a n a n 1 L a1 b m b m1 L b 0 ]T (4)
Pentru semnalele discrete de intrare-ieire i condiiile iniiale nule:
y(rTe ) = y 0 r = 0; u ( vTe ) = u 0 v = 0; r = 0, n 1; v = 0, m 1 (5)
aplicnd transformata Z ecuaiei cu diferene (1) obinem:
n m
Y(z 1 ) a i z i = U(z 1 ) b jz j ; a 0 = 1 (6)
i =0 j=0

sau
Y(z 1 ) b 0 + b1z 1 + L + b m z m B(z 1 )
1
= 1 n
= 1
= H(z 1 ) (7)
U(z ) 1 + a1z + L + a n z A(z )
care este funcia de transfer discret.
Observaii
1. Prin nlocuirea operatorului de ntrziere q-i n operatorul de transfer (2) cu variabila
complex z-i se obine direct funcia de transfer discret.
2. Deoarece operatorul de anticipare qi este necauzal att operatorul de transfer discret H(q-1)
ct i funcia de transfer H(z-1) se exprim n form regresoare. Notaia utilizat distinge aceast
form de cea predictiv, H(q), respectiv H(z).
3. Cu toate c ecuaia cu diferene (1) este o ecuaie algebric (spre deosebire de ecuaia
diferenial din cazul continuu) modelul parametric frecvenial (7) permite analiza modelelor
temporale discrete n timp n domeniul complex.
Timpul mort poate fi uor pus n eviden n reprezentarea prin funcii de transfer prin
multiplicarea funciei de transfer discrete cu variabila z-d, unde =dTe:
1
Y(z 1 ) d b 0 + b1z + L + b m z m 1
d B( z )
= z = z = H(z 1 ) (8)
U(z 1 ) 1 + a 1z 1 + L + a n z n A(z 1 )
Observaie
Un proces caracterizat prin modelul H(z-1), care a fost identificat utiliznd eantioane cu pasul
Te, va trebui comandat n continuare utiliznd aceeai perioad de eantionare, Te.

Modelarea sistemelor dinamice liniare sub aceast form se bazeaz pe urmtoarea teorem:
Teorem: Eantionarea sincron a semnalelor de intrare-ieire induce o eantionare a funciei
pondere a sistemului continuu n timp.

h * ( t ) = h ( t ) ( t nTe ) = h (nTe )(t nTe ) (9)
n =0 n =0
Dem:
Fie sistemul continuu caracterizat prin modelul neparametric h(t) (Fig.VII.4):
t


y( t ) = h ( t ) * u ( t ) = h ( t )u ()d
0
(10)

68
Sisteme numerice de reglare

y*(t)
u*(t) Te
y( t ) = h ( t ) * u ( t ) y(t)
Te
Fig.VII.4. Schema echivalent a unui sistem cu eantionare
n cazul n care semnalul de intrare este eantionat, u*(t), semnalul de ieire, y(t), este n general
analogic datorit proprietilor ineriale ale sistemului descris de funcia pondere h(t). ns, datorit
naturii discrete a semnalului de intrare integrala de convoluie (10) se transform n sum de
convoluie avnd expresia:
m
y( t ) = h(t kT )u(kT ),
k =0
e e t = mTe (11)

Pentru simplificarea studiului acestui sistem liniar se poate considera un eantionor fictiv,
sincron cu cel de la intrare, n urma cruia se obin eantioanele semnalului de la ieire
corespunztoare momentelor de existen a semnalelor de intrare. n cazul eantionrii sincrone a
semnalului y(t) cu u(t), la momentul t=mTe, relaia (11) devine:
m
y(mTe ) = h(mT kT ) u(kT )
k =0
e e e (12)

Deoarece pentru m-k<0 funcia pondere h(mTe-kTe)=0 relaia (12) se poate scrie:
m
y(mTe ) =
k =0
h (mTe kTe ) u(kTe ) = h(mT
k =0
e kTe ) u(kTe ) (13)

La momentele de eantionare se obine:


(13)
y* ( t ) =
m =0
y(mTe )( t mTe ) = h (mTe kTe ) u(kTe )( t mTe )
k =0
m =0
(14)

Dac se noteaz:
{ }
Y* (s) = L y* ( t ) (15)
atunci


Y * (s) = L h (mTe kTe ) u(kTe )( t mTe ) =
m=0 k =0
h (mTe kTe ) u(kTe ) e -mTes
m=0 k =0
(16)

Notnd m-k=n atunci


e mTes = e nTes e -kTes (17)
i deci:

Y * (s) = h(nT ) u(kT )e
n = k k =0
e e
-kTes
e -nTes

(18)

Dar h(t) este zero pentru t<0, de unde:

Y* (s) = h (nTe ) u(kTe ) e - kTe s e - nTe s = h(0)
n =0

u(kTe )e - kTe s + h (Te )e Te s
u(kTe )e - kTe s + L =
k = 0 k = 0 k = 0
(19)

=
n = 0
h(nTe )e - nTe s
k = 0
n = 0

u(kTe )e - kTe s = h (nTe )e nTe s U* (s) = H* (s) U* (s)

sau
Y(z 1 ) = H(z 1 ) U(z 1 ) (20)
Atunci:

{
h * ( t ) = Z 1 H(z 1 ) = } h(nT )(t nT ) = h(t) (t nT )
e e e (21)
n =0 n =0

69
Sisteme numerice de reglare

VII.3. Transformarea planului operaional s n planul operaional z


Dup cum s-a precizat, analiza i sinteza sistemelor numerice se pot aborda folosind dou
metodologii: considernd sistemul ca fiind de tip continuu cu eantionare, caz n care se utilizeaz
transformatele Laplace eantionate ale semnalelor i ale funciilor de transfer, sau fiind de tip
discret, caz n care se folosesc transformatele Z ale semnalelor i funciilor de transfer. n general,
utilizarea funciilor de transfer eantionate ale sistemelor este dificil i se realizeaz doar n cazuri
particulare.
Utiliznd substituia
z = e sTe (22)
i deci transformata Z, modelele discrete se pot exprima cu ajutorul funciilor raionale, de variabil
z. Totodat, relaia (22) exprim legtura ntre modelarea de tip continuu i cea de tip discret a
structurii de reglare numeric. Prin aceast transformare, n proiectare, se poate folosi direct
modelul de tip discret al buclei de reglare, a crui form matematic este mult mai uor de
manipulat.
Proprieti ale transformatei Laplace discrete X * (s) n planul s
P1. X*(s) este o funcie periodic de perioad je.
Dem:

X* (s + jme ) = x (nTe )e nTe (s + jm e ) = x (nT)e nTe s e jnmTe e = x (nTe )e nTe s = X* (s) (23)
n =0 n =0 n =0
deoarece
2
jnmTe
e - jnmTe e = e Te
= e jnm 2 = cos(2 nm) + j sin( 2nm) = 1 (24)
Se obine astfel o posibil partiionare a planului s (s fiind variabila transformatei Laplace
discret) n fii de periodicitate, de lime je. (Fig.V.5). Fia dintre j 2e i j 2e este numit
fie fundamental (primar), iar toate celelalte, pentru pulsaii mai ridicate, sunt desemnate ca fii
complementare.
P2. Dac funcia continu X(s) are un pol n s=s1= 1+j1 atunci X*(s) are poli n s=s1jme.
n consecin, pentru orice punct s=s1 n planul s, funcia X*(s) va repeta valoarea n toate
punctele de forma s=s1+jme (Fig.VII.5).
j 3 e
s1+je j 2
s1+je
Fie complementar
s1
j1 e
j 2
s1 j1
Fie primar
1 1
e
s1-je j 2
s1-je
Fie complementar
3 e
s1 -j2e j

2
s1-j2e
Fig.VII.5. Fiile de periodicitate din planul s

Teorema eantionrii (Shannon): Dac se consider c semnalul continuu este limitat n band
(m -pulsaia cea mai ridicat coninut n semnalul continuu) atunci o condiie necesar pentru
reconstituirea informaiei continue din semnalul eantionat este ca frecvena (pulsaia) de
eantionare s fie cel puin egal cu dublul celei mai mari pulsaii (e2m).

70
Sisteme numerice de reglare

Din analiza Fig. VII.5. se observ c:


e
I. Dac m = 1 atunci polii lui X(s) sunt n fia primar iar polii lui X*(s) se vor gsi i
2
n fiile complementare; cu un filtru ideal trece jos, se pot elimina polii armonici (impostori),
rezultai prin eantionare.
e
II. Dac ns m = 1' > atunci polii armonici ai lui X*(s) nu se pot elimina prin filtrare,
2
regsindu-se n fia primar prin reflectare (s1-je) n locaii diferite fa de cei iniiali (s1).

Transformarea planului operaional s n planul operaional z


Vom analiza mai nti transformarea fiei primare, delimitat de conturul 1-2-3-4-5-1
(Fig.VII.6.a), prin relaia (22).
Observaie:
n coordonate polare variabila z se exprim sub forma:
z = z e j = e ( + j)Te = e Te e jTe (25)
Se deduce astfel c:

z = e Te ; arg z = = Te = 2 (26)
e sTe
j
e z=e jy
j
2 (0,1)
3 2
|z|<1 |z|
Re(s)<0
- 1 (-1,0) 2 3 1 (1,0)x
5 4

4 5
e (0,-1)
j
2
Planul s Planul z

Fig.VII.6. Transformarea fiei primare a semiplanului stng s n planul z


Prin aceast relaie conturul se transform n planul z ntr-un cerc unitar cu centrul n origine.
Dem.
Locul geometric n planul s = + j Locul geometric n planul z = z e j
[0, ]
e
1-2: = 0; [0, 2
] | z |= 1;
e
2-3: = (,0]; = 2
| z | (0,1]; =
[ , ]
e e
3-4: ; [ 2
, 2 ] | z | 0;
e
4-5: = (,0]; = 2
| z | (0,1]; =
[ ,0]
e
5-1: = 0; [ 2
,0 ] | z |= 1;
Observaii
1.Semiplanul stng al fiei primare (Re(s)= <0, 2e ) se transfer n interiorul cercului
unitar (|z|<1).
2.Semiplanul drept al fiei primare (Re(s)= >0, 2e ) se transfer n exteriorul cercului
unitar (|z|>1).
3.Axa imaginar (Re(s)==0, 2e ) corespunde conturului cercului unitar (|z|=1).
Dem:
Fie s1 = 1 + j1; 1 < 0; 1 2e (27)
Atunci:

71
Sisteme numerice de reglare

z1 = e s1Te = e 1Te e j1Te z1 = e 1Te < 1 (28)


*
4. Datorit periodicitii lui X (s), orice punct sk=s+jke dintr-o fie complementar a
semiplanului stng se transfer n planul z tot n interiorul cercului unitar |z|<1.
Dem:
2
jk Te
( s + jk e ) Te sTe Te
z=e =e e = esTe (29)
Concluzie: Tot semiplanul stng al planului s se transfer n interiorul cercului de raz unitar
cu centrul n originea planului z.

VII.4. Corelaia ntre repartiia polilor n planul z i comportarea sistemului numeric n


regim tranzitoriu
Dac n cazul continuu, corelaia dintre repartiia poli-zerouri n planul s i performanele de
regim tranzitoriu este cunoscut, nu ar fi lipsit de interes s se studieze aceast corelaie i pentru
sistemele discrete, permind n acest fel proiectantului s anticipeze comportarea acestora n regim
tranzitoriu.
Pentru sistemele continue se disting urmtoarele cazuri de rspunsuri impulsionale (funcii
pondere, obinute pentru u( t ) = ( t ) ):
polii de pe axa real negativ corespund rspunsurilor monoton descresctoare asimptotic;
polii complex conjugai ai sistemului din semiplanul stng, Re(s)<0, genereaz rspunsuri
sinusoidale amortizate.;
polii complex conjugai pe axa imaginar dau natere la oscilaii sinusoidale ntreinute;
toi polii din semiplanul drept al planului s genereaz rspunsuri instabile.
Deoarece prin relaia (22) transformata z asigur un proces de transformare conform a
planului s n planul z, corelaia dintre poziiile polilor i zerourilor funciei H(z-1) n planul z i
comportarea n regim tranzitoriu a sistemului discret (n momentele de eantionare) poate fi stabilit
prin studierea corespondenei dintre repartiia n planul s a polilor i zerourilor funciei H*(s) i cea
a funciei H(z-1) n planul z.
n funcie de poziia polilor funciei H*(s) n fia primar a planul s se disting urmtoarele
cazuri:
I. Cazul polilor simpli, situai pe axa real, n planul s
Dac H*(s) are un pol real ( s = ) atunci H(z-1) va avea un pol real pe axa Re(z)>0.
z = esTe ; z = e T ; arg z = = 0 (30)
*
n funcie de poziia acestor poli n planul s, rspunsurile la impuls ale funciilor H (s) sunt
prezentate n Fig.VII.7.a. Poziiile corespunztoare ale polilor n planul z precum i comportrile
funciilor H(z-1) sunt prezentate n Fig.VII.7.b.
Rspunsul aperiodic amortizat al funciei H(z-1) provine de la un pol real pozitiv subunitar.
Dac polul este real pozitiv supraunitar rspunsul este aperiodic amplificat, sistemul fiind
instabil.
Planul s Planul z
e
j
2

1 2 3 1 2 3

e
j
2
-

a. b.

Fig.VII.7. Rspunsurile tranzitorii la impuls corespunztoare polilor simpli avnd diferite repartiii

72
Sisteme numerice de reglare

II. Cazul unei perechi de poli compleci conjugai, situai n fia primar, n planul s
Dac funcia H*(s) are doi poli compleci conjugai ( s1, 2 = j ; < 2e ) atunci H(z-1) va avea
doi poli compleci conjugai de forma:
(s ) T
z1, 2 = e 1, 2 e = e ( j) Te ; z1, 2 = e Te ; arg z1, 2 = 1, 2 = Te (31)
Rspunsurile la impuls datorate acestor poli sunt reprezentate n Fig.VII.8.

Dac 0, e atunci arg z =| | 0, , adic polii sunt situai n cadranele I i IV.
4 2

Pentru e , e rezult arg z =| | , , polii fiind situai n cadranele II i III.
4 2 2
Planul s Planul z
e
j 2
x
x x
e
j x
4
x
x x x

1 2 3 4
x 2 1 3 4
x x x
e
j
4 x x
x
x
e
j
2

a. b.
Fig.VII.8. Rspunsurile tranzitorii la impuls corespunztoare polilor compleci conjugai
avnd diferite repartiii

Rspunsurile la impuls sunt semnale armonice, polii subunitari din planul z determinnd
rspunsuri amortizate.

III. Cazul unei perechi de poli compleci conjugai, situai pe frontiera fiei primare, n planul s
(limita eantionrii)
*
Dac H (s) are doi poli compleci conjugai situai pe frontiera fiei primare ( s1,2 = j 20 )
atunci funcia H(z-1) va avea doi poli compleci conjugai pe axa Re(z)<0:
e
(s1, 2 )Te ( j ) Te
z1, 2 = e =e 2 ; z1, 2 = eTe ; arg z1, 2 = 1, 2 = (32)
Rspunsurile funciilor de transfer avnd poli compleci conjugai situai pe frontiera fiei
primare sunt prezentate n Fig.VII.9.
Planul s Planul z
e
j
2
x x x

1 2 3 3 2 1

e
x x x j
2

a. b.

Fig.VII.9. Rspunsurile tranzitorii la impuls corespunztoare polilor


compleci conjugai situai pe frontiera fiei primare

73
Sisteme numerice de reglare

Ca i n cazul precedent rspunsurile sunt armonice. ns, deoarece frecvena de eantionare


este la limita teoretic inferioar precizat de teorema Shannon, va exista o singur eantionare pe
semiperioad. Ca urmare, eantioanele vor avea valori alternate (pozitive i negative) - Fig.VII.9.b
Concluzie
Analiza sistemului discret n timp pe baza singularitilor funciei de transfer se poate realiza n
manier similar analizei sistemelor continue: sistemul este stabil dac polii funciei de transfer sunt
situai n interiorul cercului de raz unitar din planul complex z i de faz minim dac zerourile
sale sunt situate de asemenea n interiorul cercului.

VII.5.Metode exacte de discretizare a modelelor continue


n foarte multe cazuri din practica industrial este cunoscut modelul continuu al prii fixate,
sub forma unei funcii de transfer HPF(s), obinut fie plecnd de la ecuaiile de funcionare ale
instalaiei (ndeosebi n cazul proceselor rapide din maini i acionri electrice), fie prin metode de
identificare experimental n domeniul continuu. Ca urmare, dispunnd de funcia HPF(s) este
necesar determinarea modelului discret al ansamblului format din extrapolator i blocul prii
fixate, acest ansamblu avnd funcia de transfer:
1 e Tes
H(s) = H EOZ (s)H PF (s) = H PF (s) (33)
s
Observaie
Dei fizic blocurile CAN i CNA sunt componente ale sistemului numeric de control,
modelarea discret a procesului (discretizarea) presupune ncorporarea acestor elemente de
interfaare n structura sistemului controlat.
Presupunnd existena unui proces pur determinist, neafectat de zgomote, de forma
B(s)
H PF (s) = e s (34)
A(s)
atunci structura discretizat a acestuia poate fi reprezentat conform Fig.VII.10.

Proces discretizat

U*(s) 1 e sTe U(s) B(s) Y(s) Y*(s)


e s
Te s A (s ) Te
CNA EOZ Parte fixat CAN

Fig.VII.10. Schema bloc a discretizatului unui proces continuu


Pentru a determina modelul operaional discret (funcia de transfer n z) se recurge la trecerea
prin funciile de timp dup schema:
L1 { } Z{ }
H (s) h ( t ) h (nTe ) H ( z 1 ) (35)
* *
n acest fel transferul cauzal comand-ieire (u (t)y (t)) este modelat de funcia de transfer
discret de forma:
1 e sTe s B(s)
H(z 1 ) = ZL1 e (36)
s A(s)

n ipoteza c timpul mort este un multiplu ntreg al perioadei de eantionare, =dTe, i


folosindu-se schimbarea de variabil z = e sTe funcia de transfer (36) devine:
B(s) 1
d B( z )
H(z 1 ) = (1 z 1 )z d ZL1 = z (37)
sA (s) A(z 1 )
Observaie
Funcia de transfer H(z-1) nu se obine prin simpla substituire a variabilei s cu variabila z n
funcia de transfer H(s).

74
Sisteme numerice de reglare

Fie funcia de transfer a prii fixate de forma:


B(s) b s m + b m1s m1 + L + b 0
H PF (s) = e s = e s m n (38)
A(s) s + a n 1s n 1 + L + a 0
Se pune problema determinrii proprietilor pe care le transfer discretizarea de la sistemul
continuu, HPF(s), la cel discretizat, H(z-1).
n cazul sistemelor strict cauzale (m<n) considernd c polii pi ai funciei de transfer continue
sunt poli simpli, se poate scrie:
n
B(s) k k1 k2 kn k ki
sA(s)
= 0 +
s
+
s p1 s p 2
+L+
s pn
= 0 +
s s p
i=1 i
(39)

unde reziduurile kp au valorile:

B(0) B(s)(s p i ) B(s)


k0 = ; ki = = (40)
A ( 0) sA (s) s=p d (sA (s) )
i
ds s = pi

Modelul discret (37) se rescrie sub forma:


k n
k i 1 d
n

H(z 1 ) = (1 z 1 )z d ZL1 0 +
s

i =1
= (1 z ) z Zk 0( t ) +
s pi
k e
i =1
i
pi t
( t ) =

(41)
d k i (1 z 1 ) d B(z 1 )
n
= z k 0 +


i =1 1 e z
=z
p i Te 1
A (z 1 )
unde:
n
A(z 1 ) = (1 e
i =1
piTe 1
z ) (42)


n n n n 1
1
B(z ) = k 0 (1 e z ) + (1 z ) k1
piTe 1 1
i =2
(1 e piTe z 1 ) + k 2 (1 e piTe 1
z ) + L + kn (1 e z ) (43)
pi Te 1

i=1 i=1 i=1
i 2
Observaie
Pentru determinarea expresie (41) s-au folosit urmtoarele proprieti ale transformatelor
Laplace i Z:
1
L1 pt pt
= e i = e i ( t ) (44)
s p i
1
Z{(t)} = ; (45)
1 z 1
Z{e-at x ( t )} = X(zeaTe ); (46)
1
Z{e p1t (t)} = ; (47)
1 e piTe z 1
Relaia (42) sugereaz c ordinul funciei de transfer a procesului se conserv prin discretizare
adic nd=n, unde nd=grad A(z-1)
Suplimentar se constat c polinomul A(z-1) este monic, adic:
lim A(z 1 ) = 1 ; (48)
z

Rdcinile polinomului A(z-1), poli ai sistemului discret, ocup n planul z poziii


corespondente planului s, date de relaia:
p d i = e pciTe ; i = 1, n (49)

ns, pentru polinomul (43), cu forma final:


B(z 1 ) = b 0d + b1d z 1 + L + b md z md (50)

75
Sisteme numerice de reglare

nu sunt deductibile proprieti echivalente. Conform relaiei (43) se poate scrie mdn, unde
md = grad B(z-1), ceea ce sugereaz c n urma operaiei de discretizare sunt posibile i modificri
structurale ale polinomului zerourilor. Amplasarea zerourilor funciei de transfer discrete asociate
procesului este dependent, ca i n cazul polilor, att de modelul continuu ct i de perioada de
eantionare. Spre deosebire de poli, zerourile unui model cu un exces poli-zerouri cel puin 2 pot
migra, n urma discretizrii cu perioade de eantionare mici, n afara cercului unitar.

Ceea ce rezult cu certitudine din relaia (41) este valoarea termenului liber al polinomului
B(z ). Dac se utilizeaz aceast relaie pentru stabilirea expresiei polinomului B(z-1):
-1

n
k i (1 z 1 )
B(z 1 ) = z d A(z 1 )H(z 1 ) = A(z 1 ) k 0 +


1 e
i=1
piTe 1
z
(51)

atunci:
def (51) n n
b 0d = lim B(z 1 ) = k 0 +
z


i =1
ki =


k
i =0
i (52)

Pe de alt parte, considernd funcia de transfer continu (38) atunci, conform relaiei (39) se
obine:
n n
B(s) k ki B(s) s ki
sA(s)
= 0 +
s sp
i =1 i

A(s)
= k0 + sp
i =1 i
(53)

Atunci cnd funcia de transfer a prii fixate este proprie, adic m=n, din relaia (38) se obine:
(53) n n (52)
B(s)
lim
s A (s)
= bm = k0 + k = k
i =1
i
i =0
i = b 0d (54)

adic cei doi coeficieni sunt numeric egali.


Pe de alt parte, atunci cnd funcia de transfer a prii fixate este strict proprie, adic m<n, din
aceeai relaie rezult:
B(s) (54 )
lim = 0 = b m = b 0d (55)
s A (s)

Concluzie
Anularea termenului liber (b0d=0), n cazul sistemelor continue strict proprii (m<n), arat c
prezena extrapolatorului de ordin zero n structura discret a sistemului reglat produce ntrzierea
cu un tact a comenzii (d1=d+1).
Exemplu:
kp
S se afle modelul discret al procesului industrial avnd funcia de transfer HPF(s)= .
T1s + 1
H (s) k p
H(z -1 ) = (1 - z -1 ) ZL1 PF = (1 - z -1 ) ZL1 (E1)
s s(T1s + 1)
Prin descompune n fracii simple se obine:
kp kp k p T1
= (E2)
s(T1s + 1) s T1s + 1
ceea ce conduce la urmtoarea funcie pondere:
t t
1 k p
k p T1
T T
h(t ) = L = k p (1 e 1 ) = k p (( t ) e 1 ( t )) (E3)
s ( T1s + 1)
Atunci:

t
t
1
1
Z{h ( t )} = Zk p (( t ) e ( t )) = k p ( Z{( t )} Z{e ( t )}) = k p
T1 T1
(E4)
1 z 1 Te 1
T
1 e z
1

76
Sisteme numerice de reglare

n final se obine:
H (s) 1 1 -1
H(z -1 ) = (1 - z -1 ) ZL1 PF = (1 - z -1 )k p = k 1 1 - z =
s 1 z 1 Te 1
T p
Te 1
T

1 e 1 z 1 e 1 z

Te (E5)
1 T1
z 1 e
1 T
e T
e
= b1z T1 T1
= kp ; b1 = k p 1 e ; a1 = e .
T
e
1 + a1z 1
1 e T1
z 1
Observaie
Spre deosebire de funcia de transfer a sistemului continuu, H(s), funcia de transfer discret,
H(z-1), nu este unic, coeficienii depinznd att de coeficienii modelului continuu ct i de
perioada de eantionare aleas.

VII.6. Metode aproximative de discretizare a modelelor continue


Problema obinerii unui model discret pentru partea fixat a unei bucle de reglare a aprut din
necesitatea construirii unui model discret n circuit nchis. S-a vzut c acest model discret al prii
fixate se poate obine ntr-o manier exact plecnd de la reprezentarea continu. n cazul unor
modele continue ale prii fixate de ordin ridicat calculul prin aceste procedee a echivalentului de
tip discret devine laborios. Din acest motiv au fost formulate mai multe metode aproximative de
discretizare a modelelor continue.
De asemenea algoritmii de reglare numeric derivai din legi de reglare continu se pot obine
tot printr-o metod de discretizare aproximativ. Ca metodologie de proiectare, regulatorul rezult
sub forma unui model continuu; ulterior se determin un echivalent discret al acestuia care se
implementeaz, sub form numeric, pe echipamentul numeric. i n aceste situaii este deosebit de
util de a dispune de metode aproximative de discretizare a modelelor continue.
S considerm o funcie de transfer raional proprie, H(s), de ordin arbitrar n:
B(s) b m s m + b m1s m1 + L + b 0 Y(s)
H(s) = = = (56)
A(s) s n + a n 1s n 1 + L + a 0 U(s)
Dup cum se tie, ea poate fi modelat printr-o schem bloc care conine n integratoare pure.
Pentru a evidenia aceast posibilitate, ecuaia diferenial asociat funciei de transfer (56) va fi
reprezentat n spaiul strilor sub form canonic controlabil. Astfel, dac se utilizeaz operatorul
de transfer asociat atunci ecuaia diferenial se poate scrie sub forma:
b m p m + b m 1p m 1 + L + b 0
y( t ) = u(t ) (57)
p n + a n 1p n 1 + L + a 0
Ecuaia (57) poate fi pus i sub forma:
(
y( t ) = b m p m + b m1p m1 + L + b 0 x ( t ) ) (58)
n care:
1
x (t ) = u(t ) (59)
p n + a n 1p n 1 + L + a 0
Relaiilor (58) i (59) le corespunde schema bloc din Fig.VII.11.
u(t ) 1 x(t) 2 y( t )
1
b m p m + b m 1p m 1 + L + b 0
p n + a n 1p n 1 + L + a 0

Fig.VII.11. Schema bloc structural a operatorului de transfer


Conform descompunerii formale, operat mai sus, pentru ecuaia diferenial asociat funciei
de transfer (56) corespund ecuaiile:
p n x ( t ) + a n 1p n 1x ( t ) + L + a1px ( t ) + a 0 x ( t ) = u ( t ) (60)

77
Sisteme numerice de reglare

sau
1 1 1 1
x(t) = n
u ( t ) a n 1 x ( t ) L a1 n 1 x ( t ) a 0 n x ( t ) (61)
p p p p
Schema bloc a funciei de transfer (56) este prezentat n Fig.VII.12.
y( t )

bm b1 b0

u(t)
p n1 x p n2 x p n.....
3
p m x.......px

x x

a n 1

a n 2

a m

a 0

Fig.VII.12. Schema bloc structural a unei funcii de transfer de ordinul n


Fiecare integrator este descris de o ecuaie diferenial de forma:
dy
= u ( t ); y(0) = y 0 (64)
dt
avnd soluia:
t t


y( t ) = y(0) + u ()d = y( t1 ) + u ()d
0 t1
(65)

sau echivalent, sub form operaional (n condiii iniiale nule y(0)=0):


1
Y (s) = U(s) (66)
s
Presupunnd pentru u(t) o evoluie oarecare (Fig.VII.13), se pune problema unui calcul
aproximativ al funciei soluie y(t) la momentele de eantionare, adic evaluarea aproximativ a lui
y(kTe).

u(t)

kTe

y((k 1)Te ) u()d


( k 1) Te

t
( k 1)Te kTe

Fig.VII.13. Calculul exact al rspunsului unui integrator


Prin particularizarea relaiei (65) cu t1 = (k 1)Te , t = kTe se obine
kTe kTe
y(kTe ) = y((k 1)Te ) + u()d
( k 1) Te
sau y(kTe ) y((k 1)Te ) = u()d
( k 1) Te
(67)

unde integrala are valoarea ariei haurate n figur.

Cele trei metode de discretizare aproximativ care vor fi prezentate n continuare sunt
cunoscute n textele de automatic sub numele:
metoda dreptunghiului n avans sau metoda Euler nainte (forward difference);
metoda dreptunghiului n ntrziere sau metoda Euler napoi (backward difference);
metoda trapezului sau metoda Tustin (metoda transformrii biliniare).

78
Sisteme numerice de reglare

Metoda dreptunghiului n avans


Metoda aproximeaz valoarea integralei cu aria dreptunghiului a crui nlime este valoarea
semnalului integrat, u(t), la momentul t1 = (k 1)Te (Fig.VII.14).
u(t)
u ((k 1)Te )

kTe

y((k 1)Te ) u()d T


( k 1) Te
e u ((k 1)Te )

t
(k 1)Te kTe

Fig.VII.14 Calculul aproximativ al rspunsului unui integrator


prin metoda dreptunghiului n avans

n baza acestei aproximaii, ecuaia (67) se poate scrie:


y(kTe ) y((k 1)Te ) Te u((k - 1)Te ) (68)
Dac se aplic transformata Z aproximaiei (68) se obine:
z 1
Y(z 1 ) z 1Y(z 1 ) Te z 1U(z 1 ) Y(z 1 )(1 z 1 ) Te z 1U(z 1 ) Y(z 1 ) = Te 1
U(z 1 )
1 z
Comparnd rezultatul obinut cu relaia de tip operaional n s, dat de (66), se constat
posibilitatea substituirii variabilei s cu expresia:
1 1 z 1 z 1
s = (69)
Te z 1 Te
n cazul aproximrii (69) dependena variabilei z de variabila s este de forma:
z 1 + Te s (70)
innd seama de aproximarea Pad a funciei exponeniale sub forma:
x x2 x3
ex = 1 + + + +L (71)
1! 2! 3!
atunci formula de substituire a lui s de tipul (70) poate fi privit i ca rezultnd dintr-o astfel de
aproximare:
(Te s)1 (Te s) 2 (Te s) 3
z = e Tes = 1 + + + + ... 1 + Te s (72)
1! 2! 3!

Folosind aproximarea (70) semiplanul stng al planului operaional s (Re(s)<0) este transformat
tot ntr-un semiplan (Fig.VII.15).
z 1 + Te s jy
j
(0,1)

Re(s)<0
(-1,0) (1,0)
x

(0,-1)

Planul s Planul z
Fig.VII.15. Transformarea semiplanului operaional stng s n planul operaional z
folosind metoda de discretizare aproximativa a dreptunghiului n avans

Dem:
Fie s=+j (=0) dreapta care separa planul Re(s)<0 de Re(s)>0 (axa imaginar). Atunci
locul geometric n planul z descris de punctele de pe axa imaginar prin transformarea (70)

79
Sisteme numerice de reglare

reprezint ecuaia unei drepte. ntr-adevr, exprimnd variabila z n coordonate carteziene,


rezult:
( 70)
z = x + jy 1 + Tes = 1 + ( + j) =0 = 1 + jTe (73)
adic:
Re(z) = x = 1 = const;
(74)
Im(z) = y = Te ; (-, )
ceea ce reprezent ecuaia unei drepte paralele cu ordonata i trecnd prin punctul x=1.
Observaie
Datorit aproximrii fcute, aceast metod poate transforma o funcie de transfer continu
stabil, H(s), ntr-o funcie de transfer discret instabil, H(z-1), deoarece pentru un pol continuu din
semiplanul stng se poate obine un pol discret de modul supraunitar !

n ceea ce privete funcionarea integratorului n domeniul timp, aceast metod conduce la


urmtoarea aproximare de tip discret:
kTe k
y(kTe ) = u ()d Te u((i 1)T )
i =1
e (75)
0

Metoda dreptunghiului n ntrziere


Aceast metod aproximeaz valoarea integralei cu aria dreptunghiului a crui nlime este
valoarea semnalului integrat, u(t), la momentul t = kTe (Fig.VII.16). n acest caz ecuaia (67)
devine:
y(kTe ) y((k 1)Te ) Te u(kTe ) (76)
Dac se aplic transformata Z aproximaiei (76) se obine:
1
Y(z 1 ) z 1Y(z 1 ) Te U(z 1 ) Y(z 1 )(1 z 1 ) Te U(z 1 ) Y(z 1 ) = Te 1
U(z 1 ) (77)
1 z
u(t)
u (kTe )

kTe

y((k 1)Te ) u()d T


( k 1) Te
e u (kTe )

(k 1)Te kTe

Fig.VII.16. Calculul aproximativ al rspunsului unui integrator


prin metoda dreptunghiului n ntrziere

Prin identificarea termenilor din relaiile (66) i (77) se obine substituia aproximativ:
1 z 1 z 1
s = (78)
Te zTe
Cu ajutorul relaiei (78) se poate stabili urmtoarea dependen a variabilei z n funcie de
variabila s:
1
z (79)
1 sTe
i aceast expresie poate fi obinut printr-o aproximare Pad, de forma:
1 1 1
z = e Tes = -Tes
= 1 2 3
(80)
e ( Tes) (Tes) (Tes) 1 Tes
1+ + + +L
1! 2! 3!

80
Sisteme numerice de reglare

Folosind aproximarea (79) semiplanul stng al planului operaional s, (Re(s)<0), este


transformat n planul z ntr-un cerc cu raz semiunitar i centrul n punctul (1/2,0), conform
Fig.VII.17.
1
j z jy
1 Tes (0,1)

Re(s)<0
(-1,0) (1,0)
x
(1/2,0
)

(0,-1)

Planul s Planul z
Fig.VII.17. Transformarea semiplanului operaional stng s n planul operaional z
folosind metoda de discretizare aproximativa a dreptunghiului n ntrziere
Dem:
Fie s=+j (=0) dreapta care separa planul Re(s)<0 de Re(s)>0 (axa imaginar). Atunci
locul geometric n planul z descris de punctele de pe axa imaginar prin transformarea (79)
reprezint ecuaia unui cerc, adic:
(x x 0 )2 + (y y0 )2 = R 2 (81)
Exprimnd variabila z n coordonate carteziene, rezult:
( 79 ) 1 1 1 1 Te
z = x + jy = = = +j (82)
1 Te s 1 Te ( + j) =0 1 jTe 1 + (Te ) 2 1 + (Te ) 2
sau:
1
Re(z) = x = 1 + (T ) 2 ;
e
(83)
Im(z) = y = Te
; (-, )
1 + (Te ) 2
Prin eliminarea variabilei intermediare (Te) din relaiile (83) se determin locul geometric n
planul z, descris de punctele de coordonate (x,y). Se observ c valoarea variabilei intermediare se
poate determina din raportul:
Te
y 1 + (Te ) 2
= = Te (84)
x 1
1 + (Te ) 2
care, nlocuit n relaia (83.1) conduce la expresia:
1 x2
x= 2
= 2 2
x = x 2 + y 2 (x - 12 ) 2 + y 2 = ( 12 ) 2 (85)
y x +y
1+
x
ce reprezint ecuaia unui cerc n planul z de raz R=1/2 i centru C(1/2,0).

n domeniul timp, funcionarea unui integrator este caracterizat de urmtoarea relaie discret:
kTe k
y(kTe ) = u ()d Te u(iT )
i =1
e (86)
0

Metoda trapezului
n cazul acestei metode, integrala (67) este aproximat prin aria trapezului dreptunghic definit
de valorile semnalului integrat, u(t), la momentele de timp (k 1)Te i kTe (Fig.VII.18).

81
Sisteme numerice de reglare

u(t)
u (kTe )
u ((k 1)Te )

kTe
u ((k 1)Te ) + u (kTe )
y((k 1)Te ) u()d T
( k 1) Te
e
2

(k 1)Te kTe

Fig.VII.18 Calculul aproximativ al rspunsului unui integrator


prin metoda trapezului
Folosind aceast aproximaie ecuaia (67) se poate scrie:
u((k - 1)Te ) + u(kTe )
y(kTe ) y((k 1)Te ) Te (87)
2
Aplicnd transformata Z ecuaiei cu diferene (87) se obine:
z -1U(z 1 ) + U(z 1 ) T 1 + z 1
Y(z 1 ) z 1Y(z 1 ) Te Y(z 1 ) = e U(z 1 ) (88)
2 2 1 z 1
Comparaia relaiei (66) cu relaia (88) conduce la aproximaia:
2 1 z 1 2 z 1
s 1
= (89)
Te 1 + z Te z + 1
sau
Te
1+ s
z 2 (90)
Te
1 s
2
Ultima relaie poate fi obinut i din dezvoltarea Pad a funciei exponeniale complexe:
T T T
( 2e s)1 ( 2e s) 2 ( 2e s) 3
Te
T
s 2e 1+ + + +L 1+ s
Tes e 1! 2! 3! 2
z=e = = (91)
-s
Te T T T
( 2e s)1 ( 2e s) 2 ( 2e s) 3 Te
e 2
1+ + + +L 1 s 2
1! 2! 3!
Aproximarea (90) transform semiplanul stng al planului operaional s (Re(s)<0) ntr-un cerc
de raz unitar i centru n originea planului z (Fig.VII.19), similar substituiei exacte, z = e Tes !
Te
j
1+ s 2 jy
z T (0,1)
1 s 2e

Re(s)<0
(-1,0) (1,0)
x

(0,-1)

Planul s Planul z
Fig.VII.19. Transformarea semiplanului operaional stng s n planul operaional z
folosind metoda de discretizare aproximativa a trapezului

Dem:
Fie s=+j (=0) dreapta care separa planul Re(s)<0 de Re(s)>0 (axa imaginar). Atunci
locul geometric n planul z descris de punctele de pe axa imaginar prin transformarea (90)
reprezint ecuaia unui cerc, adic:
x 2 + y2 = 1 (92)
Prin exprimarea variabilei z n coordonate carteziene se obine

82
Sisteme numerice de reglare

Te T
(90) 1 + s
1 + 2e ( + j) T T
1 + j 2 e 1 ( 2 e )2
T
2 2e
z = x + jy 2 = =0
= = + j (93)
T Te T T T
1 s e 1 2 ( + j) 1 j 2 e 1 + ( 2 e )2 1 + ( 2 e )2
2 =0

sau:
T
1 ( 2 e )2
Re(z) = x = ;
T
1 + ( 2 e )2
T
(94)
2 2e
Im(z ) = y = T
; (-, )
1 + ( 2 e )2
Se observ c valorile obinute n relaia (94) verific ecuaia (92), care reprezint ecuaia
unui cerc n planu z de raz R=1 i centrul C(0,0).

n timp discret, aceast aproximaie conduce la urmtoarea ecuaie de funcionare a unui


integrator pur:
kTe k
u ((i 1)Te ) + u (iTe )
y(kTe ) = u ()d Te
i =1
2
(95)
0

Observaii
1. Metoda dreptunghiului n avans pstreaz n discret gradele numrtorului i numitorului din
continuu, pe cnd metoda dreptunghiului n ntrziere i metoda trapezului conduc n discret la
grade egale pentru numrtor i numitor. Metoda dreptunghiului n ntrziere introduce zerouri
suplimentare n z=0, iar metoda trapezului introduce zerouri suplimentare n z=-1.
2. Dei din punct de vedere teoretic al aproximrii, aplicarea oricrei metode nu face distincie
cu privire la semnificaia funciei de transfer continue (parte fixat sau algoritm de reglare) exist
unele considerente de ordin practic (viznd proiectarea i implementarea) care impun anumite
nuanri. Modelul discret al prii fixate este n general dedus i exploatat sub form de funcie de
transfer (model operaional), pe cnd pentru algoritmul numeric de reglare ntotdeauna n final
intereseaz ecuaia cu diferene care face obiectul implementrii (domeniul timp). De aceea
aplicarea metodei dreptunghiului n ntrziere poate fi interpretat n domeniul timp ca o
transformare direct a ecuaiei difereniale (scris, de exemplu, n variabilele algoritmului de
reglare)
u ( n ) ( t ) + a n 1u ( n 1) ( t ) + L + a1u (1) ( t ) + a 0 u ( t ) = b m ( m ) ( t ) + b m 1 ( m 1) ( t ) + L + b1 (1) ( t ) + b 0 ( t ); m n (96)
n ecuaia cu diferene
( n ) u (kTe ) ( n 1) u (kTe ) u ( kTe ) ( m ) ( kTe ) ( m 1) (kTe ) (kTe )
n
+ a n 1 n 1
+ L + a 1 + a 0 u ( kTe ) = b m m
+ b m 1 m 1
+ L + b1 + b 0 (kTe ) (97)
Te Te Te Te Te Te
Aceast transformare este indus de forma complex a operatorului de derivare rezultat din
metoda dreptunghiului n ntrziere (76), care permite urmtoarele aproximri n calcului derivatei
unei funcii notate generic x(t):
x (kTe ) x ((k 1)Te ) not x (kTe )
x (1) (kTe ) = (98)
Te Te
i n general:
( j) x (kTe )
x ( j) (kTe ) (99)
Te j
unde noteaz diferena la stnga (napoi)
x (kTe ) = x (kTe ) x ((k 1)Te ) (100)
-1
corespunztoare operatorului (1-z ) asupra funciei imagine.

83
Sisteme numerice de reglare

VIII. Algoritmi de reglare numeric derivai din legi de reglare continue

Cerinele de performan ale sistemului automat se exprim sintetic n forma unor indici de
performan specifici regimurilor staionare i tranzitorii; abateri staionare n raport cu mrimi
standard de intrare (treapt, ramp), timp de rspuns, suprareglare sau grad de amortizare. n
formularea cantitativ a valorilor maximale ale acestor indici se vor lua n considerare att cerinele
de performan impuse de reeta tehnologic ct i proprietile de transfer ale procesului reglat.
Proiectarea algoritmilor de reglare numeric se realizeaz prin dou metodologii:
metodologie bazat pe discretizarea legilor de reglare continue;
metodologie bazat pe sinteza direct a algoritmilor de reglare numeric
Prima categorie impune stabilirea unei perioade de eantionare redus, n comparaie cu
dinamica procesului condus, astfel nct algoritmul numeric de reglare obinut s aproximeze ct
mai bine legea continu de reglare. Algoritmul obinut prin discretizarea legilor continue se numete
algoritm de reglare numeric cvasicontinuu.
n cazul algoritmilor netipizai (care nu se obin prin discretizarea legilor de reglare continue
tipizate), proiectarea algoritmului pornete de la performanele impuse de reeta tehnologic i de la
funcia de transfer a prii fixate HPF(s), i are ca obiectiv obinerea funciei de transfer HR(z-1) a
regulatorului numeric i, n final, a unei ecuaii recursive.
Principala lege tipizat de reglare continu este legea proporional-integral-derivativ (PID),
care din 1925 este utilizat cu succes n practica industrial. Un alt element cu larg utilizare n
sistemele de reglare continue este elementul de avans-ntrziere (lead-lag), ndeplinind funcia de
corecie a anumitor performane ale sistemelor de reglare automat. Dintre legile neliniare de
reglare se evideniaz, prin domeniile extinse de folosire, legea de reglare bipoziional i cea
tripoziional.
Datorit eficienei legilor de reglare tipizate i datorit faptului c aceste legi erau disponibile la
apariia sistemelor automate numerice, introducerea calculatoarelor n controlul numeric s-a
caracterizat prin preluarea legilor tipizate de reglare continu i transpunerea lor n algoritmi
corespunztori de reglare numeric.

VIII.1. Posibiliti de modelare/simulare a sistemelor numerice


Dup cum s-a artat, modelele liniare ale sistemelor continue sunt descrise n domeniul timp
prin ecuaii difereniale iar n domeniul operaional prin funcii de transfer. Orice ecuaie
diferenial liniar poate fi simulat cu ajutorul unei scheme de simulare compus din urmtoarele
primitive elementare (Fig.VIII.1):
v( t ) w0 t
u ( t ) w ( t ) = u ( t ) v( t ) u(t )
a
w(t) = a u(t) u(t )
w ( t ) = w 0 + u ()d
0
Element de Element de Element de
sumare/scdere amplificare/atenuare integrare

Fig. VIII.1. Primitive elementare utilizate n modelarea/simularea sistemelor liniare continue


Fie un sistem dinamic liniar invariant n timp, descris de ecuaia diferenial:
dy( t )
a1 + a 0 y( t ) = b 0 u ( t ) (1)
dt
unde y(t) reprezint semnalul de ieire iar u(t) este semnalul de comand.
Dac se rearanjeaz ecuaia (1) sub forma:
dy( t ) a b
= 0 y( t ) + 0 u ( t ) (2)
dt a1 a1
atunci, pe baza ei, se poate dezvolta schema de simulare din Fig.VIII.2.

84
Sisteme numerice de reglare

y( t )
u(t ) b0 dt y( t )
a1
-
a0
a1

Fig.VIII.2. Schem de simulare a unui sistem liniar continuu


n cazul sistemelor liniare discrete, modelul matematic este descris cu ajutorul ecuaiilor cu
diferene (ecuaii recurente). Similar operatorului de derivare p = dtd sau mai precis celui de integrare


p 1 = ()dt , n domeniul timp se definete operatorul de ntrziere cu un pas, q 1 , de forma:
q 1x (kTe ) = x ((k 1)Te ) .
Dac sistemul continuu (1) se consider eantionat cu perioada Te atunci, prin nlocuirea
derivatele mrimilor care intervin cu relaia aproximativ:
dy( t ) y(kTe ) y(kTe ) y((k 1)Te )
= (3)
dt Te Te
se obine urmtoarea ecuaie algebric (cu diferene):
y(kTe ) y((k 1)Te )
a 1 + a 0 y(kTe ) = b 0 u (kTe ) (4)
Te
Prin regruparea termenilor ecuaia cu diferene devine
a1 a
+ a 0 y(kTe ) 1 y((k 1)Te ) = b 0 u (kTe ) a 1' y(kTe ) + a '0 y((k 1)Te ) = b '0 u (kTe ) (5)
T
e T e

unde:
a a
a1' = 1 + a 0 ; a '0 = 1 ; b '0 = b 0 (6)
T
e T e

Observaie
Noii coeficieni depind i de perioada de eantionare, deci modelul discret nu este unic.

Introducnd operatorul de ntrziere cu un pas, q-1, se obine:


a '0 1 b '0
a1' y(kTe ) + a '0 q 1y(kTe ) = b '0 u (kT) y(kTe ) = q y ( kTe ) + u (kTe ) (7)
a1' a1'
adic o relaie asemntoare cu relaia (2).
n acest context, o ecuaie cu diferene va fi simulat cu ajutorul unei scheme de simulare
compus din primitivele elementare prezentate n Fig.VIII.3.
v(kTe )
u (kTe ) w (kTe ) = u (kTe ) v(kTe ) u (kTe ) w (kTe ) = a u (kTe ) u (kTe ) w (kTe ) = u ((k 1)Te )
a q 1
Element de Element de Element de
sumare/scdere amplificare/atenuare ntrziere cu un pas

Fig. VIII.3. Primitive elementare utilizate n modelarea/simularea sistemelor liniare discrete

Ecuaia (7) poate fi simulat cu ajutorul urmtoarei scheme (Fig.VIII.4):

u (kTe ) b '0 y(kTe )


a 1'
- y((k 1)Te )
a '0
a 1'
q 1
Fig.VIII.4. Schem de simulare a unui sistem liniar discret
Observaii
1. Dac n ecuaia (5) se normeaz timpul t = kTe , prin raportare la valoarea Te, se obine

85
Sisteme numerice de reglare

ecuaia cu diferene normat, unde termenii sunt exprimai n funcie de numrul eantioanelor:
t kT
= e = k a1' y(k ) + a '0 y(k 1) = b '0 u (k ) (8)
Te Te
2. Forma normat a ecuaiei cu diferene se obine i considernd formal Te=1.

Semnificaia elementului de ntrziere pur, q-1


n cazul sistemelor continue, un element cu ntrziere pur (sau cu timp mort/ntrziere de
transport) este caracterizat de faptul c mrimea de ieire y(t) are o form de variaie n timp
identic cu cea a mrimii de intrare u(t) dar este ntrziat fa de aceasta cu valoarea a ntrzierii
pure (timpul mort), Fig.VIII.5.
u(t) y ( t ) = u ( t )

u(t ) y ( t ) = u ( t )

t
t
Fig.VIII.5. Rspunsul unui element continuu cu timp mort

Ecuaia acestui element este de forma:


y( t ) = u ( t ) (9)
Aplicnd transformata Laplace ecuaiei (9) i innd seama de teorema deplasrii n real se
obine:
Y(s) = e s U(s) (10)
Funcia de transfer continu a elementului de ntrziere pur este:
Y(s)
H (s) = = e s (11)
U(s)
Trecnd la cazul discret i considernd c perioada de eantionare, Te, este astfel aleas nct
=dTe se obine:
H* (s) = e sdTe (12)
sau
H (z 1 ) = H* (s) sTe = z d (13)
z =e

Dac timpul mort este egal cu perioada de eantionare (=Te ntrziere unitar) funcia de
transfer a elementului cu ntrziere pur unitar (EIPU) devine:
H EIPU (z 1 ) = z 1 (14)
Concluzie
Aplicnd la intrarea unui EIPU o mrime discret u(kTe) se obine la ieire o mrime discret
y(kTe)=u((k-1)Te), ntrziat cu perioada Te, Fig.VIII.6.
u (kTe ) y(kTe ) = u ((k 1)Te )

u (kTe ) y(kTe ) = u ((k 1)Te )


Te
nTe nTe
Te
Fig.VIII.6. Rspunsul unui element discret cu ntrziere pur unitar

Observaii
1. Rolul EIPU pentru sistemele discrete, analizate n domeniul operaional, z-1, este similar cu
1
rolul integratorului la sistemele continue: = s 1 ;
s
2. Rolul EIPU pentru sistemele discrete, analizate n domeniul timp, q-1, este similar cu rolul

86
Sisteme numerice de reglare

operatorului de integrare p 1 = ()d , la sistemele continue.


Astfel, funcia de transfer/ecuaia cu diferene a unui sistem discret se poate obine, similar
cazului continuu, i prin nlocuirea formal a operatorului q-1/z-1 cu z-1/q-1.

VIII.2.Algoritmi de reglare numeric obinui prin discretizarea legilor de reglare continue

VIII.2.1.Filtrarea numeric tip ntrziere de ordinul I


La regulatoarele numerice influena zgomotelor este mai periculoas dect n cazul
regulatoarelor continue, ntruct acestea din urm pot asigura eliminarea efectului unor semnale de
frecven ridicat prin nsi proprietatea de filtru trece-jos a unui sistem continuu.
n cazul sistemelor de reglare numeric msurarea unei valori alterate sensibil de zgomot n
momentul de eantionare constituie o informaie n fapt eronat, dar care - n absena unor msuri
de filtrare - este considerat valabil i prelucrat ca atare de regulatorul numeric, rezultnd o
funcionare incorect a sistemului. Ca urmare, pentru ca folosirea sistemelor de reglare numeric s
corespund obiectivelor urmrite este necesar filtrarea semnalelor msurate. Mai mult, ca i n
domeniul continuu, aceast filtrare nu poate lipsi n niciun caz atunci cnd legea de reglare conine
o component de tip derivativ, care amplific efectele zgomotelor. Zgomotele de frecven ridicat
vor fi filtrate cu circuite RC, plasate pe interfaa de intrri analogice. Dac mrimea reglat este
afectat de zgomote cu un spectru n zona frecvenelor relativ joase raportate la frecvena de
eantionare se recomand filtrarea numeric a mrimii msurate.

n mod obinuit, filtrarea numeric se realizeaz cu varianta discret a elementului de ntrziere


de ordinul I. n cazul continuu filtrele de tip elemente de ntrziere de ordinul I au factorul de
amplificare unitar i constanta de timp Tf. Considerndu-se drept mrime de intrare mrimea
msurat Y(s) i mrime de ieire a filtrului mrimea Yf(s), modelarea filtrului se face prin funcia
de transfer de forma:
Yf (s) 1
H f (s) = = (15)
Y (s) Tf s + 1
Pentru discretizarea modelului continuu al filtrului se folosete metoda aproximativ de
discretizare a dreptunghiului n ntrziere, pentru care sunt valabile substituiile:
1 z 1 z 1
s = (16)
Te zTe
innd seama de aceste relaii, pentru funcia de transfer (15) se obine:
Yf (z 1 ) 1 Te
H f (z 1 ) = 1
1
= (17)
Y(z ) 1 z (Tf + Te ) z 1Tf
Tf +1
Te
sau
Yf (z 1 )((Tf + Te ) z 1Tf ) Te Y (z 1 ) Yf (z 1 )(Tf + Te ) z 1Tf Yf (z 1 ) + Te Y (z 1 ) (18)
Mrimea filtrat poate fi exprimat sub forma:
Tf Te
Yf (z 1 ) z 1 Yf (z 1 ) + Y(z 1 ) (19)
Tf + Te Tf + Te
Utiliznd funcia de transfer a elementului de ntrziere pur unitar z-1 (fizic realizat printr-o
operaie de memorare pe durata unei perioade de eantionare) atunci funcia de transfer (19) se
poate reprezenta ca n Fig.VIII.7.

87
Sisteme numerice de reglare

Y ( z 1 ) Te Yf (z 1 )
Tf + Te
+
Tf
Tf +Te
z 1

Fig.VIII.7. Schema de simulare a filtrului numeric de ordin I

Pentru elaborarea mrimii filtrate, Yf(z-1), se realizeaz dou operaii de nmulire, o operaie de
adunare i una de memorare. Operaiile de nmulire sunt mari consumatoare de timp de calcul. De
aceea, pentru reducerea timpului de calcul, se prefer reducerea numrului de nmuliri din relaia
Te
(19), prin adunarea i scderea n membrul drept al relaiei a termenului z 1 Yf (z 1 ) ,
Tf + Te
obinndu-se prin regrupare expresia:
Te
Yf (z 1 ) = z 1Yf (z 1 ) + (Y(z 1 ) z 1Yf (z 1 )) (20)
Tf + Te
n acest caz noua form a valorii filtrate necesit o operaie de scdere, o operaie de nmulire,
una de adunare i una de memorare, crescnd astfel viteza de prelucrare.
Implementarea algoritmului de filtrare se face plecnd de la forma relaiei (20), scris n
domeniul timp (prin aplicarea transformatei Z inverse). Ecuaia cu diferene se poate obine
nlocuind formal variabila complex z-1 cu operatorul de ntrziere cu un pas q-1:
y f (kTe ) = q 1 y f (kTe ) + C f ( y(kTe ) q 1 y f (kTe )) (21)
unde:
Te
Cf = (22)
Tf + Te
Pe de alt parte, dac se are n vedere proprietatea operatorului de ntrziere cu un pas, ecuaia
(22) devine:
y f (kTe ) = y f ((k 1)Te ) + C f ( y(kTe ) y f ((k 1)Te )) (23)
Considernd forma normat a relaiei (23), adic Te=1, se obine:
y f (k ) = y f (k 1) + C f ( y(k ) y f (k 1)) (24)
Implementarea filtrului de ordinul I pe un sistem numeric implic folosirea unui tabel de
decalare pentru valoarea anterioar filtrat yf(k-1), tabel numit i memorie a filtrului (Fig.VIII.8.a).
Algoritmul de filtrare de ordinul I se poate implementa cu ajutorul unui program folosind
organigrama din Fig. VIII.8.b.
START

Iniializare:
Cf, y(0)
y( k )

Deplaseaz yf(k)
- yf(k-1)= yf(k)

y f (k )
y f (k 1) Citete y(k)
Cf
Memoria filtrului
Calculeaz yf(k)
yf(k)= yf(k-1)+Cf(y(k)- yf(k-1))

+
y f (k ) Memoreaz yf(k)

Ateapt urmtoarea perioad


de eantionare, Te

a. b.
Fig.VIII.8. Implementarea numeric a filtrului de ordinul I

88
Sisteme numerice de reglare

Observaie
Ceasul de timp real apeleaz ciclic, cu perioada de eantionare stabilit, Te, secvena de
prelucrare (24).

VIII.2.2. Algoritmul numeric de avans (anticipare) - ntrziere


Funcia de transfer a unui element de avans-ntrziere (EAI) continuu are forma:
Y(s) T1s + 1
H EAI (s) = = (25)
U(s) T2s + 1
unde U(s) i Y(s) sunt mrimile de la intrarea i ieirea EAI.
Elementul de avans-ntrziere (lead-lag) se utilizeaz n scopul obinerii unui rspuns cu
caracter PD (T1>T2) sau cu caracter PI (T1<T2).
Rspunsul EAI la semnal treapt (rspunsul indicial) are forma:

t
T1 T2 T2
1 1 T T 1 1 T1 T2 1
y EAI ( t ) = L1 H EAI (s) = L1 + 1 2
=L + = 1+ e (26)
s s T2s + 1 s T2 s + 1 T2
T2
i este grafic reprezentat n Fig.VIII.9.
y EAI ( t ) T1 > T2

1
T1 < T2

T1 = 0 T1 < 0; T2 > 0

Fig.VIII.9. Rspunsul indicial al elementului de tip avans-ntrziere

Se constat c la momentul iniial valoarea mrimii yEAI(t) este dependent de valorile T1 i T2.
T1 T2 T1
lim y EAI ( t ) = 1 + = (27)
t 0 T2 T2
Observaie
Comportarea elementului de tip avans-ntrziere n preajma momentului iniial poate fi evaluat
i n domeniul operaional cu ajutorul teoremei valorii iniiale:
T1s + 1 1 T1
lim y EAI ( t ) = lim sYEAI (s) = lim s = (28)
t 0 s s T2s + 1 s T2
Se constat c:
pentru T1>T2 predomin aciunea de avans (anticipare), rspunsul yEAI(t) fiind similar cu cel al
unui bloc de reglare PD cu filtrare;
pentru T1=T2 rezult un element de tip proporional cu factor unitar;
pentru 0<T1<T2 predomin aciunea de ntrziere, rspunsul yEAI(t) fiind similar cu cel al unui
bloc de reglare PI cu saturaie;
pentru T1=0 se obine funcia de transfer a elementului de ntrziere de ordin I, rspunsul yEAI(t)
fiind cel al unui bloc de filtrare;
pentru T1<0 (zeroul funciei de transfer pozitiv) rspunsul yEAI(t) este cel al unui sistem de faz
neminim.
Concluzie: Se constat c prin alegerea adecvat a valorilor T1 i T2 se obin comportri variate
pentru EAI.

Discretiznd relaia (25) cu relaia aproximativ (16) se obine:

89
Sisteme numerice de reglare

1 z 1
T1 +1
Y(z 1 ) Te (T1 + Te ) T1z 1
H EAI (z 1 ) = = (29)
U(z 1 ) 1 z 1
(T2 + Te ) T2 z 1
T2 +1
Te
sau
Y(z 1 )((T2 + Te ) T2 z 1 ) ((T1 + Te ) T1z 1 ) U(z 1 )
(30)
1 1 1 1 1
Y(z )(T2 + Te ) T2 z Y(z ) + ((T1 + Te ) T1z ) U(z )
n final, expresia mrimii discrete de ieire este de forma:
T2 T + Te T1
Y(z 1 ) = z 1Y(z 1 ) + 1 U(z 1 ) z 1U(z 1 ) (31)
T2 + Te T2 + Te T2 + Te

Pentru a crete viteza de calcul, prin procedee similare aplicate algoritmului de filtrare de
ordinul I, se obine urmtoarea form, n care intervin numai dou nmuliri:
Te T1
Y(z 1 ) = z 1Y(z 1 ) + ( U(z 1 ) z 1Y(z 1 )) + ( U(z 1 ) z 1U(z 1 )) =
T2 + Te T2 + Te (32)
1 1 1 1 1 1 1 1
= z Y(z ) + C1 ( U(z ) z Y(z )) + C 2 ( U(z ) z U(z ))
unde:
Te T1
C1 = ; C2 = (33)
T2 + Te T2 + Te
Ecuaia cu diferene normat se obine prin substituirea formal a variabilei z-1 cu operatorul
-1
q i considerarea valorii perioadei de eantionare egal cu unitatea:
y(k ) = y(k 1) + C1 (u (k ) y(k 1)) + C 2 (u (k ) u (k 1)) (34)
Implementarea numeric a algoritmului (34) necesit dou tabele de memorare/decalare, unul
pentru mrimea de intrare, iar cellalt pentru mrimea prelucrat (Fig.VIII.10.a). Programul de
implementare a algoritmului are organigrama din Fig.VIII.10.b
START
u (k )
Iniializare:
u (k ) C1, C2, u(0), y(0)
u (k 1)
-
Deplaseaz y(k), u(k)
- y(k-1)= yf(k); u(k-1)= u(k)

y( k )
y(k 1) Citete/memoreaz u(k)
C2 C1
Calculeaz y(k)
y(k)= y(k-1)+C1(u(k)- y(k-1))+ C2(u(k)- u(k-1))

y( k ) Memoreaz y(k)

Ateapt urmtoarea perioad


de eantionare, Te

a. b.
Fig.VIII.10. Implementarea numeric a elementului de tip avans-ntrziere

VIII.2.3. Algoritmul numeric PID


Realizarea tehnic a unui regulator urmrete elaborarea mrimii de eroare, ca i prelucrarea
mai departe a acesteia pentru obinerea mrimii de comand de la ieirea regulatorului. Tipurile de
regulatoare cele mai utilizate astzi n industrie sunt regulatoare standard, a cror comportare se

90
Sisteme numerice de reglare

poate explica prin trei forme de baz idealizate ale elementelor P, I i D. Regulatorul standard cel
mai important are o comportare PID. Modul de aciune principal al unui regulator PID poate fi
explicat printr-o schem de conectare n paralel a cte unui element P, I i D (Fig.VIII.11).

KP
R (s) E (s) U R (s) Y(s)
KI
s
H PF (s)
-
K Ds
Regulator PID
Fig.VIII.11. Schema bloc echivalent pentru un regulator PID continuu

Din aceast reprezentare rezult ca funcie de transfer pentru un regulator PID expresia:
U R (s) K
H R (s) = = K P + I + K Ds (35)
E (s) s
Dac se definesc mrimile
K P = K R - factorul de amplificare (36)

KP
TI = - timpul de integrare (timpul de ntrziere) (37)
KI
KD
TD = - timpul de derivare (timpul de anticipare) (38)
KP
se poate deduce o alt expresie pentru funcia de transfer a unui regulator PID:
U R (s) 1
H R (s) = = K R 1 + + TD s (39)
E (s) TI s
Aceste trei mrimi, KR, TI i TD sunt n mod obinuit ajustabile ntr-un domeniu determinat
(parametrii de acord ai regulatorului). Prin alegerea corespunztoare a valorii parametrilor de acord
se poate realiza adaptarea unui regulator la procesul condus, astfel nct s rezulte cea mai bun
comportare a sistemului. Din relaia (39) rezult c evoluia n timp a mrimii de comand, uR(t),
este de forma:
1
t
d( t )

u R ( t ) = K R ( t ) +
TI ()d + TD
dt
(40)
0
Observaie
n cazul semnalelor de comand unipolare relaia (40) are forma complet:
1
t
d( t )
u R ( t ) = K R ( t ) + ()d + TD + u med (41)
TI dt
0
n acest fel, pentru sistemele electronice unificate cu semnal 2..10mA (4..20 mA), dac
valoarea medie se iniializeaz la umed=6mA (umed=12mA) pot avea loc variaii n ambele sensuri ale
comenzii la polariti pozitive sau negative ale erorii, (t).

Variante discrete ale algoritmului PID continuu


Pentru obinerea algoritmului numeric de reglare de tip PID se poate folosi metodologia
anterioar de discretizare a funciei continue de transfer (39) sau se poate realiza o discretizare n
domeniul timp a ecuaiei integro-difereniale (41). Pentru acest din urm caz, dac pentru
discretizare se utilizeaz metoda dreptunghiului n ntrziere, se obine:
T
k
(kTe ) ((k 1)Te )
u R (kTe ) = K R (kTe ) + e
TI (iT ) + T
i =1
e D
Te
+ u med

(42)

91
Sisteme numerice de reglare

ntruct acest algoritm ofer valoarea total (absolut) a comenzii u(kTe) - de la pasul k - ce
definete poziia elementului de execuie, n literatura tehnic este cunoscut sub denumirea de
algoritm de poziie. Acest algoritm de reglare este mai puin adecvat pentru programare.
O alt variant utilizat este constituit de forma incremental (algoritm de vitez) a
algoritmului PID, prin care se determin numai variaia (incrementul) de la un pas la altul. Astfel,
dac se calculeaz similar uR((k-1)Te) se obine:
T
k 1
((k 1)Te ) ((k 2)Te )
u R ((k 1)Te ) = K R ((k 1)Te ) + e
TI (iT ) + T
i =1
e D
Te
+ u med

(43)

i scznd aceast relaie din relaia (42) rezult incrementul comenzii la pasul k:
u R (kTe ) = u R (kTe ) u R ((k 1)Te ) =
T T
= K R (kTe ) ((k 1)Te ) + e (kTe ) + D ((kTe ) 2((k 1)Te ) + ((k 2)Te ) ) = (44)
TI Te
Te TD T T
= K R 1 + + (kTe ) K R 1 + 2 D ((k 1)Te ) + K R D ((k 2)Te )
TI Te T e Te
sau
u R (kTe ) = u R ((k 1)Te ) + u R (kTe ) = u R ((k 1)Te ) + C1(kTe ) + C 2 ((k 1)Te ) + C3((k 2)Te ) (45)
unde parametrii C1, C2 i C3 se determin cu relaiile:
T T T TD
C1 = K R 1 + e + D ; C 2 = K R 1 + 2 D ; C3 = K R (46)
TI Te Te Te
Observaie
Dac n relaia (44) se nlocuiete TI, se obine relaia pentru un algoritm PD, iar dac TD=0
se obine relaia pentru un algoritm PI.

Varianta normat a ecuaiei cu diferene (45) are forma:


u R (k ) = u R (k 1) + C1(k ) + C 2 (k 1) + C3(k 2) (47)
Implementarea numeric a acestui algoritm se poate realiza conform Fig.VIII.12:
( k )

( k )
(k 1)
(k 2)

u R (k )
C3 C2 C1 u R (k 1)

u R (k )

Fig.VIII.12. Implementarea numeric a algoritmului PID incremental

Aplicnd transformata Z relaiei (45) se obine relaia:


U R (z 1 )(1 z 1 ) = (C1 + C 2 z 1 + C 3 z 2 )E(z 1 ) (48)
Funcia de transfer discret are forma:
U R (z 1 ) C1 + C 2 z 1 + C 3 z 2
H (z 1 ) = = (49)
E (z 1 ) 1 z 1
Algoritmul incremental este utilizat ndeosebi cu elemente de execuie de tip integrator i
prezint o serie de avantaje n raport cu algoritmul de poziie:

92
Sisteme numerice de reglare

la o ieire din funciune a blocului numeric de reglare elementul de execuie rmne pe poziia
anterioar i deci nu intervin ocuri n funcionarea instalaiei tehnologice; de asemenea, la trecerea
de pe comanda manual pe funcionare automat nu mai este necesar o echilibrare (respectiv
alinierea valorii comenzii de la ieirea blocului numeric de reglare, cu poziia momentan a
elementului de execuie), echilibrare necesar n cazul algoritmului poziional, utilizat cu elemente
de execuie care nu sunt de tip integrator, ntruct transmiterea unor incremente determin numai
variaii mici ale poziiei elementului de execuie i acestea nu pot provoca ocuri.
n expresia algoritmului incremental nu mai apare valoarea umed i deci la punerea n funciune
operatorul nu mai trebuie s introduc manual aceast valoare.
n aceast expresie nu mai intervine suma erorii i ca urmare este eliminat pericolul atingerii
valorii de saturaie a comenzii - cu continuarea integrrii - ceea ce ar putea altera performanele
sistemului.

Alegerea optim a perioadei de eantionare


Implementarea unui algoritm numeric PID presupune i alegerea optim a perioadei de
eantionare, Te. Criteriile utilizate curent pentru alegerea perioadei de eantionare sunt:
performanele impuse sistemului de reglare;
dinamica procesului;
spectrul de frecven al perturbaiilor;
tipul elementului de execuie;
echipamentul de msur;
modelul procesului identificat;
eficiena economic a sistemului numeric de reglare automat.
Dac se consider performanele impuse sistemului numeric de reglare automat pe baza
lrgimii de band a sistemului n circuit nchis, B=2fB, perioada de eantionare se alege n gama:
1 1 1
Te (50)
16 8 f B
n funcie de dinamica procesului sunt mai multe moduri de alegere a perioadei de eantionare.
Astfel, dac timpul mort al procesului este dominant se va folosi relaia:
1 1
Te (51)
8 4
O alt modalitate de stabilire a perioadei de eantionare se bazeaz pe determinarea rspunsului
indicial al procesului i estimarea timpului de cretere t95% din valoarea de regim staionar,
obinnd:
1 1
Te t 95% (52)
12 6
Dac, prin identificare, se dispune de un model matematic al procesului condus perioada de
eantionare se poate alege cu relaia:
Te 0.1 Ti (53)
i
unde Ti reprezint constantele de timp dominante ale prii fixate continue.

Acordarea algoritmilor numerici PID


Ipoteza de cvasicontinuitate presupune alegerea perioadei de eantionare, Te, de exemplu
conform relaiei (52). n acest caz, algoritmii discrei PID au o comportare cvasiidentic cu cei
continui, din care au fost obinui prin diverse metode de discretizare, iar acordarea lor se poate face
prin metode specifice cazului continuu.
S-ar prea deci c pentru algoritmii PID cvasicontinui, preluarea fidel a metodelor din cazul

93
Sisteme numerice de reglare

continuu se face imediat, dar realitatea specific aplicaiilor industriale cu aceti algoritmi nu a
validat toate relaiile (numerice) de acordare care caracterizeaz diferite metode folosite n cazul
continuu. ns aceste relaii cu coeficieni numerici bine precizai se pot reine ca valori de
iniializare n procedurile iterative de acordare a regulatoarelor numerice.

Datorit analogiei cu cazul continuu i n situaia algoritmilor cvasicontinui s-au impus aceleai
metode de acordare bazate pe:
atingerea limitei de stabilitate;
acordarea iterativ prin ncercri;
acordarea algoritmilor prin realizarea n prealabil a identificrii unui model sistemic al
procesului;
utilizarea caracteristicilor de frecven identificate ale procesului.

1. Metode bazate pe atingerea limitei de stabilitate


Aceste metode experimentale sunt frecvent folosite n practica automatizrii proceselor
continue relativ lente, uzuale. Procedeele se aplic de regul atunci cnd mrimea de referin a
sistemului automat este de tip treapt, iar perturbaiile (neexcesiv de mari) au o dinamic mai lent
dect parametrii reglai. De asemenea, se aplic n reglrile nepretenioase din punct de vedere al
indicilor de calitate ai regimului tranzitoriu indicial. Cel mai utilizat criteriu de acordare este
Ziegler-Nichols, denumit i criteriul suprafeei minime sau al coeficientului de amortizare.
n cazul continuu, criteriul este de tip integral avnd aspectul:

J (K R , TI , TD ) = (t) dt = min .
0
(54)

Dac rspunsul indicial are aspectul din Fig.VIII.13, iar gradul de amortizare este
3 1
= = (55)
1 4
atunci acei parametri KR, TI, TD pentru care rspunsul indicial satisface acest raport minimizeaz
indicele J.
1 3
Kf

Fig.VIII.13. Rspunsul indicial al sistemului continuu

Cum se calculeaz parametrii optimi de acordare a regulatorului PID?


Considernd sistemul n bucl nchis, iar TI = i TD =0, adic un regulator de tip P, se
modific valoarea KR pn cnd se atinge regimul de autooscilaii stabile (limita de stabilitate), cu
perioada de oscilaie T0, Fig. VIII.14.

Kf

T0
t
Fig. VIII.14. Funcionarea sistemului n regimul de autooscilaii stabile
Astfel, cunoscndu-se experimental KRlim i T0 se pot stabili diferite relaii de acordare pentru
parametrii KR, TI, TD, n diverse configuraii P, PI, PD, PID. De exemplu, pentru o configuraie PID
fr factor de interinfluen se dau urmtoarele relaii

94
Sisteme numerice de reglare

KRopt=0.75 KRlim, TIopt=0.6 T0, TDopt=0.1 T0 (56)


relaii pentru care se realizeaz condiia (55) i implicit (54).

n cazul discret cvasicontinuu, relaiile (56) pot fi considerate ca valori de iniializare n cadrul
unor proceduri iterative, ipoteza de cvasicontinuitate nensemnnd i identitatea celor dou tipuri de
algoritmi continui i discrei.

2. Acordarea prin ncercri succesive


Metodele bazate pe atingerea limitei de stabilitate au dezavantajul unor suprareglaje (n prima
etap) excesiv de mari, care introduc de multe ori efecte tehnologice negative. Procedeele de
acordare prin ncercri succesive caut s optimizeze calitatea rspunsului tranzitoriu fr aducerea
la limita de stabilitate. n acest scop, la intrarea sistemului automat se folosete un set de mrimi de
referin de tip treapt subunitar (de amplitudini mici). n literatura de specialitate se descriu
detaliat diverse asemenea metode, n care parametrii KR, TI i TD (sau KR, KI i KD) se modific n
anumite succesiuni, n etapa de acordare dispunnd - prin intermediul nregistratoarelor - de
variaiile n timp ale parametrului reglat n bucl nchis.

3. Metode bazate pe identificarea procesului


Majoritatea metodelor uzuale se bazeaz pe identificarea relativ simpl a unor modele sistemice
continue (funcii de transfer) ale procesului cu unul sau doi poli dominani:
Kf Kf
H1 (s) = e s H 2 (s) = e s (57)
Tf s + 1 (Tf 1s + 1)(Tf 2s + 1)
De exemplu modelul H1(s) poate fi uor identificat, folosindu-se rspunsul indicial al
procesului (Fig.VIII.15).

Kf

Tf
Fig.VIII.15. Rspunsul indicial al unui sistem de ordinul I

Cu ipoteza de cvasicontinuitate ndeplinit, se acordeaz algoritmul PID continuu, apoi se


folosete varianta sa discret, cvasicontinu, obinut prin discretizare.
Pentru procese continue cu o constant de timp dominant, n practica de specialitate s-au
impus diverse relaii numerice de calcul al parametrilor KR,TI i TD, n funcie de parametrii
modelului continuu, Kf, Tf i . Astfel de relaii de acordare sunt relaiile Ziegler-Nichols,
Cohen-Coon, W.Oppelt, Chien, Hrones, Reswick, Kopelovici, Smith-Murrill etc.
Aceste metode genereaz puncte de iniializare (KR0,TI0,TD0) n cadrul unor proceduri iterative i
inevitabil experimentale, prin care se optimizeaz valorile parametrilor de acordare.

95
Bibliografie selectiv
1. B.C.Kuo, 1967, Sisteme automate cu eantionare, Editura Tehnic, Bucureti
2. M. Smpleanu, 1980, Circuite pentru conversia datelor, Editura Tehnic, Bucureti
3. I. Dumitrache .a., 1982, Automatizri i echipamente electronice, Editura Didactic i
Pedagogic,Bucureti,
4. S. Clin .a., 1984, Reglarea numeric a proceselor tehnologice, Editura Tehnic, Bucureti
5. D. Sngeorzan, 1990, Echipamente de reglare numeric, Editura Militar, Bucureti
6. I. Dumitrache .a., 1993, Automatizri electronice, Editura Didactic i Pedagogic, Bucureti
7. Gh. Livin, 1994, Teoria sistemelor, Universitatea Tehnic Iai
8. C. Lazr .a., 1996, Conducerea asistat de calculator a proceselor tehnice, Editura Matrix Rom,
Bucureti
9. C. tefnescu, N. Cupcea, 2002, Sisteme inteligente de msur i control, Editura Albastr, Cluj-
Napoca
10. M. V. Nemescu, 2005, Tehnica reglrii automate, Editura Politehnium, Iai

S-ar putea să vă placă și