Sunteți pe pagina 1din 186

Mlhaela Ungureanu

====ROlVI
BUCURE$TI

lVIATRIX

G. Mihaela UNGUREANU

PREL UCRAREA DIGIT ALA A SEMNALELOR

MATRIX ROM BUCURESTI2008

Be/UPB
BiblElectronica

MATRIXROM
III I II """1"'111"111"1\"\1'1 BUP09-2116
'II

C.P.16 -162 062510 - BUCURE~TI tel. 021.4113617, fax 021.4114280 e-mail: office@matrixrom.ro www.carteuniversitara.ro

peste Mihc
SUPERIOR

Editura MATRIX ROM este acreditata de CONSILIUL NATIONAL AL CERCETARII $TIINTIFICE DIN INVATAMANTUL , "

Prell
Sign. Micr curSt

Referenti $tiintifici:

Prof. dr. ing. Rodica Strungaru - Universitatea "POLITEHNICA" Bucure~ti Departamentul Electronica ~i Informatica Medicala Prof. dr. ing. Radu Zaciu

prop:
seCVt

disCI mete disCI desc: evidt

gene
Descrierea CIP a Bibliotecii Nalionale a Romanie! UNGUREANU, MIHAELA GEORGETA Prelucrarea digitala a semnalelor I G. Mihaela Ungureanu Bucureti, Matrix Rom, 2008 Bibliogr. ISBN 978-973-755-409-3

free,
cony [mitt mete

004.383.3(075.8)

calcl

capi1

zgor
(tran

ISBN

978 - 973 - 755 - 409 - 3

Prefata ,
Cartea reprezinta rezultatul experientei didactice ~i de cercetare de peste zece ani in domeniul prelucrarii semnalelor a doamnei Conf. Dr. G. Mihaela Ungureanu. Activitatea didactica a autoarei a indus cursurile Prelucrarea Numerica a Semnalelor, anul III, Inginerie Economica ~i Digital Signal Processing for Automotive, Masterat, specializarea Advanced Microelectronics ~i se adreseaza in primul studentilor ce frecventeaza cursurile de prelucrari numerice de semnale. Cartea este structurata in urmatoarele noua capitole: Semnale. Tipuri de semnale. - Prezinta tipurile de semnale, proprietati1e secventelor, exemple de secvente, operatiile eIementare cu secvente ~i reprezentarea grafica a acestora. Transformata Fourier Discreta - Prezinta Transformata Fourier discreta, proprietatile ~i aplicabilitatea acesteia. Transformata Z - Prezinta transformata Z, proprietatile acesteia ~i metodele de determinare a transformatei Z inverse Sisteme discrete - Acest capitol prezinta proprietatile sistemelor discrete ~i modalitatile de reprezentare a acestora. Filtre numerice - In acest capitol sunt prezentate modalitatile de descriere a filtreIor numerice ~i metodele de proiectare a filtrelor FIR ~i IIR, evidentiind proprietatile filtrelor FIR cu faza liniara. E~antionarea semnalelor continue - Capitolul puncteaza problemele generate de e~antionarea semnalelor continue, posibilitatea de schimbare a frecventei de e~antionare in domeniul discret, probleme generale ale conversiei AID ~i modalitatile de determinare a zgomotului datorat lungimii fmite a cuvintelor de cod. Estimare ~i analiza spectrala - In acest capitol sunt prezentate metodele neparametrice ~i parametrice de analiza spectrala. Algoritmi iterativi. Filtre adaptive - Capitolul prezinta modalitati de calcul online al parametrilor statistici ai semnalelor ~i filtrele adaptive. Metode modeme de preIucrare numeridi a semnalelor - In acest capitol sunt prezentate diferite metode de extragere a semnalelor din zgomot, precum ~i metode modeme de prelucrare a semnalelor (transformate timp-frecventa, peA, leA, anulatorul de zgomot sincron).

OR

,~ti
ala

Lucrarea prezinta clar, explicit, probleme ale prelucriirii numerice a semnalelor, evidentiind in final aplicatii concrete ale acestui domeniu. In acest sens, cartea se dore~te a fi un instrument in intelegerea problematicii . fundamentale din domeniul prelucrarii numerice a semnalelor. Autoarea multume~te in primul rand referentilor ~tiintifici pentru atenta parcurgere a lucriirii ~i pentru sugestiile utile exprimate de ace~tia: Prof. Dr. lug. Radu Zaciu ~i Prof. Dr. lug. Rodica Strungaru. Autoarea multume~te pe aceasta cale domnului Prof. Radu Zaciu pentru initierea in domeniul prelucriirii semnalelor numerice ~i pentru increderea acordatii autoarei la debutul carierei acesteia, prin Prof. Werner grupul dansului. De asemenea autoarea este recunoscatoare acceptarea in Wolf, de la Universitat der Bundeswehr, Miinchen, Germania, pentru permanenta contributie la dezvoltarea carierei autoarei, in 'domeniul prelucriirii numerice a sernnalelor ~i doamnei Prof.Dr.Ing. Rodica Strungaru pentru ajutorul continuu oferit de-a lungul ani lor. In final, dar nu in ultimul rand, autoarea multume~te [amiliei pentru sprijinul permanent acordat.
I

Pref, CUpI 1. 1.

s~

Bucure~ti, 2008

1.:
Autoarea

1.:

1.L

1.E

2. Tr. dis

2.1

2.~

a
In ~ll
ru

Cuprins
Prefata 5 Cuprins 7 1. Sernnale. Tipuri de sernnale 11 1.1. Tipuri de semnale 11 1.1.1. Sernnalul continuu In timp ~i In amplitudine 11 1.1.2. Sernnalul continuu in amplitudine ~i discret In timp (secventa) 12 1.1.3. Semnalele discrete In amplitudine ~i In timp (digitale) 12 1.1.4. Sernnalul continuu In amplitudine ~i continuu In timp, a ciirui variatie are loc la momente discrete de timp 13 1.1.5. Sernnalele cu valori discrete ale amplitudinii ~i continuitate In timp 13 1.2. Proprietati ale secventelor 14 1.2.1. Secvente periodice 14 1.2.2. Secvente pare 14 1.2.3. Secvente impare 14 1.2.4. Secvente marginite 15 1.2.5. Secvente cauzale 15 1.3. Exemple de secvente : 15 1 .3.1. Sernnalul impuls discret.. 15 1'.3.2. Sernnalul treapta unitara 16 1.3.3. Secventa constanta 17 1.3.4. Secventa exponentiala ~i derivatele ei: secventa cosinusoidalii ~i secventa sinusoidala 17 1.4. Operatii elementare cu secvente 18 , ' 1.5. Reprezentarea grafica a operatiilor elementare 19 2. Transformata Fourier Discreta.. 21 2.1. Transformata Fourier. Serii Fourier. Transformata Fourier In timp discret. Transforll1ata Fourier discreta. Definitii 21 2.2. ,Proprietati ale TFTD, TFD 25 2.2.1. Liniaritatea 25 2.2.2. Deplasarea secventei frecventei 25 2.2.3. Dualitatea TFD 26 2.2.4. TFD a secventei complex conjugate 26
7

a:
1U

ru
In

er ru

lil
ru ul

2.2.5. Proprietatile de simetrie 26 2.2.6. Deseompunerea unei seevente ea suma de 0 seeventa para ~i una impara 27 2.2.7. TFD a seeventei obtinute prin convolutie circulara 27 2.3. Aplieatii ale TFD: Calculul convolutiei liniare utilizand TFD 28 3. Transformata Z 31 3.1. Transformata Z. Definitie 31 3.2. Proprietatile transformatei Z 33 3.2.1. Liniaritatea 33 3.2.2. Translatia in domeniul timp 33 3.2.3. Convolutia in domeniul timp 33 34 3.2.4. Convolutia in domeniul freeventa 3.2.5. Teorema lui Pareeval.. 34 3.2.6. Transformata z a secventei multiplicate eu k 34 3.3. Transformata Z inversa 34 35 3.3.1. Deseompunerea in fractii simple 3.3.2. Folosirea teoremei reziduurilor 37 3.3.3. Dezvoltarea in serie de puteri ale lui X(z), eehivalenta eu impartirea polinomiala B(z)j A(z) 39 41 4. Sisteme discrete 4.1. Defmitii: sisteme stabile, cauzale, liniare, invariante in timp 41 4.2. Reprezentarea sistemelor discrete 44 4.2.1. Reprezentarea sistemelor prin eeuatii cu diferente finite (EDF) 44 4.2.2. Deserierea sistemelor numeriee prin grafuri primitive de semnal. 49 53 4.2.3. Reprezentarea sistemelor discrete cu variabile de stare 5. Filtre numerice 59 5.1. Generalitati. Filtre numerice recursive. Filtre numeriee nereeursive 59 5.2. Reprezentarea filtrelor numerice LIT 60 5.2.1. Simboluri utilizate in reprezentarea filtrelor numerice 61 5.2.2. Structuri pentru filtre recursive 61 5.2.3. Structuri pentru filtrele nereeursive 66 5.3. Proiectarea filtrelor digitale 68 5.3.1. Filtre FIR eu faza liniara 69 5.3.2. Proieetarea filtrelor FIR 75 5.3.3. Proieetarea filtrelor IIR , 93 6. E~an_tionarea semnalelor continue 105 6.1. E~antionarea periodica 105

6,

6. 6.
Cl

7.E
7.

7. 8.A

8.
8.

III 8.
ut
9.M

9.
in

26
ITa

27 27
28 31 31
33 33 33

33 34 34 34 34 35 37

cu
39 41 41 44
rite

44
de 49 53

59 Ice 59

60 61
61 ,66 ,68

.69
. 75

.93
105 105

semnalelor e~antioanate in domeniul frecventa 107 6.1.2. Reconstituirea semnalului de banda limitata din e~antioanele sale 111 6.1.3. Prelucrarea in timp discret a semnalelor continue in timp ......................................................................................... 113 6.2. Modificarea frecventei de e~antionare prin procesari in timp discret 117 6.2.1. Reducerea ratei de e~antionare cu un factor intreg 117 6.2.2. Cre~terea frecventei de e~antionare cu un factor intreg .. 121 6.2.3. Schimbarea ratei de e~antionare cu un factor neintreg rational prin prelucrari numerice 124 6.3. Conversia AJD 125 6.4. Calculul zgomotului de rotunjire datorat lungimii finite a cuvintelor de cod 130 7. Estimare ~i analiza spectrala 133 7.1. Metode neparametrice 136 7.1.1. Metoda periodogramei 136 7.1.2. Metode de mediere ale densitatii spectrale de putere Estimatorul Bartlett 138 7.1.3. Metode de mediere ale densitatii spectrale de putere Estimatorul Welch 139 7.1.4. Metoda Blaclanan- Tukey 140 7.2. Metode parametrice 141 8. Algoritrni iterativi. Filtre adaptive ..: 147 8.1. Calculul iterativ al funtiei de autocorelatie 147 8.2. Algoritm recursiv pentru calculul functiei de autocorelatie ~i interconilatie 151 8.3. A1goritmul Schur RLS pentru extragerea semnaieior din zgomot utilizfu1d filtrarea adaptiva 152 9. Metode moderne de pre1ucrare numerica a semnalelor 155 9.1. Aplicatie: eliminarea semnalului ECG din alte semnale fiziologice inregistrate neinvaziv , 155 9.1.1. Analiza Componentelor Principale (Principal Component Analysis - PCA) 155 (} -I ') A nnl;~n I'" "'"'" ""0"" "''"'t'''l''r Tnrl rl t flnrlp1"Ipndpnt n n ""'''.I..U,,-, n o. 1.'-. r"l. Q,11Lia VVJ.J.J.,p J..1'-'J.~ V ~~J.U"'.P".J. \ ...... _y_.L.I. ....... Component Analysis - leA) 156 9.1.3. Proiectia neliniara a spafiului stadlor (Nonlinear statespace projections - NSSP) 158
\of

6.1.1. Reprezentarea

9.1.4. Anulatorul de zgomot sincron (Event Synchronous Interference Canceller - ESe) 159 9.2. Transformate timp- frecventa 170 9.2.1. Transformata Fourier pe termen scurt - TFTS (Short Time Fourier Transform) 170 9.2.2. Transformata Wavelet.. 172 Bibliografie 179 bioI, acth Din sune acth din. pent senu sau ~ timp

(de (
de 0 semr

ampl sunt Reprl

10

Semnale. s
9 P

Tipuri de semnale

1. SEMNALE. TIPURI DE SEMNALE

:2 I
19

Prin semnal se illtelege 0 manifestare a unui sistem (fizic, biologic,etc), care serve~te ca mijloc de comunicare, ca manifestare a activitatii unui sistem sau generat pentru a testa proprietati1e unui sistem. Din prima categorie fac parte semnalele acustice (vorba, muzica, in general sunete), semnale vizuale (imagini), din a doua, semnalele generate de activitatea cardiaca (ECG), cerebrala (EEG), undele seismice, iar exemple din a treia categorie sunt semnalele radar, semnalele ecografice, semnalele pentru masurarea proprietatilor scoartei terestre. Indiferent de tipul, semnificatia sau utilitatea semnalelor, acestea pot fi reprezentate matematic. Un semnal este definit matematic printr-o functie unidimensionala sau vectoriala, in functie de tipul de informatie ce 0 reprezinta. In general, semnalul este 0 functie temporala (depinde numai de timp). Exista semnale care pe Hinga variatia in timp, prezinta ~i una spatiala (de exemplu: semnalul imagine in televiziune, RMN in medicina). Semnalele se numesc deterministe daca evolutia lor poate fi descrisa de 0 functie bine precizata de timp. Prin opozitie, un semnal aleator este un semnal a carei evolutie nu po ate fi descrisa de 0 functie temporara.

1.1.

Tipuri de semnale

1.1.1. Semnalul continuu in timp ~iin amplitudine Acest semnal are 0 gama continua de valori amplitudine. Aceste semnale sunt cele mai raspandite sunt reprezentate prin functii scalare sau vectoriale, Reprezentarea grafica a acestuia este exemplificata in atat ill timp cat ~i in in situatii1e practice ~i de variabila continua. Fig. I.

11

PRELUCRAREA DIGITALA A SEMNALELOR

x(t)

Fig. 1. Semnal continuu in timp ~i in amplitudine

1.1.2. Semnalul continuu in amplitudine ~i discret in timp (secventa)


Acest tip de semnal este definit la momente discrete de timp iar amplitudinea sa are valori continue ~i se mai nume~te ~i secventa. Se noteaza cu X(tk), unde k E I, {Xk} sau {X(tk)}. El se obtine de obicei prin e~antionarea semnalului continuu. Multimea I, este multimea ordonata de intregi. Un exemplu este prezentat in Fig. 2.
X(tk)

car
sau

I
o

/-

....

....

Fig. 2. Semnal continuu in amplitudine ~i discret in timp

1.1.3. Semnalele discrete in amplitudine ~iin timp (digitale)


Acest tip de semnale se int:alne~tein sistemele digitale de prelucrare a semnalelor ce pot fi reprezentate de un calculator, un procesor digital de semnale, sau de un program de prelucrare a semnalelor. Spre deosebire de semnalul anterior, amplitudinea acestui tip de semnal are valori intr-o mulpme finita de valori discrete (modul de oblinere al acestor semnale din semnalele reale continue in timp ~i in amplitudine este prezentat detaliat in capitolul E$antionarea semnalelor continue).

con
anal

12

Semnale. Tipuri de semnale

'0-1 /

::+ I4'"

Xd(tk)

t1

I t2

....

t3 t4

--:-

.....

t
Fig. 3. Semnal discret in amplitudine ~iin timp

[
e

1.1.4. Semnalul continuu in amplitudine ~i continuu in timp, a carui variatie are loc la momente discrete de timp
Acest tip de semnal se obtine la ie~irea circuitelor StH (samplelhold sau e~antionare ~i memorare).
xem(t)

Fig. 4. Semnal SIH

[e
de

1.1.5. Semnalele continuitate in timp

cu

valori

discrete

ale

amplitudinii

~i

roo de

analogice (CDA). de semnale apare la ie~irea convertoarelor Acest tip

digitale

tin

in

13

PRELUCRAREA DIGITALA A SEMNALELOR

I /... .......

.....
"....

inter
O'

t1

t2

t3

t4 t~

i6

17

Fig. 5. Semnal de la ie~irea CDA

1.2. Proprietati ale secventelor 1.2.1. Secvente periodice

o secventa

se nume~te periodica dad ~i numai: (1)

unde Neste eel mai mic numar natural pentru care relatia (1) este satisfacuta ~ise nume~te perioada secven!ei. eu f

1.2.2. Secvente pare

e~ar
{xCA

o secventa

se nume~te para daca ~i numai daca: (2)

1.2.3. Secvente impare

o secventa

se nume~te para daca ~inumai daca:


x[k]==-x[-kl Vk

(3)

fini1

14

1-;

Semnale.

Tipuri de semnale

ill''"
':f", ,'.,::~

\%~~'

.Observafie:

In cazul secventelor impare x( 0) == 0 .

1.2.4. Secvente marginite

o secventa se nume~te marginita daca ~i numai daca exista un astfelincat: interval 1==[N1,N2],
0, in E {* 0, krestI (4)

x[k]

==

1.2.5. Secvente cauzale ,

o
x[k]

secventa

se

nume~te

cauzala

daca

~i

numai

dadi

rest = 0, In k ~ 0 .

{* ~,

1)

1.3. Exemple de secvente


Semnalele discrete (secventele) se obtin de obicei prin e~antionarea cu pas constant (la intervale constante de timp, T, ce repezinta perioada de e~antionare)a semnalelor continue. N otatiile utilizate pentru semnalul discret astfel obtinut sunt: {x(t k)}'
{x( k)}, x( k), x( kT), 2)

x[ k ], unde Teste perioada de eantionare:

(5)

1.3.1. Semnalul impuls discret


Este echivalentul discret al semnalului Dirac (semnal de energie finita,deci semnal fizic realizabil). Este definit de relatia:

3)

15

~------

PRELUCRAREA DIGIT ALA A SEMNALELOR

o[k]= {1,k = 0 O,k;t 0

(6)

Acest tip de semnal sta la baza determinarii functiei ponderea sistemului, a~a cum semnalul Dirac este utilizat in determinarea functiei pondere a sistemelor continue. cosi

L..

Fig. 7. Impulsul unitar

1.3.2. Semnalul treapta unitara Este definit in mod analog semnalului treapta unitara continua, avand valori nenule pentru valori pozitive ale timpului, n. (7) unde

diSC

o-[k]

= { 0, in 2:0 l,k rest

rut -, --,--t- 'nu_


I I
o 1 2 3 k Fig. 8. Functia treapta unitara

secv( smus

-~4

.,

~-

r,

r,

Observatie: b'lkj=atkJ-atk-1J
uncle

16

Semnale.

Tipuri de semnale

(6)

1.3.3. Secventa constanta ,

erea ~~iei 1.3.4. Secventa exponentiala cosinusoidala ~i secventa sinusoidala

(8)

~i

derivatele

ei:

secvcnta

Secventa exponentiala discreta reala Se ob!ine din secven!a exponentiala continua (x[k] = rk, k E Z):

(9) Secventa exponentiala complexa Este utilizata In analiza raspunsului discreteliniar invariante In timp. Este definita de relatia:
.2"k J-"

In frecven!a al sistemelor

tinua,

(7)

x[k]=e

(10)

uncle Neste un numar Intreg. Secventa este periodica, cu perioada N. Partea reala a acestei secvente este secventa cosinusoidala iar partea imaginara este secventa sinusoidala. Partea reala ~i partea imaginara se obtin cu relatiile lui Euler:

(11)

unclee jm = cos e + j sin e .

Secventa sinusoidala: s[k] = sin

21f k

17

PRELUCRAREA DIGITALA A SEMNALELOR

Secventa cosinusoidahi: c[k] = cos 2Jr k

segn Observatii: , 1) atat funclia sin cat ~icea cas soot periodice, cu perioada N; 2) semnalul Dirac poate fi folosit pentru descrierea matematica a oricarei secvenle digitale: asup
x[k] = fx[n]a[k-n], -00 6[k-n]=

O:inrest {lk=n

(12)

Aceasta relalie este utila in analiza sistemelor discrete liniare invariabile in timp.

1.4.

Operatii elementare ell secvente

Orice tip de filtru numeric poate fi caracterizat (bine precizat) de 0 succesiooe de operalii elementare: 1) adooarea secvenlelor

2) inmullirea cu un scalar

3) inwzierea secventei cu 0 perioada Fie ~-1 operatorul inwzierii secvenlei eu

perioada.

4) depiasarea Inainte cu 0 perioada Fie ~ operatorul deplasarii secvenlei in avans cu 0 perioada.

18

Semnale. Tipur; de semnale

5) lnmultirea Se realizeaza prin lnmultirea e~antioanelor corespunzatoare acelora~i segmente de timp.

~aa

6) operatorul neliniar Se obtine secventa de ie~ire prin aplicarea operatorului neliniar asuprafiecarui e~antion asupra segmentului de intrare:

(12)

Hare

7) convolutia secventelor Este definita de operatorul *:


*, {Xl [k n, {X2[k n:=} {y[k n = {Xl [k n * {X2[k n,
y[k]= i:Xl[nlx2[k-n]=
n;::;-oo

i:Xl[k-nlx2[n]
n=-oo

de 0

1.5.

Reprezentarea grafica a operatiilor elementare

x[k]

y[k] =ax[k]

19

PRELUCRAREA

DIGITALA A SEMNALELOR

x[k]

.y[k]=x[k-l]

x[k]

y[k]=x[k+l]

x[k]

e----{!J----+

y[k] = r(x[kD

Tran conti

defir
tram

inter repet Fig. 9. Reprezentarea grafica a operapilor elementare cu secvente

num~

20

Transformata

Fourier Discretd

2. TRANSFORMATA FOURIER DISCRETA

.2.1. Transformata Fourier. Serii Fourier. Transformata Fourier in timp discret. Transformata .. ourier discreta. Definitii. F ,
Transformata Fourier Discreta (TFD sau DFT - Discrete Fourier Transform) este 0 transformata Fourier ce permite estimarea frecventelor continute Intr-o secventa de durata finita. Pentru defmirea acesteia, facem analogie cu transformatele Fourier definitepentru sernnalele continue. Atunci cand semnalul continuu nu este periodic el este descris de transformata Fourier, respectiv de transformata Fourier inversa.
00

F(Q) = fJ(t)e-iQt dt
-00

(1)

00

J(t) = -21f f F(Q)eJQt dQ


-00

(2)

Un sernnal continuu este periodic daca valoarea lui se repeta la un intervalbine determinat de timp. eel mai mic numar pentru care valoarea se repetase nume~te perioada semnalului. Matematic, periodicitatea se exprima prin rela!ia:
:nte

x(t)

= x(t + nTo),

"i/

t unde To - perioada sistemului, ~i n este un

numarIntreg. Un sernnal continuu periodic este descris printr-o serie Fourier: (3)

21

. I

PRELUCRAREA DIGITAL~ A SEMNALELOR

n .... . tctenln senel Founer: un de Uo = -21!. tar an sunt coe fi' ,


To

(4)

res]

In mod analog se define~te Transformata Fourier In Timp Discret (TFTD) ~i inversa ei (TFTDI), pentru secven1e de duratii injinitii. Fie secvenla x[k] = f(kTo) ohlinuta prin e~antionarea sernnalului continuu !(t) cu perioada constanta To. TFTD este determinata prin relatia: (5)

X(ei{i})= fx[k].e-ik{i},
k=-oo

res

unde

OJ

= 21!

L Fs

reprezinta

frecvenla digitala, adimensionala,

~ =~
To

reprezentand frecventa de e~antionare.


un,

Observatie: TFTD este periodica cu perioada

27t.

Secventa originala este determinata de transformata Fourier in timp discret inversa (TFTDI):
cat

(6)

ex]

tra
dis Pri

unde integral a se calculeaza pentru 0 perioada, 27t, de obicei consideranduse in formula anterioara intervalul I::;; [-1T,JZ-]. Atunci cand secven1a discretii este periodicii cu perioada N,
1 [' (0, k ~ fo, N -11 xlkj=xlk+nNJ>nEZ, sau de duratiifinitii, xkJ=~ L:;c-0, k [0, N -1 se ~] E defme~te Transformata Fourier Discretii (TFD sau DFT - Discrete Fourier Transform):
~ p

un

22

Transformata Fourier Discretii

X [N-l IX[k].e n]=


k=O

,2;r -IN 1m

(7)

(4)

respectiv transformata Fourier discretA inversii TFDI: [k]=-1 N-} IX[n]e


N
n=O

ere!

l;r /en N

(8)

Fie
r(t)

Aceste relatii mai pot fi scrise sub forma:


N-}

X[n]=

(5) respectiv:

Ix[k].wNIm,
k=O

(9)

1
N

N-}

x[k]=- IX[nlw~,
n=O

(10)

,2;r J-

unde wN

=e

(6)

Observatie 1. TFD este periodic ii, cu perioada N, ~i este prin urmare complet caracterizatii prin N valori. Importanta acestei proprietiiti constii in faptul cii existii posibiiitatea caicuHirii exacte a transformatei Fourier discrete ~i a transformatei Fourier discrete inverse. 2. Cele N valori ale lui x[k] ~i respectiv ale transformatei Fourier discrete, X[n], pot reprezenta componentele unui vector x, respectiv X. Prinurrnare, TFD poate fi scrisii sub formii matricealii sub forma: X=Wx
(11)

Idu-

N,

] se
mer

undevectorii sunt definiti sub forma unei coloane:

23

PRELUCRAREA DIGITAL4. A SEMNALELOR

(12) x= [ X(~-l) X(O) W este 0 matriee


s;,

1 ,x=,-X(~_l)j' r X(O)

eu dirnensiunea

N x N avand elernentele

Wn,k -- w-kn , unde 0 s;, k,n N

N-1

Tabel 1- Formule ale transforrnatelor introduse


TF
'"

J(t)
energie jinitii

F(jOJ)

= fJ(t)e-jtiJtdt

(TF)

SF
J(t)

I
1 a(n) = To
To .

periodic

fJ(t)e-JnDo'dt
1
To

(SF)

(To~
TFTD

:.J

OQ

J(t) = La(n)einDo'
n=-<x>

(SFI) (TFTD)

e~an

x[k] = J(kTo)

X(eiOJ)= Ix[k].e-ikal
k=-oo

X[k]=_1 fx{eial).eJkaJdaJ 27i


-1l

(TFTDI)

Dad

TFD
x[k] X[n]

N-I

.21l1m -J- .

= Lx[k].e
k=O

N
'1

(TFD)
j""ll 1m N

periodiea sau durata finita

de

1
N-I

N-I

x[k]=-. LX[nJ.e
N hO
X[n] = LX[k].wNkn
k=O

(TFDI) unde

. _

N..=,l

I I

x[kj=-- LX[nJ,wi:; N k=O

pena

!
oj Jil
24

Transformata

Fourier Discretii

2.2.
(12)

Proprietafi ale TFTD, TFD

2.2.1. Liniaritatea entele

Wldelungirnea
N) =

max(Nl,NJ,

seeventei rezultante, N3, este deterrninata de relatia Nl ~i Nz fiind lungirnea seeventei xl[k], respeetiv xz[k]. Prin urmare putern serie:
N3-l

X[[n]=

k=O

N3-l

XJnJ=

I I

xl[k]'W~,O::s;n::S;N3-1

xz[kJ.W~,O::s;n::S;N3-1

k=O

Observatie: Se poate ealcula TFD ~i pentru e~antioane daea se eornpleteaza seeventele eu valori nule.

rnai rnulte

2.2.2. Deplasarea secventei frecventei

La fel se poate arata ea:

.2,.

undeW:; = e -J/ikm ~i (O)N reprezinta operatorul modulo N.


il

Pentru periodice:

a demonstra

relatia

anterioara

consideram

secventele

J
25

PRELUCRAREA DIGITALA A SEMNALELOR

x[k]=x[((k))N]< x1[k]=
Xl

TFD

X[n]=X[n]
)

~i
,27!

[((k))N

]= x[((k - m))N ]<

TFD

X1[n]

= X1[n]= e-J}jnm . X[n]


,27!

RezuWi prin urmare x[((k - m ))N].<

TFD

e- J}jnm . X[n]

2.2.3. Dualitatea TFD

Pentru
x[k]

demonstratie

considedim

secventele
)

periodice
X[n].

une imc

= x[((n)) N ] ~i ~i X[k] = X [((k )) N ] astfel indit :i[k] < TFD Interschimband variabilele n ~i kin relatia (7) obtinem:

~[N-l X k] =

L:x[n ] . e - J}j kn
,27! n=O

.
N . x[((- k))N]'

Comparand cu relatia (8) deducem X[k ]<

TFD

2.2.4. TFD a secventei complex conjugate Pe baza relatiei (8) se poate arata ea:
x*[k]<
TFD

)X*(((-n))N),O::;n::;N-l
TFD

(17) (18)

x*[((-k))N]<

)x*[kl0::;n::;N-l

2.2.5. Proprietatile

de simetrie peri

Aceste proprietati sunt similare celor ale transformatei Fourier definita pentru semnaieie continue reaie, ~i respectiv ale TFTD definita pentru secvente discrete reale, ~isunt: modulul TFD este 0 funetie para, argumentul TFD este 0 functie impara, partea reala a TFD este 0 functie para, ~i respeetiv partea imaginara a TFD este 0 functie impara.

repr

26

Trans/ormata Fourier Discretd

X[n]

= X*[((-

nN]

(19) (20) (21) (22) (23)

Re{X [nll= Re{x*[((- nN]}


Im{X[nn = -Im{X'[((IIX[n
]11

n))N]}
]11

= IIX [((- nN

arg{X[nn = -arg{X'[((-

n))N]}

~i na impara u
16) Ice

2.2.6. Deseompunerea

unei secvenfe ea suma de 0 seevenfa para

Orice secvenla x[k] ( TFD ) X[n] se poate descompune ca suma a unei secvenle pare, avand TFD reala, ~i a unei secvente impare, avand TFD imaginara:

2.2.7. TFD a seevenfei obfinute prin convolufie eireulara

xl[k]< x3[k] 17) 18)

N-I
m=O

TFD

>XI[n]

x2[k]<

TFD

>X2[n]

~l

= Z>I[m]x2[((k-mN]'

0 $; k

$;

N-1

U
x3[k]
<

TFD

>

XI[n].

X2[n]

(26)
II I I I

Definitie ner
Ilita

xl[k]=xl[((kN]

Convolulia circulara a doua secvenle periodice ~i x2[k]=x2[((kN] este reprezentata de secventa


x3 [k] ].x2[((k -mN],

periodica

definita 0 $; k
$;

prin

relatia

ara,

N-l
x3[k]

clie

2>1 [((mN
m=O

N -1 . Operatorul utilizat In

reprezentarea convoluliei circulare se noteaza cu .

27

PRELUCRAREA DIGITAL.\. A SEMNALELOR

Cu notatia anterioara, considerand X3 [k J ,relatia (26) se poate scrie sub forma:

perioada

secventei

(27) unde xj[k], x2[k] au lungimea N. Proprietati ale convolutiei circulare: Convolutia circulara este comutativa. Pe baza dualitatii se pot deduce de asemenea urmatoarele relatii:

maxlI lungil

X(eia

2.3. Aplicatii ale TFD: Calculul convolutiei liniare utilizand TFD


Deoarece TFD se poate implementa rapid hard ~i soft, existand pentru aceasta algoritmi rapizi de ealeul (TFR - Transformata Fourier Rapida, sau FFT - Fast Fourier Transform), se pune problema utilizarii TFD in prelucrarea semnalelor digitale pentru a obtine diferite valori. a) Un exemplu aplicativ al TFD este eaIculul convolutiei a doua secvente, in urmatorii pa~i: 1) CaIculam TFD in N puncte pentru xj[k] ~i x2[k], XI[n] X2[n], cu algoritmii FFT. 2) Calculam X3[nJ= Xj[nJ. X2[n10:::; n:::; N-l 3) Calculam
x3 [k J

TFD.

egaUi in N detern sau cb convo seeveI

~i respectiv

= XI [k JX2[k] ea fiind TFDI a lui X3[ n].

Acest lucru este util pentru di de exemplu sistemele Liniar Invariante in Timp (LIT) necesita operatii de convolutie intre secventele de intrare ~i functiile pondere. b) Un alt exemplu 11reprezinta eonvolutia liniara a doua secvente de durata finita.

secver

Transformata Fourier Discretii

utei

I
x2[k]

Fie xl[k]

0 secvenla de lungime L (xl[kJ:;tO


(x2 [k J:;t

pentru k=O,L-l)

~i

:27)

0 pentru k = 0, P -1). Presupunem ca dorim sa eombinam aeeste seevenle prin eonvolutie liniara. Fie x[k] rezultatuleonvoluliei liniare a eelor doua seevenle:
00

0 seevenla de lungime P

x[k]=

L>l[m].
m=-oo

x2[k - m], k E

Deei x[k J = 0 pentru k < 0 sau pentru k > L + P - 2 . Lungimea maximaa seevenlei x[k] este L + P - 1 atunci eand seevenlele de intrare au lungimidiferite (L, respeetiv P). e) Convolutia eireulara privita ea 0 eonvolulie liniara eu aliere Se poate arata ea daea e~antionam TFTD a uneiseevenle finite x[k],
X(eiaJ
),

in N punete eehidistante

(j)n

= ~

se obline seevenla periodiea Z:

lre

TFD

a seeventei x[k] sau x[k J = x[((k

))N ], k E

x[kJ< md 'ler
FD

TFTD

)X(eJaJ)~x[kJ<

TFD

)X[nJ=x(eJaJL=27T,n,n=o,N-l(29)
N

Pe baza relaliei (29) rezulta ea daea x[k] are lungime mai mica sau egalaeu N, x[k J poate fi determinat de.TFD ealculata ea e~antionarea TFTD in N punete. Daea insa x[k] are lungimea mai mare deetH N, seevenla determinata,de TFDI poate fi diferita de x[k] pentru anumite valori ale lui n sau chiar pentru toate valorile; fenomen numit aliere. In mod asemanator, fenomenul de aliere poate afeeta rezultatul convoluliei eireulare. Fie X3 (eJaJ XI (eJ{)) ;r2 (eJ{))) transformata Fourier a )= ) secventei x3[k] oblinuta prin eonvolulia a doua seevenle, xl[k] ~i x2[k]:
00

tiv

xJk]=
Jar

Lxl[mJ.x2[n-m]
m=-oo

de

Fie' TFDa secventei:

aeestei seevente determinata prin e~antionarea TFTD a

de

29

PRELUCRAREA DIGIT ALA A SEMNALELOR

X3[n]

= X3

(eiaJ

L=27r

n'

0:::; n:::;

N -1
scrie sub forma

Se
X3[n]

observa
N

ca re1alia anterioara
N

se poate
S;

= Xl

(eiaJ L=27r n . X2 (eiaJ L=27r n'

0 S; n

N -1.

Deci X3[n] = Xl [n]. X2[n] reprezinta TFD a convolutiei circulare:

Daca N > max(L, P) atunci XI[n] ~i X2[n] reprezinta exact xl[k], respectiv x2[k] pe cand x3[k] = x[n] doar dadi Neste mai mare decat Iungimea secventei x[k]. Dar Iungimea acestei secvente este maxim L + P 1. De aceea, convolujia circulara corespunzatoare identica cu convolutia liniara corespunzatoare Iui XI [n ]. X 2 [n] este
(eiaJ ). X2 (eiaJ)

dom tran~ ajut<


dam

lui XI

dacii

tran~ tran: frec'

N"?L+P-l.
(L

Daca presupunem ca realizam convolujia circulara 'in L puncte > P) se poate arata ca ea coincide cu convolutia Iiniara in L - P + 1

dife]

puncte. Prime Ie P-l puncte sunt afectate de aliere ~i de la L in sus de asemenea. Valorile neafectate de aliere sunt de la n = P -1 pana la n = L -1 (L - P + 1 puncte).

cazl

30

Transformata Z

3. TRANSFORMATA Z
ma

3.1.

Transformata Z. Definitie ,

te

In cazul semnalelor continue se utilizeaza pe hlnga reprezentarea in domeniul timp ~i reprezentarea in domeniul frecventelor complexe data de transformata Laplace sau reprezentarea in domeniul frecventelor reale cu ajutorultransformatei Fourier. In mod analog, in domeniul discret, pe langa reprezentarea in domeniul timp este utilizata ~i reprezentarea in domeniul frecventa prin transformata Z sau transformata Fourier, continua in domeniul frecventa transformata Fourier in timp discret, sau evaluata la valori discrete ale frecventelor - transformata Fourier discreta. Transformata Z permite rezolvarea sistemelor de ecuatii liniare cu diferente finite, prin transformarea acestora in ecuatii polinomiale. Exista doua posibilita!i de a defini transformata Z a secven!ei x[k]: a) transformata Z bilaterala:
00

1
Ie .a

X(z)=

Lx(k].z-k
k=-oo

(1)

b) transformata Z unilateraIa:
00

X(z) = Lx(kJ.z-k
k=O

(2)

Observatii: 1) Transformata Z unilateraHi ~i transformata Z bilaterala coincid in cazul secventelor cauzale. 2) Transformata Z bilaterala permite determinarea secventei pnn aplicarea transformatei Z inverse.

31

PRELUCRAREA DIGIT ALA A SEMNALELOR

3) Transformata Z nu depinde de factorul timp (deci nu depinde de perioada de e~antionare). ' 4) Convergenta transformatei Z este data de domeniul de convergenta care este in general sub forma unui inel pentru transformata Z bilaterala (Fig. I). 5) Domeniul de convergenta al transformatei z unilaterale este
Izl>R.
I Xl

Transformatei Z se poate descompune cu ajutorul polinoamelor de ordinul I:

unde

Zi

se numesc zerourile transformatei Z iar Pi sunt polii transformatei Z.

Imz
{z}

Rez

R+

Fig. I. Domeniul de convergenta pentru transformata Z bilateraUi

Z ( tral

32

..

Transformata Z de de

3.2.
I
I

Proprietatile transformatei Z

11

de

lata

3.2.1. Liniaritatea
Fiind
xl[k]~ Xl (z),

este

date

doua

secvente rezulta:

Xl' X2 cu

transformatele

Xl' X 2

X2[k]~

X2(z)

or de

a.xI[k]+b.x2[k]~a.XI(z)+b.X2(Z)

(4)

3.2.2. Translatia in domeniul timp


a) Pentru transformata Z bilaterala: (5)
lei

Z. b) Pentru transformata Z unilateraIa: bl) translatia inainte (avans):

(6)

b2)

translatia

inapoi (lntarziere):

3.2.3. Convolutia in domeniul timp


Fie secventele
Z a secventei

discrete

xl[k] ~

Xl (z),

X2[k] ~

X2 (z). Transformata

ce rezulta din convolutia tranformatelor Z a celor doua secvente:

celor doua secvente

este produsul

(8)

33

PRELUCRAREA DIGITAL.\. A SEMNALELOR

3.2.4. Convolutia in domeniul frecventa ..

UJ

tr,

in

3.2.5. Teorema lui Parceval

(10)

3.2.6. Transformata z a secventei multiplicate cu k


eu

Z{k. x[kD= -z dX(z)

dz

(11)

Izi

sau prin generalizare:

3.3.

Transformata Z inversa

Transformata Z inversa se determina prin relatia: (12)

34

Trans/ormota Z

undeC este inc1usa In domeniul de eonvergenta. Transformata Z inversa se determina prin : 1) identifiearea transformatei, pe baza unor tabele transformateleZ ale celor mai importante secvente 2) descompunerea In fractii simple 3) folosirea teoremei reziduurilor

eontinfu1d

~)

4) dezvoltarea in serie de puteri ale lui impiirtirea polinomiaHi B(z)j A(z)

X(z)

(echivalenta

eu

3.3.1. Descompunerea in fractii simple


I)

Se descompune transformata Z a secventei x[k], X(z), sub forma:


m-k
Nj

(z)

X(z)=

k=O

LCkZk

+ D(z)

(13)

eu grad Nj (z) < grad D(z)

) I

Izl>

Apoi vom face identifiearea fractiilor simple. In cazul eonvergentei R_, tabelul I indica secventele corespunzatoare fractiilor simple: TabelI

_z_~
z-p

pk

.a[k]

35

PRELUCRAREA

DIGITAL.\. A SEMNALELOR

__ z
z-1

= l_z-1

~O"[k]

z-e
Z

-a

e-ak

tat
(z-I)2 ~k

z(z+I)~k2
(z

-IY
zsma

z- -2:z.cosa+l

~sin(a.k)

z (z - cosa) ~ cos(a. k) z2 - 2 Z cosa + 1 zsma


Z2 _

2 . z . e -a cos a + e -2a ~ e -ak . sm ( a . k ) .

z . (z - e-a cos a ) 2 _ 2 . z . cos a + e -2a

e -d . cos ( . k) a

del

Exemvlu Fie X(z)~ Iz - 0.5 z + 0.5,,. 4X' Sa se detennine secventa discreta corespunzatoare, prin descompunerea in fractii simple. Rezolvare: X(z) 4z ----------+z A B z + 0.5

fOI

- (z - O.sXz + 0.5) - z - 0.5

36

Transformata Z

Se determina: A= 40.5 0.5 + 0.5 = 2 ~i

B= 4.(-0.5) =2.

-0.5-0.5

. R ez ul tii X()z = ---2z ~


z

+ 0.5

+ ---2z

z - D,S

~l pnn 1 entI'filcare, cu aJutor ul .. 'd .

tabeluluiI, rezulta:

3.3.2. Folosirea teoremei reziduurilor In functie de tipul polilor, simplii sau multiplii, yom avea pentru determinarea reziduurilor formulele:
- Pi

pol simplu Rez(X(z)' zk-l, Pi)= Z~Pi (z - Pi)' X(z). zk-I lim
(14)

- Pi pol de ordinul r

ile

In functie de domeniul de convergenta al transformatei Z, se determina secventele corespunzatoare reziduurilor calculate cu ajutorul formulelor (14) ~i (15): (16) X(z)~x[k]=IRez(X(z)'zk-I,Pi I o-(-k-I),pt.DC<R_ ) . {a-(k), pt. DC > R+

37

PRELUCRAREA DIGITAL\

seeventa eauzaHi ee are transformata Z reprezentata prin X(z). Rezolvare: Deseompunem numitorul transformatei Z X(z), polii. rep
3 2 i

I"
e:

A SEMNALELOR

j : ',~ , III'

z3

Exemolu Fie X(z)=

+ Z2 + Z + 1 2 O.Sz - 4z + 2

S~ se determine

1m)

pentru a identifiea

-z
=1+ __ 2

+ Sz-1
, see de
2

X(z)=

z +z

+z+1

(Z-2XZ+2(Z-)

(Z-2XZ+2(Z-)

Polii transformatei Z soot:

PI

= 2, P2 = -2,

P3

=1.,

~Z2

+Sz-1
2k-1

(2)

I'

k-I 2

IS - 2k-I

[k

1]

ez

= z~z
lirnzk-I

-(--{--1-) z + 2,\z - 2
3 -z
2

'--3 4 2
-5 =

'2'0'-

+5z-1
=(_2Y-l.

Rez(-2)=

z+2 =

(Z-2{Z-~)

(-4){ _%)

(-2ti {-}o-[k-l]
z-r!lirnzk-l
2

2 Rez(~)

==

Z - +X5Z -1) (%Z2 2 z + 2

==

2 (~)k-l ..

1:

= ( "2) "( -"2 \ 11k-l 1

o-[k

-1]

Rezulta urmatoarea reprezentare analitiea a seevenlei x[k]:

38

Transformata Z

3.3.3. Dezvoltarea in serie de puteri ale lui X(z), echivalenta cu impartireapolinomiaHi B(z)j A(z) Impartirea polinoamelor se face pas cu pas, coeficientii catului

reprezeid e~antioanele secventei. z+ _ Exemplu Fie X(z)= (z-0.5 4X2 0.5 ) . Sa se determine secventadiscreta corespunzatoare, prin dezvoltarea transformatei Z in serie deputeri. Rezolvare:
4z2 --.-4 +---1, -0.25 z2-0.25-

= 4 + z2 + 0.25 z-2 _ z2 - 0.25 =4+z2 +0.2S.z-4 + 0.252 'Z-4 _


z2 ...0.25

=:4+z2 +0.25'Z-4

+0.252

'Z-6

+ 0.253 z-4
Z2

-0.25

Prin identificare se determina:

x[k]= 0:k=2.

p+l

(0.25)p-l, k = 2 p {4 k=O

39

PRELUCRAREA

DIGIT ALA A SEMNALELOR

Observatii:
1) Formula este identica cu formula obtinuta mai sus, In primul .. exemplu, referitor la determinarea transformatei Z Inverse, pnn descompunerea transformatei Z In fractii simple. 2) Metoda descompunerii transformatei Z In serie de puteri nu ofera de obicei 0 formula analitica a secventei.

S(

Sl

Sl

40

Sisteme discrete

4. SISTEME DISCRETE
m
pI

4.1. Definitii: sisteme invariante in timp

stabile,

cauzale,

liniare,

Sisteme discrete Un sistem este defmit ca sistem discret dadi 0 parte sau toate secventele care apar sunt discrete in timp. Din punct de vedere matematic un sistem discret in timp e 0 transformare unicil (operator) care converte~te secventa de intrare u[k], numita ~i excitatie, in secventa de ie~ire, y[k], numita dispunsul sistemului la secventa de intrare u[k].
(1)

In general, un sistem discret in timp difera de un sistem digital din punet de vedere al gamei de valori a amplitudinilor (a se vedea eapitolul1). Observatie: In continuare yom presupune ca pentru sistemele analizate notiunile sunt eehivalente, mentionand unde este cazul faptul ca este necesar a se face diferentierea intre cele doua notiuni. Sisteme liniare Un sistem este liniar, daca ~i numai dadi unei combinatii liniare de secvente Ii corespunde la ie~ire 0 combinatie liniara a raspunsurilor individuale ale sistemului la fiecare excitatie in parte.

Sisteme invariante in timp Un sistem este invariabil in timp, daca raspunsul sistemului la 0 secventa de intrare nu depinde de momentul aparitiei acestei intrari. (3)

41

PRELUCRAREA DIGITALA A SEMNALELOR

Observatie: ,

1) Sistemele liniare invariante in timp (LIT) sunt sistemele fundamentale in domeniul prelucrarii semnalului datorita faptului ca raspunsul la orice secventa de intrare poate fi determinat pe baza raspunsului la 0 secventa particulara, de obicei secventa pondere (raspunsul sistemului la impulsul unitar), notata h[k].

so

-?>I T
u[k] = g[k]

~ y[k] = r(g[kD=

h[k]

Fig. 1. Caracterizarea sistemelor LIT prin functia pondere, h[k] Consideram ca la intrarea sistemului este aplicata secventa de intrare
u[k]:

fun
ct:)

u[k]=

Lu[mlg[k-m]
m=-ct:)

(4)

exp exp

mOl

Raspunsul unui sistem LIT, y[k] va fi, tinand cont de ecuatia (4):
ct:)

00

y[k]=

Lu[m].h[k-m]=
m=-oo

Lu[k-m].h[m]
m=-oo

(5)

Prin urmare, in cazul sistemelor LIT (SLIT), raspunsul sistemelor la o secventa de intrare oarecare, u[k], este dat de convolutia secventei de intrare, u[k], cu functia pondere a sistemului, h[k]. 2) Sistemele LIT se caracterizeaza de asemenea prin riispunsul in

sisti
amI

corr

frecvenlii, notat cu

acesta reprezentand transformata Fourier in timp discret a functiei pondere, h[k]. Raspunsul sistemului in frecventa se determina de asemenea ~i ca raportul dintre raspunsul sistemului la 0
H(ejOJ),

secventa de intrare exponential a, u[k] =

ejkOJ ,

~i secventa de intrare aplicata.

tran

42

Sisteme discrete

~le
ca
za :ul

---..)~
= eikw y[k] = eikw . H(eiw ) Fig. 2. Raspunsulin freevenla pentru sistemele LIT
u[k]
Y[kL[kl=eJk" = fh[mlu[k-m]=
m=-oo

m=-oo

fh[mle;{k-m}&

(6)

m=-r;t;;

RezuWi:

(7)
re

4)

De observat ea raspunsul in freevenla are valori eomplexe, fiind 0 funcliedeserisa atat de modul cat ~i de faza. Prin urmare, atunei eand la intrarea semnalului se apliea 0 seeventa exponenliala eu modulul 1, la ie~irea sistemului se obtine 0 seeventa exponenliala eomplexa eu aeeea~i pulsalie, dar eu faza ~i modulul modificate: (8)

5)

la ie

Astfel, in urma introducerii unei seevenle sinusoidale la intrarea in sistemul diseret liniar invariant in timp, aeeasta va fi regasiti:i la ie~ire eu amplitudinea ~i faza modifieate. 3) Transformata Z a functiei pondere caracterizeaza de asemenea completsistemul diser~t, numindu-se functie de transfer.

in
;e

H(z) = Z{h[k]} = ~~z ~ U\Zj

(9)

Nota: Relatia (9) se obline considerand eeuatia (6) ~i proprietatile transformatei Z.

43

PRELUCRAREA

DIGITALA A SEMNALELOR

4) In continuare presupunem ca sistemele discrete analizate sunt LIT. Unde yom analiza sisteme discrete ce nu sunt caracterizate de aceste proprietati, va fi specificat explicit acest lueru. Sisteme eauzale Un sistem este eauzal sau flZie realizabil, daca ~i numai dadi raspunsul sau la un anumit moment depinde doar de valoarea secventei de intrare la momente anterioare momentului curent. (10) Sisteme stabile Un sistem este stabil, daca raspunsulla 0 intrare marginita este de asemenea 0 secventa marginita (BIBO - Bounded Input, Bounded Output).
(11)
une
SlSi

see

an pa
fOl

De asemenea, daca se cunosc radacinile ecuatlel caracteristlce a sistemului, ri, conditia necesara ~i suficienta ca sistemul sa fie stabil este ca toate radacinile sa fie subunitare (a se vedea paragrafele urmatoare, reprezentarea sistemelor discrete prin ecuatia cu diferente finite ~i rezolvarea ecuatiei eu diferente finite, in vederea obtinerii raspunsului sistemului la 0 secventa de intrare oarecare):
(12)

pe co

4.2.

Reprezentarea sistemelor discrete


sistemelor prin eeuapi eu diferente finite

4.2.1. Reprezentarea

~~
Cll

Sistemele discrete LIT pot fi descrise sub forma generala de ecuatia diferente finite:

44

,...

Sisteme discrete

~ sunt ceste

y[k] =

Lai .y[k-i]+
i=1

Lbj .u[k- j]
}=o

(13)

dadi
tei de

uncle

u[k]

reprezinta

intrarea

sistemului

iar y[k]

reprezinta

le~lrea

sistemului. Atunci cand se cunoa~te EDF a unui sistem, raspunsul acestuia la 0 secventa oarecare de intrare se poate afla in urmatoarele moduri: i) Rezolvarea analitica a EDF Raspunsul sistemului, y[k] este format din doua componente, anume solutia omogena, particulara, caracteristica fortat, yAk]: sau de raspuns permanent,
Yo [k],

(10)

~i

ste de put). (11)

~i solutia

secventei de intrare, numita ~i solutie de regim

(14) Solutia omogena este combinatia liniara a exponentialelor obtinute pe baza radacinilor ecuatiei caracteristice, ce se obtine pe baza EDF, considerand secventele de intrare nule ~i semnalul de ie~ire de forma C rk
yo[k]
:

,tice a I ste ca ~toare, ite ~i msului

= LCi

.r/,

i=I,N

(15)

(12)

Ecuapa caracteristica este definita de relatia:


N

1- Lair-i =0
i=]

(16)

finite

Solutia particulara (sau de regim fortat) depinde de semnalul de intrare, u[k]. In tabelul urmator sunt indicate solutii1e particulare pentru cele mai reprezentative secvente de intrare. Tabel

ecuatia

II
Secventa
u[k]

Solutia particulara y p [k]

C
Ck

C]
Cj k+C2

45

PRELUCRAREA DIGITALA A SEMNALELOR


k

C1a Cak C .cos(kBo) C,sin(kBo)

C1.cos(kBo+ C2) C1.sin(kBo + C2)

simile ii) Utilizarea transformatei Z pentru rezolvarea EDF Aplicand transformata Z ecuatiei (5), tinand cont de conditiile initiale ale sistemului, se obtine Y(z), transformata Z a secventei de ie~ire. Aplicand apoi transformata Z inversa,se obtine formula analitica a secventei de ie~ire y[k].

iftExemPlu: Un sistem cauzal este caracterizat de ecuatia cu diferente finite:


y[k] = y[k

-1]-0.5. y[k - 2]+ 0.5 u[k]

a) Determinati expresia analitica a raspunsului la secventa treapta unitate. Conditiile initiale sunt nule; b) Determinati raspunsul sistemului la secventa treapta unitate utilizand transformata Z, in conditii initiale nule; c) Verificati solutia gasita la punctul a) ~i b) calculand valorile y[01y[11y[2] pe cale iterativa, pe baza EDF. Rezolvare a) Determinarea solutiei omogene: Ecuatia omogena (EO) este:
y[k]y[k-l]+0.5y[k-2]=0

Din]

Rezulta ecuatia caracteristica:


r2

-r + 0.5 = a
1
.f(

cu ra aC1111 '1.2 = e = .fi e Atunci solutia ecuatiei omogene este de forma:

wdw

'1

2
_1

1+'

1'4

46

Sisteme discrete

Determinarea solutiei particulare: Datorita consideratiilor teoretice ce impun 0 solulie particulara similara secventei de intrare, se va considera 0 solulie particulara de forma:

Atunci Y p [k] verifica ecualia:

Solutia generaHi:

Din

Determinam constantele din solulie pe baza condiliilor iniliale nule. EDF rezulta:
y(o) = 0.5;y(1} = 0.5

+ 0.5 = 1

Atunci constantele veri fica sistemulliniar de ecuatii:

R7zulta pentru formula analitica a raspunsului expresia:

47

PRELUCRAREA DIGIT AL6. A SEMNALELOR

b) Aplieand transformata Z eeuatiei eu diferente finite se obtine:

sem

~1 rc

din1
... P deseompunerea m firaetn Simp1 a -tmem: rm e Y(z) 0b'
A

eu(

Y(z) -z-=
Prin urmare:

0.5z2 (z-1).~2 -z+0.5)=

1
z':"'l

-0.5(z-1) + z2 -z+0.5

Y(z) = _z-0.5' z-l

z(z -1) z2-z+0.5

Prin identifieare, utilizand tabelele de inversare a transformatei Z, obtinem:

Nota: Transformata Z se poate de asemenea obtine eu ajutorul ealeulului reziduurilor, independent de tabelele de inversare a transformatei Z. Se reeomanda apliearea aeestei metode, si verifiearea rezultatelor, prin eompararea eu rezultatele oferite anterior. e)
y(O)

SUl

un

= 0.5 (analitie)

y(l) = 1(analitie)
y(2) = +~ 1~ "-12 + 1 = ~ = 1.25 (analitie) 2"1/2 ~ 4
y(2)

= y(l) - 0.5 y(O) + 0.5 = 1 - 0.25 + 0.5 = 1.25 (iterativ)

Companlnd rezu1tatele obtinute pe eale iterativa ~i prin utilizarea formulei analitiee se observa di ele eoineid.
48

Sisteme discrete

4.2.2. Descrierea sistemelor numerice prin grafuri primitive de


semnal.

Un graf de semnal este 0 reprezentare simbolica formata din noduri ~iramuri. Fiecarui nod Ii este caracteristica 0 anumita variabila Vi' Legatura dintre doua noduri
ell
V

i ~i

Vk

este caracterizata printr-o ramura direc!ionata,

ca~tigul a ik

Vi

z,
n Vk
==

Laik
1=1

VI

I",k

Fig. 3. Reprezentarea sistemelor prin grafuri )rul ltei Ramurile pot fi multiplicatoare sau elemente de Int<lrziere. Nodurile sunt sumatoare. Avantajul reprezentarii prin grafuri: grafurile pot fi reduse prin urmiitoarele metode: 1. transformarea In cascada
A B
y
{==}.

mn

AB
U 0---+----0
Y

u~

2. transformarea In
A

II

A+B

lrea

uC=>y B

u~y
{==}

49

Sisteme discrete

4.2.2. Descrierea sistemelor numerice prin grafuri primitive de


semnal.

Un graf de semnal este 0 reprezentare simbolica formata din noduri ~iramuri. Fiedirui nod Ii este caracteristica 0 anumiUi variabila Vi' Legatura dintre doua noduri v i ~i v k este caracterizata printr-o ramura directionata, eu ca~tigul a ik

Vi

Z,
n

Vk

= Ialk
1=1

-VI

rk

Fig. 3. Reprezentarea sistemelor prin grafuri )rul atei Ramurile pot fi multiplicatoare sau elemente de Intarziere. Nodurile sunt sumatoare. Avantajul reprezentarii prin grafuri: grafurile pot fi reduse prin urmiltoarele metode: 1. transformarea In cascada
A
u ~

mn

B
y
{=}.

AB
u
o--+-----<i

2. transformarea In
A

II

A+B

lrea

uC=>y B

.o--+-----<i y

49

PRELUCRAREA DIGITAL

A.

A SEMNALELOR

3. eliminarea unui nod


Yl Yl

b)
U2_Ul .. U2

D
Y2

AD

BD A0BC
Y2

c)

4. eliminarea unei ramuri


Yl

dis

A D

B lnt In

5. eliminarea unei bucle


A
u~y
C

AB
1-13C
u~Y

13
__

(
6. eliminarea unei autobucle A
u&

C
CY __ U~Y

AC

ini

1-B

1;)
B
1 .. x C
)

de ce
lU<

7. eliminarea unei cascade de bucle


A U C QAB+CD x2

po

uo

A ~y-,) D

) y ,
lU(

Se nume~te graf primitiv de semnal (GPS) un graf cu urmatoarele proprietati:

a) Toate ramurile sunt primitive,?avand prin urmare ca~tigul 0 constanta sau z -1:
c

I I

in1 de

sau: - ca~tiguri constante: ~

nu de

50

Sisteme discrete

b)

NUexista nici 0 bucla fara intarziere in graf; Numarul de ramuri ~i de noduri este finit.

c)

Graful primitiv de semnal (GPS) ofera 0 realizare a sistemului discret,uneori indireeta, indieand ordinea In care se vor executa calculele. Procedura de ordonare a nodurilor: 1) Se examineaza unitatile de Intarziere. Dad exista 0 ramura de intarzierecatre ie~ire, atunci se Inlocuie~te aceasta ramura cu doua ramuri ca infigura:

z -1

z -1

out ~> ODin punct de vedere fizic unitatile de Intarziere realizeaza stocarea informatiilor. 2) Se eticheteaza toate nodurile de intrare ~i toate ie~irile ramurilor deintarziere, z -1, cu indexul O. Aceste- noduri reprezinta variabilele initiale, ce sunt disponibile la Inceputul procedurii de caleui. Se inerementeaza indexulk cu 1. 3) Se examineaza nodurile neetichetate. Se gasesc toate nodurile ce potfi calculate din nodurile etichetate la pa~ii anteriori ~i se eticheteaza cu k. 4) Daca mai exista noduri neetichetate In graf, se incrementeaza indexulk ~i se reia pasul 3. Fie Sk multimea nodurilor cu indexul k. Nodurile din SI sunt legate de cele din So printr-o singura legatura iar nodurile din Sk sunt legate de intrariprin' cel mult k ramuri. '\ Cea mai lunga parcurgere a grafului (calea cea mai lunga din graf) determina cel mai mare timp de calcul sau timpul de calcul al sistemului numeric.Ea este data de indicele ultimei multimi Sk.

o~o

"/0

Ie

51

PRELUCRAREA

DIGITAL.\ A SEMNALELOR

tr

Exemplu: Se considera graful din figura. Sa se determine timpul de ca1cul al sistemului discret caracterizat de acest graf.
11

Uo

Vg

Z -1

Vg

-1

V4

fl -1 Z a
V3 ~ V

1
Yo

~o

VI

~l

Pe baza regulilor anterioare se determina:

S[: y = uovo+ You


Vl= 'tOVg

+ ~ou + YlVl

S2:

Vg = UlV7 V2 = 'tlV?

+ ~IVl
+ Y2V2
+
~2V2

S3: V6 = U2VS V3 = 't2VS

La terminarea fiecarui ciclu de calcul se reactualizeaza variabilele initiale, din clasa So:
Vg .
Vg

52

Sisteme discrete

Pentru eeua!iile

eorespunzatoare

fiedirei

clase

se aplidi

apoi

I11lI1sformala pentru a determina Z

H(z) = ~~~.

4.2.3. Reprezentarea

sistemelor discrete cu varia bile de stare

Starea unui sistem reprezinta informa!ia minima necesara determinarii ie~irii sistemului, cunosdl.nd intrarea acestuia ~i stare a sa anterioara. Dadi x reprezinta vectorul starii sistemului iar u ~i y reprezinta vectorul intrarii ~i respeetiv al ie~irii sistemului, se pot identifica doua functiif ~i g ee permit determinarea evoluliei starii sistemului, respectiv a ie~irii cestuia, pe baza veetorului intrarii ~i al starii: a
x[k
y[k]

Y3

+ 1] = f(x[k 1 u[k D
= g(x[klu[kD

(17)

In cazul sistemelor liniare, ecualia (17) are forma unui sistem liniar:
x[k

+ 1]= A[k]. x[k]+ B[k). u[k] + D[k u[k]

y[k]= C[k]. x[k]

(18)

Atunci cand sistemul liniar este invariant in timp, ecualiile (18) devin:
x[k

+ 1]= A . x[k] + B u[k]

(19)

y[k] = C .x[k]+ D .u[k]

Reprezentarea eu variabile de stare define~te variabile pentru descrierea starii sistemelor, variabile ee sunt utilizate pentru a determina stareaulterioara ~i iesirea sistemelor pe care Ie earaeterizeaza. Deserierea eu variabile de stare este unica pentru fie care graf, reciproea nefiind adevaratii. Proeedura de identifieare a eeualiilor eu variabile de stare pe baza unui GPS este urmatoarea: 1) Se inloeuie~te fieeare unitate de intarziere eu 3 ramuri, ca in
figura:

53

PRELUCRAREA DIGITALA A SEMNALELOR

-1

1
Xi

2) Se elimina unitatea de intarziere ramanand ~i Xi ca unitiiti de intrare.

X'i

ca uniHiti de ie~ire

(20)

3) Se reduce graful astfel incat sa avem doar legaturi directe intre intriiri, U, ~i ie~iri, y'Sistemul de ecuatii obtinut este: X'=AX+BU V=CX+DU
(21)

sau n

Determinarea fnnetiei de transfer din reprezentarea en varia bile de stare


Se elimina variabilele intermediare de stare X ~i X': X(z) = (zI-At1B. V(z) = C(zI-At1B. U(z) U(z)+ U(z)

(22)

Prin urmare functia de transfer este:


H(z) = D + C(zI-At1B (23)

valo

ca fi

Deserierea en variabile de stare in domeniul timp


Relatia dintre variabilele dr stare Xi in domeniul timp este:
(24)

Ecuatia de stare arata traiectoria variabilelor de stare ~i este:

54

Sisteme discrete

x[k

+ 1]= A .x[k ]+B ,u[k]

(25)

Eeuatia de ie~ire determina raspunsul sistemului ~i este:


~lre
y[k]

= C x[k] + D u[k]

(26)

Traiectoria variabilelor de stare poate fi interpretata iterativ:


20)
ltre
sau

maigeneral:
k-ko

H)

x[k] =

Ak-ko

x[ko]+

IAI-IB.
1=1

u[k -11k>

ko

Daea presupunem ea seeventa de intrare este nula se obtine ecuatia: (27) Aceasta este solutia unei probleme de forma:
x(ko)
22)

dat ~i

x(k

+ 1)= A .x(k),k 2 ko
k~
00 ~ Ak
~

Pentru
23)

0 :> 1Ak I

1< ,

1,k = 1,2,..., n , unde

Ak

sunt

I valorileproprii ale matricei A.


ca

Aceasta este echivalent cu conditia de stabilitate. Daca presupunem filtrul este stabil rezultil:
00

x[k]

= IA1-1B u[k-I]
1=1

(28)

24)

Determinarea functiei pondere

y[k]

c x[k]+ D .u[k]=

L
1=1

00

CA1-1B .u[k -1]+

D u[k]

(29)

55

PRELUCRAREA

DIGITALA A SEMNALELOR

Tinand cont di:


00

y[k]

/=-ro

Lh~].u[k-l]

rezuWi:

sa

h[k]=

D,k = 0

(30) >0

b')
fi

CAk-1B,k {O,k < 0 Transformari de coordonate

Fie T 0 matrice nesingulara n x n ~i q[k] = T-1 x[k]. Rezulta:


q[k

+ 1]= T-1 .[A.

x[k]+

B .u[kll = T-1AT q[k]+ T-1B u[k]

(31)

y[k]

= CT q[k] + D u[k]

Eeuatiile sunt identiee, exeeptand parametrizarea: (A, B, C, D) ~ (T-1AT, T-1B, CT, D) Invarianta functiei de transfer la parametrizare H'(z) = D' + C(zI - A')B' = D + CT(zI - T-1AT = D +CT(T-1(zI - A))r-IB = = D + CTT-1(zI - AtTT-1B = D + C(zI - AY1B = H(z) (32)

T-1B = (33)

11

Sa se demonstreze invarianta funetiei parametrizarea eu variabile de stare, in domeniul timp.


~
.....

de

transfer

la

~~'!i!
~~&

.".

IJqiwExemplu:

Se da graful din figura:

56

Sisteme discrete

7/4

u
sa

:0)

Se cere: a) Sa se deduca reprezentarea variabilelor de stare (RVS) ~i se determine parametrizarea variabilelor de stare (matricele A, B, C, D); b) Sa se deduca functia de transfer H(z); c) Sa se deduca ecuatia cu diferente finitestandard ce caracterizeaza sistemul, EDFS. Rezolvare: a) Conform algoritrnului se Inlocuiesc ramurile cu elemente de intarziere ~i se noteaza apoi cu Xi ie~irile acestora ~i cu X; intrarile lor. Graful obtinut este:

1)

X2

X'2

B2)
u

Redudind graful astfellncat sa obtinem doar legaturi directe dinspre intrari ~i variabilele Xi catre variabilele de ie~ire ~i variabilele x; , obtinem 3) graful:
1/6 1/2

la

57

PRELUCRAREA DIGITALA A SEMNALELOR

Pe baza aeestui graf, eeualiile eu variabile de stare ee definese graful sunt:


I = -1 Xl

Xl

1 + - Xz + 1. u 3 1 6

Xz =-x1 +-xz

7 4

+-u 2

y = 0 . Xl + 1. Xz + 1.u

Prin u..rmarernatrieele eorespunzatoare pararnetrizarii eu viabile de stare sunt:

po

ge

sa b) Determinarea funetiei de transfer pe baza rnatricelor din RVS se realizeaza aplieand formula (20). Rezulta:
1 z2 --z-2 H(z) = __

el.:

z221 3 2 --z-n
CI

e) Determinarea ecuatiei eu diferenle finite standard, EDFS

Prin urrnare, aplicand transformata Z inversa oblinern urmatoarea EDFS:


c

y[k]-~'

y[k

-1]-!'2

y[k -

2]= u[k]-!' 6
S8

u[k -1]-

2 u[k - 2]

Flltre numerice

5. FILTRE NUMERICE

5.1. Generalitati. Filtre numerice recursive. Filtre , numerice nerecursive.


Sistemele numeriee liniar invariante in timp, numite ~i fiItre liniare, pot fi earaeterizate eu ajutorul eeuariei eu diferente finite, sub forma generaHi:
N M

y[k] = Iai .x[k-i]+


i=O

Ib}.
}=1

y[n- j]

(1)

~ I

saueu ajutorul funetiei de transfer, obtinute aplieand transformata Z eeuatiei eudiferente finite ~i tinand eont de eonditiile initiale:

(2)

Analizand eeuatia eu diferente finite, se observa ea filtrele LIT pot fi reprezentate grafie eu ajutorul sumatoarelor, muItiplieatoarelor eu 0 constanta~i al registrelor de deplasare pentru a earaeteriza Intarzierile. Filtrele nereeursive sunt aeele filtre pentru care secventa de ie~ire depindedoar de secventa de intrare.
y[k] =

f( ...x[k -11 x[k 1x[k + 11..) ,

(3)

11
a
Observatii 1)'Aceasta implica pentru filtrele LIT faptul ea in eeuatiile (1) ~i (2) eoeficientii b} sunt nuli. Prin urmare, funetia de transfer (2) are numitorul 1, fiindreprezentata printr-un polinom in z-l.
(~

ii!Il" r.1i

59

PRELUCRAREA DIGITALA A SEMNALELOR

2) Pentru filtrele LIT cauzale se poate scrie di sernnalul de ie~ire este reprezentat de suma ponderata a e~antioanelor de intrare:
1m]

(4)
i=-oo i=-oo

cO!

unde:
h[i] =

constant = hi

(5)

reprezinta functia pondere a filtrului nerecursiv. 3) Ecuatia cu diferente finite a unui filtru nerecursiv include drept coeficienti e~antioanele functiei pondere (ecuatiile (4) ~i (5)). 4) Filtrele LIT nerecursive se numesc ~i filtre cu raspuns finit la impuls (Einite Impulse Response - FIR). Filtrele recursive sunt filtre1e a caror secventa de ie~ire depinde atat de e~antioanele de intrare cat ~i de e~antioanele de ie~ire la momentele precedente. Sistemele recursive LIT slmt descrise de ecuatia cu diferente finite (1).

Observatie , Filtrele LIT recursive se numesc ~i filtre cu raspuns infinit la impuls (Infinite Impulse Response - IIR).

eC

5.2.

Reprezentarea filtrelor numerice LIT

Observatie Alegerea structurii corespunzatoare se face in functie de constrangerile avute (stabilitate, efectul trunchierii) sau 'in functie de elementele avute la dispozitie.

60

Filtre numerice

Ire

5.2.1. Simboluri utilizate in reprezentarea filtrelor numerice Implementarea filtrelor numerice liniare depinde de modul de implementare al rela!iilor (1) sau (2). Elementele de baza utilizate In continuare pentru reprezentarea grafica sunt:

:4)

:5)

x[k-Y

elementul de Intarziere (Z-I)

~pt la - sumatorul
tat :le
l!e x[k] Xn

y~]=a.x[k]

- multiplicatorul

5.2.2. Structuri pentru filtre recursive

!ls

a) Forma directii I Pentru aceasta forma de reprezentare a filtrelor se porne~te de la ecualiaeu diferente finite (1), eonsiderand M = N:
N N

y[k] =

La;
;=0

.x[k-i]+

}=1

Lb}

.y[n- j]

(6)

Pe baza ei, reprezentarea In forma direeta I este:

2J1j z-11:1
Ie

le
-bNI

~~~Cfi-~
z-,~

z-rt ",
z-'

Z-1

I z-,~

I~~

Fig. 1. Forma directa I Dezavantajul aeestei reprezentari este utilizarea unui numar mare de elemente de Intarziere, separat pentru numarator ~i respeetiv pentru numitor (suntfolosite 2N elemente de intarziere). '61

PRELUCRAREA DIGITALA A SEMNALELOR

b)

Forma directa II

Aceasta forma de reprezentare pome~te de la exprlmarea functiei de transfer sub forma:

unde
() Hi
Z

W(z) = X(z)

, H2

()
Z

Y(z) = W(z)

(8)

Sistemul este de fapt 0 structura in cascada (legare in serle) a 2 sisteme cu functia de transfer Hi' respectiv H 2 Ecuatii1e cu diferente finite corespunzatoare acestor doua sisteme sunt:
N

w[k]=x[k]-

Lbj
j=1 N

.w[n- j]

(9)

y[k]

= La; .w[n-i]
i=O

(10)

62

Filtre numerice

--2Ik]

7)

-bN
8) Fig. 2. Forma direeta II 2 c) Forma canonica Daea in forma direeta II se utilizeaza elementele de inwziere amt

(9)

pentruprima jumatate a strueturii, eu funetia de transfer Hi (z) cat ~i pentru a douajumatate a strueturii, eu functia de transfer H2 (z), se obtine struetura optimizatadenumita "forma eanoniea" (Fig. 3).
x[k]

[0)

Fig. 3. Forma eanonica

63

PRELUCRAREA

DIGITALA A SEMNALELOR

Avantajul acestei scheme este di utilizeaza un numar minim de elemente de mtarziere.


d) Reprezentarea in cascadii a filtrelor recursive
de

Aceasta forma de reprezentare se bazeaza pe scrierea functiei de transfer sub forma unui produs de functii de transfer elementare de ordinul I (numaratorul ~i numitorul functiei de transfer sunt polinoame de gradul I In z-l) sau de ordinul 2 (numaratorul ~i numitorul functiei de transfer sunt polinoame de gradul II In Z-I):
K

fo

H{z)= ao . IT Hi{z)
i=O

(11)

tn
2:

Se descompune deci functia de transfer ca produs de functii elementare care pot fi de ordin 2 sau pot fi filtre elementare de ordin 1: (12) (13)

ur

Reprezentarea (Fig. 4):

este 0 cascadare a functii10r de transfer elementare

x[k] 71HoJ
)~ ...

Fig. 4. Reprezentarea In caseada Avantajele aeestei forme de reprezentare a filtrelor numence recurSive: 1) eu ajutorul seetiunilor elementare de ordin 1 ~i 2 se pot implementa atat po Ii ~i zerouri simpli, cat ~i complec~i, folosind polinoame cu eoeficienti reali; 2) uneori se utilizeaza 0 separare a sectiunilor ce contin poli ~i zerouri reale (de ordin 1), de sectiunile ce contin poli ~i zerouri complexe (ordin 2):

64

Filtre numerice

H(z)~ao HJZ)][ H,,(Z)] (14) Prima parte a functiei de transfer contine poli si zerouri reali iar a douaparte contine poli ~i zerouri compleqi. Dezavantaje structurii In cascada: 1) conteaza modul In care se face gruparea polilor ~i zerourilor, forma de reprezentare nefiind unica; . 2) este importanta ordinea In care se face legarea In cascada, atunci dind se dore~te implementarea unui filtru stabil.
e) Structura paraleHi

[fi

fi

Pentru implementarea acestei structuri se descompune functia de transfer Intr-o suma de functii de transfer elementare de ordinul 1, respectiv
2:
M

H(z)=C+
uncle:

LHi(z),
i=1

(15)

-I
Hi (z) =
1 + bilz

ail ~Ianz -2' pentru sectiuni de ordinul 2 + bnz


1 + bilz

(16) (17)

Hi(z) =

ail -1' pentru sectiuni de ordinull

c
~
x[k]

y[k]

Fig. 5. Structura paraleHi

6S

PRELUCRAREA DIGITALA A SEMNALELOR

5.2.3. Structuri pentru filtrele nerecursive.


pel

a) Forma transversal! Pentru sistemele nerecursive, forma directa se determina pe baza relatiei:
N

pel .x[k-i] (18)

y[k] =

Ih; ;=0

x[k]

y[k]

Fig. 6. Forma directa pentru filtre nerecursive (forma transversala) Structura seamana cu un registru de intarziere ponderat ~i se mai nume~te si reprezentarea in forma transversala. b) Reprezentareain cascadi Daca se descompune functia de transfer in produs de functii de
.. ---_-"-_1 .. ~ ...l~ ~-...l:n U1 J. IJ!VllllV1l1 UI;< ""-arl U<:Ul;:)lCl CICIHCIU,UC__ UI;; V1Ull l T 1_~1:_~_ ...l", 01 UUl 1

'[n~[;

1 ~_ "" ) sa"U U,", ,,,rl;,,,"l rla VIUUU. 111 ~-l \

II (polinom de gradul 2 in z-I), se obtine 0 reprezentare analoaga reprezenti'irii in cascada a filtrelor numerice recursive:
K

H(z)=

I1 H;(z),
i=1

(19)

unde
(20)

/
66

Filtre numerice

pentru0 secliune elementara de ordinul 2


(21)

pentru0 secliune elementara de ordinull.

8)

c)

Forma Lagrange

Aceasta forma de reprezentare este tipica pentru filtrele nerecursive baza relalia de aproximare (interpolare) a funeliei de transfer eu ajutorulpolinoamelor Lagrange.

~iare la

al

l-z

-I
ZN_I

de
ul ga 1

1- Z
9) Fig. 7. Forma Lagrange

-I
Z N-j

W)

Observatie De~i structura eorespunde unui filtru nerecursiv, conlinand ~i poli, poliidin struetura paralela sunt anulali de zerourile din struetura in easeadii.

67

PRELUCRAREA

DIGITALA A SEMNALELOR

5.3.

Proiectarea filtrelor digitale

Filtre1e FIR poseda cateva avantaje interesante din punct de vedre al proiectarii ~i caracteristici10r sale: - Nu pun probleme de stabilitate (sunt filtre tara reactie dinspre ie~ire catre intrare) - Prin introducerea unei Intarzieri devin cauzabile, ceea ce Inseamna ca sunt intotdeauna realizabile - Pot fi realizate cu faza absolut liniara, avantaj major in domeniile prelucrarii semnalelor unde distorsiunile de faza sunt inacceptabile (prelucrarea semnalu1ui vocal, transmisiunea de date, prelucrarea semnalului video sau radio, prelucrarea semnalelor medicale). Dezavantaje fata de IIR: - Pentru obtinerea unei pante de cadere a caracteristicii de frecven!a cat mai abrupte, 1ungimea functiei pondere a FIR este mai mare comparativ cu cea a filtrului IIR cu acelea~i performan!e. - Un numar mare de coeficienti implica multe operatii aritmetice, ceea ce inseamna un timp de calcul ridicat. - Aceasta implica scaderea limitei maxime a domeniului de frecventa a semnalu1ui prelucrat, dadi prelucrarile se fac In timp real. Prin urmare filtrele FIR au performan!e bune la frecvente joase. Etape ale proiectarii filtrelor digitale 1. Aproximarea caracteristicii filtrului ce dorim sa-l proiectam Sunt calculati coeficientii filtrului (e determinata functia pondere h[k], -oo<k<oo). Pentru aceasta se considera conditiile impuse fie In domeniul timp (de exemplu se specifica parametrii raspunsului filtrului numeric la functia treapta unitara), fie In domeniul frecventa (se specifica caracteristica de frecventa, de faza, sau timpul de intarziere de grup), sau in ambele, in vederea atingerii unor performante in domeniul timp, respectiv frecventa. 2. Se alege structura filtrului Se a1ege structura in care urmeaza a fi realizat filtrul numeric ~i modul de cuantizare a coeficientilor, pentru reprezentarea acestora prin cuvinte de 1ungime finita (filtrele numerice reprezinta un program memorat intr-o structura hardware) 3. Cuantizarea semnalelor /
68

Filtre numerice

Se euantizeaza semnalele de intrare ~i ie~ire ~i semnalele intermediare. Reprezentarea aeestor semnale se va realiza eu numar finit de al
lre
na

biti.
4. Etape de verifieare prin simulare a rezultatelor In aeeasta etapa se simuleaza filtrul. Daea performante1e obtinute corespund speeifieatiilor initiale, proieetarea se ineheie. In eaz eontrar, se reiau pa~ii 1-3. 5.3.1. Filtre FIR en faza liniara Fie un filtru FIR eu funetia pondere h[k], coeficienti, N, eu funetia de transfer: eu un numar finit de

ile
:Ie
U1

ta
IV

N-l
H(z)= k=O

2)[k

z-k

(23)

e,
~iraspunsul in freeventa:

(ela; ) =

H(zt=ejaJ

= N-l Ih[k].e-ikm
k=O

(24)

Filtrele FIR eu faza liniara au raspunsul in frecventa de forma:


'e

(25)
unde

a n
v

<p(aJ) -a (functie reala) {HI (OJ)= E R .aJ - fJ (faza liniara)

1
t

In aeeste eonditii, timpul de fntarziere de grup este constant, definit de relatia:

tgr=- dOJ-

d<p _

-a =to

(26)

69

PRELUCRAREA

DIGITALA A SEMNALELOR

Prin urmare, a este numiirul de perioade de e~antionare cu care semnalul este intarziat in filtrul respectiv. Prin identificare in relatiile (24) ~i (25) obtinem: N-I

IH(ejm

)1

cos(am + fJ) = L h[k]. cos(mk), V m


k=O

(27)

N-I
IH(ejm
)1

sin(am + fJ)= Lh[klsin(mk),Vm


k=O

(28)

N-I tg(am + fJ) Lh[k]. sin(mk) = ~~~ ' Vm L h[k]. cos(mn)


k=O

(29)

Ecuatia (29) poate fi scrisa general sub forma:


N-I

:Lh[k
k=O

N-I

cos(mk). sin(am + fJ) = Lh[k


k=O

l
fJ)

sin(mk). cos(am + fJ)

(30)

sau: N-I Lh[k


k=O

sin(m (a - k)+

= 0, V m

Se poate demonstra ca daca aceasta ecuatie are 0 solutie nebanala, atunci ea este unica. In functie de tipul paritatii functiei pondere ~i de paritatea lui N, care determina ~i tipul filtrului FIR cu faza liniara, solutia ecuatiei este conform urmatoarelor:
}.! lmpar, Tipul I: (N = 2M + 1, [k] = h[N -1- k D h
h[k]

are

simetrie

r--n::tri"i

/'

Filtre numerice

N-l a=--EN 2
~~fJ=O
M

(31)

HI (0) = h[M] + 2 Ih[M


k=l

+ k]eoskO

Tipul II: N par, h[k] are simetrie para (N = 2M, h[k] = h[N -1-

kD

(32)

Observatie Intrueat HI (7r) = 0 rezulta ea un filtru FIR de tip II nu poate fi FTS. Tipul
(N ::::2M

III:

impar,

h[k]

are

simetrie

impara

+ 1,h[k]::::-h[N -1- k D

(33)
M Hj

(0) :::: . 2)[M 2


k=l

+ k sin kO

Observatie Deoareee HI (0) = HI (7r):::: 0, rezultll ea aeest tip de filtru nu poate fi utilizat pentru implementarea unui FTJ sau a unui FTS.

71

PRELUCRAREA

DIGITALA A SEMNALELOR

N (N = 2M,h[k]= -h[N -l-kD


Tipul IV: 1 2

par,

h[k]

are

simetrie

impara

a=M--=--~N
7r

N-1
2

~<p=- 2 H,(B)~2 th[M

0~ +H]Sin[( k- ~)B]

Observatie , Se poate arata ea oriee filtru FIR eu faza liniara de lungime N poate fi obtinut prin easeadarea unui filtru FIR de tipul I eu un filtru FIR eu funetia de transfer F(z): H(z) = G(z). F(z) unde
2M

(35)

G(z) = Ig[klz-k,g[2.M-k]=g[klvk
k=O

~i
2 2 2 2 pentru tipul I pentru tipul II pentru tipul III pentru tipul IV

1,pentru tipul I
1+z-1

N-l
--, --,

--

2 2

, pentru tipul II , pentru tipul III pentru tipul IV

N-2 N-3

l-z-2
1-z --,
-1

,M =

--, --,

N-2

t
I

Intre eoeficientii filtrului proieetat ~i eoeficientii filtrului de tipul I exista urmatoarele relatii, prezentate In tabelele urmatoare:

72

2 2 2 2

I I g[N-2]+g[N-3] g[O]+ I Coeficienti g[l]

2 Ti ul intre coeficientii Rela Ti I Tabeli. Ig[k]h[k]Iii ul IIg[k-l] g[l] , g[O] -2] g[N Ig[k]+ g[O] -1]
-

filtreIor, in cazul filtrelor de tipFiltre numerice I, II

lte

~u

Tabel 2. Reiatii intre coeficientii filtrelor de tip III ~i IV Coeficienti Tipul III Tipul IV

h~]
5)
h[l] I

g~]

g~]

g[l]
2 g[k]-g[k-2]

g[l]- g[O]
2

h[k]

g[k]-g[k-I]

h[N-2] h[N-l]

(_g[~-4]) g[N-3]

g[N-2];g[N-3] _g[N-2]

Pozitia zerourilor functiei de transfer pentru filtrele FIR ell faza liniara
Caracterul simetric (sau antisimetric) al functiei pondere h[k] face ca zerouriIe functiei de transfer H(z) sa aiba pozitii particuiare fata de cercul unitate in planul z. Pentru a stabili pozitia zerourilor consideram formula functiei de transfer:

73

PRELUCRAREA
N-l

DIGITALA A SEMNALELOR

H(z)

= Lh[k].z-n
n=O

=h[O]+h[l].z-1

+ ... h[I].z-(N-2)h[O].z-(N-l)

(36) zel

tinand cont de faptul ca functia pondere h[k] antisimetrica. Daca substituim z ~ Z-I , rezulta:

poate fi simetrica sau

(37) Prin urmare zerourile funcliei de transfer H(z) ~i ale functiei H(z -I) soot identice. Pe baza acestei observalii deducem ca daca
Zi

pal

= 1jei~i este un

zero complex al funcliei de transfer, atunci ~i inversul acestuia este un zero al funcliei de transfer. Tinand cont ca funclia de transfer este un polinom cu coeficienli reali, deducem In plus ca valorile complex conjugate ale acestora sunt zerouri ale functiei de transfer. Prin urmare, In cazul zerourilor complexe, Zi = ljeiB; , putem scrie ca ~i urmatoarele numere complexe sunt zerouri ale functiei de transfer corespunzatoare liniara:
Zi

unui filtru FIR cu faza

Inti

= rei8, I

I
Zi

=-e1' r
I

10

"
Zi
Zi

= rie 1
ri

-B

(38)

1,

'"
~'''''.',"'''''N~~-'-''~IJ"

=_'e-10,

'
.='f'-_ ..... ._--.~ __ .....

reg
at

"",

"p ..
j: ,
1
j

...

:;r-

: t

: , ://: '.
1'"

: . !........... ,.j

tIS

'Sl) a

!:

;.,' ,

:
~.. , ,
;

:
:.. .
:

~ ...p..
':::5

~ Of' .. E
,,- ;
~.~"
~ ,

.. .. ;: .. '. 1'''.I .,: ~.~ ~ : ''0':

I'

+
:

# -

." : ;.. ~1 : ....~ :


: : "
" . " ..... " ...... ~ .
., #

tim por carl trea can


pan

: : : ", r i : 2 tl: L-..l..-_._-.L-_._..l..r"" ! :-j , :~,: .,11 ~ .:2 JJ:,. I(l , ..", 2 ()
.,' .. - .......

:
~

~.II'

'"

Partereala

Fig. 8. Zerourile filtrului FIR cu faza liniara

74

Filtre numerice

Prin urmare, 0 celuHi elementara de filtru FIR are eel putin patru zerouricu unnatoarea structura polinomiala de gradul 4 in Z -1 :
H( e jm) =
(1

-I . Zj')

(1 - Z -I Zj'') (1 - Z -I Z ,,). (1 - Z -I Z m)

(39) cazun

In functie de pozitia zeroului particulare:

Zj

distingem

unnatoarele

r =1
1

In acest caz 0 celula elementara are doar doua zerouri distincte ~i avem: (40) = 1 ~i OJ = {0,1Z"} In acest caz 0 celula elementara are doar un zero real, introducand 0 Intfuzierede jumatate din perioada de e~antionare (a este ~).
1j

5.3.2. Proiectarea filtrelor FIR Pentru proiectarea filtrelor FIR se pot folosi unnatoarele metode: - Metoda seriilor Fourier (metoda ferestrelor) - Metoda e~antionarii in frecventa - Me:tode optimale Primele doua metode sunt metode rap ide de sinteza ce nu due de regulala varianta cea mai buna, considerand obtinerea unor parametrii finali ai filtrului. Metodele optimale permit optimizarea unor parametrii, dar timpul de calcul e mai mare. In operatiile de sinteza a filtrelor FIR se pome~te, ca in cazul filtrelor in general, de la caracteristicile dorite, caracteristici impuse in timp (forma functiei pondere, raspunsul la functia treapta unitate, etc.) sau in frecventa (caractetistica de amplitudine, caracteristicade faza, etc). Caracteristica amplitudine - frecventa este definita prin urmatorii parametrii:

5p - ondulatie in banda de trecere

75

PRELUCRAREA

DIGITALA A SEMNALELOR

5s - ondulatie in banda de oprire


!i.OJ

OJ2 -

OJ]

Hirgimea benzii de tranzitie panta de cadere a caracteristicii

Rc

= OJ2 -!i.OJ 2 OJ]

1+1,

1-0"

~ Ban d a tranzitie

~
0,

-0,

Fig. 9. Caracteristica de frecventa a filtrului trece jos Proiectarea filtrului numeric este echivalenHi cu a determina

coeficientii h[k] care sa aproximeze parametrii impu~i.

Observatie , Pentru a avea 0 realizare practica eficienta (d.p.d.v. hardware acesta este echivalent cu 0 structura simpla a filtrului iar d.p.d.v. software eficienta presupune un volum de ca1cule redus) trebuie determinat filtrul ce are eel mai mic ordin n pentru care sunt satisfacute conditiile impuse acestuia.
a) Metoda seriilor Fourier (metoda ferestrelor) de proiectare a filtrelor FIR
H(eJ{jJ) -

Pomind de la caracteristica de frecventa impusa,

functie

periodica cu perioada 2rc - se poate determina functia pondere h[k], reprezentand coeficientii filtrului pe care dorim sa-l proiectam, avand caracteristica de frecventa H(eJ{jJ ):
co

H(eJOJ)=

Ih[k].e-JOJk
k~-oo

(41)

76

Filtre numerice

Relatia (42) este inutilizabiHi pentru sinteza FIR l'ntrucat funetia pondere are lungimea infinita, filtrul obtinut nesatisfaeand eonditia de realizabilitate fizica.

o modalitate de a obtine un fiItru fizic realizabil 0 reprezinUi conditia trunchierea seriei Fourier infinite -(41), deci impunand
= 0 pentru Ikl > (N-1)/2 ~i h[k J = h[k ]pentru Ikl ~ (N-1)/2 . In aceste conditii, filtrul ce aproximeaza earacteristica de freeventa impusa are raspunsull'n freeventa:
h[kJ
(N-I)/2

fI(eJaJ)=

Ih[k].e-JaJk
k~-(N-I)/2

(43)

Pentru a transforma aeest filtru l'ntr-un filtru eauzal, se deplaseaza functia pondere la dreapta eu (N-1)/2 pozitii, eehivalent eu l'nmultirea lui
ma
N-I

k(z) eu Z-2 . Prin urmare funetia de transfer a filtrului cauzal, fizie realizabil, ee aproximeaza earaeteristiea de freeventa impusa este:
N-I

sta nta eel

ii(z) = Z --2 . fI(z)

(44)

Trunehierea seriei Fourier infinite este eehivalenta eu l'nmultirea senel Fourier infmite eu 0 seeventa temporala de durata finita, numita fereastra. La trunehierea simpla, fereastra se nume~te dreptunghiulara ~i are
e a
expreSIa:

:tie
c],

(45)
wR[k]

lnd Transformata rectangulara este:

0, in rest = {1, ptlk! ~ in timp

N 2-1

Fourier

diseret

seeventei

fereastra

H)
q,2)

77

PRELUCRAREA

DIGITALA A SEMNALELOR

tra
fiIt

in
de

-2 0'

0.8

<,:> <,:> <jl

I
0.6 OA

:~J
I

rec
I

, 40, 6 2

a)

<,:>

lot
ree

372

())

val

b) Fig. 10. Fereastra rectangulara: a) caracteristica in timp ~i b) in frecvenla

'W ii

Observatii
1) Trunchierea seriei infinite duee la aparitia fenomenului Gibbs: diseontinuitatea in freeventa a raspunsului filtrului devine benzi de tranzitie iar pe caracteristica de frecventa a filtrului, in jurul caracteristicii apar ondulatii a caror amplitudine e proportionala cu marimea discontinuitatii caracteristicii de frecventa. 2) Metoda ferestrelor aproximeaza raspunsul unui filtru ideal eu un raspuns in frecventa avand oscilatii in benzile de trecere, respectiv de oprire ~i benzi de ~anzitie intre acestea. De exemplu, la aproximarea unui filtru trece jos ideal (FTJI), amplificarea oscilatiei de 0 parte si de alta a benzii de

suI bel nU

78

Fi/tre numerice

tranzitie nu scade sub un anumit prag indiferent de valoarea ordinului filtrului, N, dar crescand N cre~te se reduce banda de tranzitie. Trebuie avut in vedere insa faptul ca 0 cre~tere exagerata a lui N nu este buna din punct de vedere hardware si software. Analiza efectului trunchierii in cazul utilizarii ferestrei rectangulare Factorul de ondulalie este definit ca raportul intre amplitudinea lobului principal ~i amplitudinea primului lob secundar ~i in cazul ferestrei rectangulare are expresia: (46)

Amplitudinea
3Jr = NB2

primului

lob

secundar,

la .frecventa

digitala

va

fi:

(47)

Tinand valoarea:

cont ca Ao = N , rezulta pentru factorul

de ondulatie

(48) La limita valoarea acestuia este:

["

~. 1

Rro

2 . = N~ro Nisin 2N = 31l' = 4.71 lim 31l'1

(49)

Se observa ca oricM am cre~te ordinul filtrului N atenuarea nu scade sub0 anumita valoare, consecinta fiind faptu! ca frecventele de trecere devin benzi de trecere ~i pe palier apar oscilatii, aceste efecte fiind cunoscute sub numele de efectul Gibbs.

79

PRELUCRAREA

DIGIT ALA. A SEMNALELOR

Dadi analizam cantitativ efectullimitarii coeficientilor filtrului la un numar finit, consideram faptul ca din punct de vedere matematic limitarea este echivalenta cu multiplicarea func!iei pondere cu secven!a fereastra:
(50)

(ft

In domeniul frecventa multiplicarea se traduce prin convolutia functiei de transfer a filtrului ideal ~i raspunsul in freeven!a al ferestrei rectangulare:

(51)

sau:

(52)

Ferestrei dreptunghiulare ii corespunde un raspuns in frecven!a la care latimea lobului principal este ~ valoarea 4.71. ~i factorul de ondulatie limitat de

fereastra este atractiva din punet de vedere al proieetarii filtrelor FIR prin metoda ferestrelor dadi largimea lobului principal este mica ~i atenuarile lobilor secundari, comparativ cu cel principal, sunt muIt mai mari, ducand la un factor de ondulatie ridicat. Largimea lobului principal al raspunsului in frecventa al functiei fereastra, WR (ejilJ ) determina largimea benzii de tranzitie, iar amplitudinea lobilor laterali determina aparitia oscila!iilor in benzile de trecere/oprire.

Observatie 1) Intrucat raslmnsul in frecventa se obtine prin convolutie, el nu este in nici un sens optimal, chiar dad'i raspunsul functiei fereastra satisface un criteriu de optimalitate. 2) Pentru 0 obtine caracteristici mai bune ale ferestrei, s-au propus ferestrele Bartlett, Hamming, Hanning, Blackman, Kaizer, etc.

80

Filtre numerice

Fereastra Hamming generalizata ~i variante (fereastra Hann sau Hanning, fereastra Hamming)
0.8 0.7 0.6 0.5 0.4 0.3

ale acesteia

o:i

0.'

a)

.,
2r
3f

-4

II

I)

"
,

I,

b) Fig. 11. Fereastra Hamming generalizatii, avand 11 coeficien!i,


f3

a = 0.5

~i

= 0.3 : a) caracteristica in timp ~i b) in frecventa. eu linie punctata este reprezentatii TFTD pentru fereastra rectangulara avand acelea~i caracteristici.

Aceasta fereastra se ob!ine prin adaugarea unei perioade a func!iei cos peste valorile unei ferestre rectangulare. Matematic, este definita de formula:
_ r rY R

. wH[kj= Pentru

t~,r

...

(2nk

0, in rest cos

I N
81

(53)

)'pentru1kl ~ (N -1)/2

a = fJ

= 0.5 se ob!ine expresia ferestrei Hann:

PRELUCRAREA

DIGITAL A A SEMNALELOR

wHann

k -

N
Ikl :S;

(54)

0, in 0.5 [ ] _ {0.5 +rest . COS( 21rk) = cos 2 (TCk), pentru iar pentru a = 0.54 se obtine formula ferestrei Hamming:

(N -1)/2

wH

k =

(55)
Ikl:s;

[]

0, in rest {0.54 + 0.46 cos(21rk), pentru

(N -1)/2

Transformata Fourier in timp discret are in cazul ferestrei Hamming generalizate urmatoarea expresie:

Observatie Largimea lobului principal este dubla in cazul ferestrei Hamming generalizate, comparativ cu fereastra rectangulara, due and la 0 banda larga de tranzitie, insa amplitudinea lobilor secundari este mult redusa, acesta fiind un avantaj major. Fereastra Bartlett Este descrisa de urmatoarea formula:

Fi

Bartlett

=
[k]

{l-

(N_1)/2,pentru 0.2 rest ,III Ikl

<N -1

(57)
Wi

I I

82

Filtre numerice

i4)

0.9 0.8 0.7 0.6 0.5 0.4 0.3 0.2

1
-4
3

is)
3.5.

0.1

5
4 0.5 0 1.51 I
2.51

II I

/) I

a)

ng

i6)

ga ng ,ta

Fig.12. Fereastra Bartlett avand 11 coeficienti: a) caracteristica in timp ~ib) b) in frecventa. eu linie punctata este reprezentata TFTD pentru fereastra rectangulara avand acelea~icaracteristici.
Fereastra Blackman

Este descrisa de formula:

W Blackman

N N 0, in + 0.5 . [k] = {OA2 rest cos(21l k) + 0.08 cos(21l . 2k), pentru Ikl s (N -1)/2
(58)

83

PRELUCRAREA

DIGITALA A SEMNALELOR

0.9 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1

pl

~ 5

a)
4.5

3.5

2.5

1.5

0.5 o
-4

b) Fig. 13. Fereastra Blackmann avand 11 coeficienti: a) caracteristica in timp ~i b) in frecventiL eu linie punctata este reprezentata TFTD pentru fereastra rectangulara avand acelea~i caracteristici.

c,

al

Fereastra Kaizer Permite 0 mai buna proiectare a ferestrei, datoriti'i parametrului de


control p. Are expresia:

d(

unde 10 (x) este functia Bessel de speta intii ~i ordinul zero, de parametru x;
fJ

este un parametru

independent

ce controleaza
84.

amplitudinea

lobi lor

fi fr h

Filtre numerice

secundari, energia din lobul principal al raspunsului ferestrei fiind controlatii de lungimea ferestrei, N Pentru fJ = 0 fereastra Kaiser ia forma particulara a ferestrei rectangulare, iar pe masura ce fJ cre~te, cre~te largimea lobului principal ~i scade amplitudinea lobilor secundari. 10 se po ate aproxima cu ajutorul seriilor Taylor:

I"(x)~

t,[ ~!(;)' J

(60)

Transformata Fourier In timp discret In cazul ferestrei Kaiser este:

(61)

Atenuarea lobilor secundari poate fi variata In cazul ferestrei Kaiser, de la valori foarte mici, ca In cazul ferestrei Blackman, parra la valori mari, ca In cazul ferestrei rectangulare, prin modificarea parametrului fJ. Pentru alegerea parametrului fJ astfel Incat sa obtinem 0 atenuare 0 oscilatie cu riplul ATT, se considera urmatoarele relatii: ATT < 21
fJ

= 0.5842 (ATT - 21)4 + 0.07886 (ATT - 21) 21 < ATT < 50 (62) 50 < ATT 0.1102 . (ATT -8.7) {o

Concluzii privind proiectarea filtrelor FIR prin metoda ferestrelor 1) Proiectarea filtrelor FIR prin metoda ferestrelor presupune derularea 'urmatorilor pa~i: 1) Se specifica caracteristica de frecventii a tiltrului pe care dorim sa-l proiectiim; 2) Pomind de la caracteristica de frecventa impusa la pasul 1, se determina functia pondere corespunzatoare, h[k], folosind TFTDI; 3) Se alege functia fereastra, w[k], ~i se ca1culeaza
85

PRELUCRAREA

DIGITALA A SEMNALELOR

h[k] = h[k

ce
h[k]

w[k]; 4) Se determina functia pondere a filtru1ui fizic realizabil aproximeaza caracteristica de frecven!a impusa la pasul 1, = h[ k - N 2-1] , k = 0, N -1, ca fiind secven!a h[k] determinata la

pasu1 3, dep1asata la dreapta cu (N -1)/2 e~antioane; 5) Se determina ~i se reprezinta caracteristica de frecven!a a filtru1ui ce aproximeaza filtrul dorit,
h[k].

2) Aceasta metoda de proiectare este 0 metoda u~or implementabi18o, care nu ofenl Insa optimizarea unor parametrii ce caracterizeaz80 filtru1 ce dorim s8o-1mplement8om. i

a)
12

10

I 0'

-4

b) Fig. 14. Fereastra Kaiser avand 11 coeficien!i, pentru fJ E {2,4,6,8,1O}:a) caracteristica in timp ~i b) 'in frecven!a. eu linie punctata este reprezentata TFTD pentru fereastra rectangulara avand acelea~i caracteristici (echivalenta cu fereastra Kaiser pentru fJ = 0 ).

86

Filtre numerice

Exemplificare la metoda ferestrelor: proiectarea unui FTJI Sa se proieeteze prin metoda ferestrelor un FTJI avand frecventa earaeteristiea OJ/, utilizand fereastra reetangulara eu N = 11 e~antioane. Pas 1: Caraeteristiea de freeventa pentru FTJI este definita de relatia:
(63)

unde FIJI.

OJ/

este freeventa de taiere (sau freeventa earaeteristiea)

a filtrului

Pas 2: Se determina eoefieientii functiei pondere h[k] eu TFDI:

h[k] = _1 27r

[H(eirJJ). :r

ejkOJ

dOJ = _1 27r

f'
t

OJ,

ejkOJ

dOJ =

=-- 1 (e 1
27r Jg

(64)
7r

jkm

'-e

- jkm)

'=-smOJ 1.
1ik

k =-sme OJ/.

k OJ k E Z
/,

2
.02 ~........ :; ~-,,_ .. :;._---_.~ _.~-----_._; _ .. ; .. 4

a) b) Fig. 15. Filtrul treee jos ideal, FTJI - a), ~i aproximarea lui (earaeteristiea de freeventa), determinata prin metoda ferestrelor - b)

Pas 3: Se trunehiaza rezultatul pana la M, 5 in cazul concret de proieetare eonsiderat (se pastreaza N = 2M + 1 eoefieienti). Pas 4: Pentru a obtine un filtru eauzal se deplaseaza
ell M = 5 e~antioane:
h[k]

la dreapta

h'[k]= 7rk-M (l

)sin[(k-M)OJt]=

7rk-5 (1 87

)sin[(k-5)OJJk=O,10

(65)

PRELUCRAREA

DIGIT ALA A SEMNALELOR

Pas 5: Se calculeaza func!ia raspuns in frecven!a pentru filtrul avfu1d coeficien!ii h[ k] ~i se trece la implementarea filtrului (Fig. 15. b).
~ ~

Exemplificare la metoda ferestrelor: proiectarea oricarui filtru ideal pe baza proiectarii unui FTJI cu metoda ferestrelor. Cazuri particulare: FTS, FTB, FOB.
hFTS,())/[k] = 8[k] -

I I - -I-I I I I I I I I I I I I I

I I I I I
-oj ~.

I I

~-I I I I I

I I

I
I I

1- -

O~-~----~---~-I I I I I I I I -1

Ie
-1

-1

-2

-2

-2

T a)

I
I

2
I
I I I I I

I
I

I I

I I
:

I
-

~- W--:-I
I
I

~ I
I
I
I

1----1----1
I I I I I I I I

I I I I I

-~-n-~---i-I

I I I I

I I I I

-1

-2

2 =

-1 -2
o[k]

o
-

-1 -2

b)

hFoBn l'n[k] , 2

hFTBn l'Q2[k] = ,

2
I -

I
I I I I I I
I

I - -1- I I : I

I
I

r- I I I

c
I I

:j'

-I -

-,

c) Fig. 16. Filtrul trece sus ideal, FTSI- a), Filtrul trece banda ideal, FTBI - b), ~i Filtrul opre~te banda ideal, FOBI - c)

-2

IJ

-2

;:

---~---~--I -1 l . i
o 2

-2

88

Fiitre numerice

Timlnd cont de modul de determinare a caracteristicilor de frecventa pentru FTSI, FTBI, FOBI, reprezentate in Figura de mai sus, avem urmatoarele relatii: = g[k]-~sinckOJ!,k
Jr

hFTS

[k]

Z Z (66)

hFTAk]

OJ! Jr

sine

kW2

OJ! Jr

sine

kOJp k E

hfTB [k ] ~

J[k] - ( :: sine kaJ,

- ::

sine kaJ, ), kE Z

b) Metoda e~antionarii in frecvenla Este 0 metoda foarte simpla de proiectare a filtrelor FIR. Ponind de la earacteristica de frecventa dorita, se e~antioneaza aceasta, obtinandu-se TFD a filtrului dorit, din care apoi, eu TFDI se deduc coeficientii filtrului: Fie H(z) functia de transfer a filtrului pe care dorim sa 11proiectam. Se considera N puncte echidistante pe cercul unitar: (67)

Atunci coeficientii filtrului ce aproximeaza filtrul dorit sunt:

(68)
~ ',..-l

Observatie ,

Metoda e~antionarii in frecventa de proiectare a filtrelor FIR este un caz particular al proiectarii optimale, caracteristica de frecventa fiind aproximata perfect la frecventele
OJn

= ~ n.

c) Metode de proiectare optimala Definindu-se eroarea ponderata de aproximare a caracteristicii unui filtru ca diferenta ponderata intre caracteristica de frecventa a filtrului dorit,

89

PRELUCRAREA

DIGITAL,'\. A SEMNALELOR

H(ej{JJ ), ii(ej{JJ ),

~i caracteristica de frecventa a filtrului ce aproximeaza filtrul dorit,

metodele de proieetare optimal a I~i propun sa minimizeze anumite eroarea medie, definite In diferite norme: Minimizarea erorii patratice medii (norma L2) - minimizarea In sens LMS
(69)

if
IE

Minimizarea erorii medii In norma Lp (70) Minimizarea maximului amplitudinii erorii - minimizare In sens CebI~ev (norma Lex) (71)
W(co ),W > 0 , reprezinta functia de ponderare reprezinta domeniul de interes pe care se face optimizarea.

a erorii, iar B

Proiectarea optimaHi in sens LMS (norma L2) Considenlnd ea filtrul FIR care aproximeaza filtrul dorit este un FIR eu faza liniara, de tip 1, de ordinul N = 2M + 1, eroarea medie are expresia:

E, =

unde eoeficientii filtrului de aproximare sunt identifieati prin:

W(m ){t,d[k]

cos(mk)- H(m ))1' dO!

(73)

(74)

90

Fiitre numerice

tIiiP '::"~t

00

.~ Observatie S-a considerat ca functia de transfer este 0 functie reala. Se poate include in (73) 0 functie de transfer complexa, caz in care modulul reprezinta modulul unui numar complex. Minimizarea erorii patratice medii este echivalenta cu rezolvarea urmatoarei probleme de optimizare patratica:

uncle:

r (co). c(co). (co). dco, p = r W(co). c(co). dco,


Q=
W2

cT

H(ejaJ

).

(75)

J1

W2 (co). H2 (co). dco

eu:

cosMco] Solutia acestei probleme, aproximare, este data de relatia: cafe ne ofera coeficientii

(76) filtrului de

(77)
~

1I@t;I)"

1iiJ;; Observatie Problema de proiectare optimala poate fi generalizata, in sensul ca se pot impune constnlngeri asupra riplului in benzile de trecere, respectiv de opnre:

.,~~)

IH(co

P,i

)-11 < 0p, pentru benzile de trecere

(78)

IH(coS,i ~

< os' pentru benzile de oprire


91

PRELUCRAREA

DIGIT ALA A SEMNALELOR

In aeeste eonditii problema de optimizare patratiea va fi 0 problema de minimizare cu constrangeri, descrisa de: min {dT Q .d - 2 .d T P + Jl }, eu d
Hp

d ~ bp si

(79)

Hsd:S;bs

Proiectarea optimala in sens Cebi~ev (norma Loo) Considerand ca filtrul FIR care aproximeaza filtrul dorit este un FIR cu faza liniara, de tip 1, de ordinul N = 2M + eroarea ce va fi minimizata are expreSla:

I,

(80)

unde coeficientii filtrului de aproximare sunt identificati prin relatia (74). Teorema Deoarece filtrul de aproximare reprezinta un polinom de gradul M in cos {o, el va avea eel mult M -1 puncte de extrem (maxime sau minime, corespunzatoare punctelor de extrem ale riplului). Problema are solutie daca ~i numai daca exista eel putin M + 2 punete astfelincat:
{Oo

<

{OJ

< ... < {OM < (OM+l =E({Oi+l)'

E({Oi+J ) jE({Oi ~

i = 0, M + 1

(81)

= &,i = O,M +

unde

(82)

Teorema sta la baza algoritmului Remez de proiectare optimaHi: 1) Se stabilese valorile initiale pentru
{OiO,

i = 0, M

+ 1.

, 92

Filtre numerice

2) Considerand relatiile (81) se determina coenficientii d[klk = O,M rezolvand cele M + 2 ecuatii determinate de (81). 3) Se determina wi, i = 0, M + 1 , punctele care au cele mai mari eron.
~ 4) Se repeta pa~ii 2-4 pana cand IE(aJ~ = 8 = ct,i = O,M + 1. ~
>Wf}

.; Observa!ie Algoritmul are 0 convergenta rapida. 5.3.3. Proiectarea filtrelor IIR Pentru proiectarea filtrelor IIR se pot folosi urmatoarele metode de transformare a filtrelor analogice: - Metoda invariantei raspunsului la impuls - Metoda transformarii biliniare - Metoda transformatei adaptate - Metoda transformarilor de frecventa pentru IIR - Metoda de sinteza directa in planul Z a filtrelor IIR

Observa!ie Exceptand ultima metoda, celelalte metode reprezinta metode de transformare a filtrelor analogice, necesitand 0 analiza a modului in care polii, zerourile ~i stabilitatea filtrului analogic sunt conservate. In plus, aceste metode necesita ~i 0 analiza a modului in care axa frecventelor reale este conservata.

o transformare ideala indepline~te proprietati: - Transforma un filtru analogic stabil ~i cauzal intr-un filtru digital stabil ~i cauzal. Prin urmare, semiplanul stang al planului s este transformat in interiorul cercului unitate in planul z. - Conserva caracteristica de amplitudine ~i de faza. Prin urmare, axa imaginara a planului s este transformata in conturul cercului unitar in planul
z.

a) Metoda invariantei raspunsului la impuls Se bazeaza pe conservarea functiei pondere a sistemului analogic utilizat in obtinerea filtrului numeric dorit.

93

PRELUCRAREA

DIGITALA A SEMNALELOR

Etape: 1) Se determina functia pondere a filtrului analogic 2) Se discretizeaza

ha

(t)

aceasta functie pondere, prin e~antionare la

multiplii ai perioadei de e~antionare: h[k] = ha (t l=kTo 3) Se calculeaza functia de transfer a filtrului numeric rezultat
H(z)

cc

= Z{h[k]}.

ar

4) Se determina polii functiei de transfer ~i se analizeaza stabilitatea sistemului. 5) Pe baza functiei de transfer se scrie ecuatia cu diferente finite ce descrie comportamentul filtrului numeric proiectat (ED F). Pentru a determina functia pondere, avand data functia de transfer a filtrului analogic, H(s), se descompune aceasta In fractii simple, avand poli reali sau poli compleqi:

In

(83) unde
C

In

__ I,

S-Pi
di

pentru poli reali s+r


1

(84)

(S + ri Y + di2 sau (s + r; Y + d/ ' pentru poll complecsi Fiecare termen din dezvoltl'lrea In fractii simple este discretizat rezultand:

ci . e pJo . ()" .p pentru poli reali [k1


z-Ie-r;To sin(diTo) 1-2e r,Toz
1 cos(diTo)+z-2e-2r;To

sau

11-

z -1e -riTOcost diTO)

2e riTO' 1 cost diTO) Z

+ z -2 e -2r;70 ' pentru poli complesi

94

Filtre numerice

~ ~.--. .'.. ..

~ Observatii 1) Atunci ca.nd nu se specifidi perioada de e~antionare, ea este considerata 1. 2) Polii filtrului numeric se determina pe baza polilor filtrului analogic. 3) Zerourile filtrului analogic ~i ale celui numeric difera de regula. Analiza in frecventa a metodei invariantei raspunsului la impuls
~

;f

~;, Observatii . 1) Caracteristicile de frecventa se conserva, segmente ale axel imaginare a planului s fiind transformata in cercul unitar. 2) Semiplanul stand este transformat in interiorul cercului unitar. Mai precis, benzi ale semiplanului stang, de Iargime 21r , sunt transformate
To

in interiorul cercuIui unitar.

R
---iilTom
-31r/T ., uuu_o
u m __ - m_

(85

- - -51r/T - - - - - - - - - - - - - - -- - - - - - -0

Fig. 17. Invarianta raspunsului la impuls - rela!ia dintre planul s ~i pla..flUl z b) Metoda transformarii biliniare Este 0 metoda de proiectare rapida, bazata ca ~i prima metoda, pe caracteristica de frecventa a filtrului analogic. Este 0 metoda eficienta

95

PRELUCRAREA

DIGITALA.. A SEMNALELOR

datorita faptului ea se implementeaza simplu ~i are performante bune in domeniul frecventelor joase. Metoda are la baza transformarea:

cer stal eer

Z=-l-s
sau mai general 1+~
z

l+s

(86)

COl

=---K. 1-~
K

(87)

unde:

(88) Analiza biliniare in frecventa ,


ejOJ

a metodei Rezulta: 2

invariantei ,

transformarii

Fie s = a + jn, z = p.

z =

r,-+a + jD.
0

(89)

r,--a-D.
o

~1

Izi

=p=

(90)

Observatii , 1) Relatia arata ea axa imaginara (a = 0) se transforma in planul z in eonturul eereului unitar (p = 1).
96

Filtre numerice

2) Semiplanul stang al planului s (a < 0) se transforma in interiorul cercului unitar (p < 1). Prin urmare transformarea biliniara conserva stabilitatea sistemului analogic. 3) Semiplanul drept al planului s (a > 0) se transforma in exteriorul cerculuiunitar (p > 1). Pentru a analiza considera relatia: conservarea caracteristicilor de frecventa, se

s =~ z-1 To . z+ -1'z
RezuIta:
. 0)

ej(J}

(91)

2 1s=---To

e-j(J}

l+e-j(J}

=_'J' T
o

sm2

--0) = - . j . tan -2 = j0. cosTo


2

0)

(92)

Prin urmare:
2 0) 0)
0. To

0. =-tgTo

2'2

sau - = arctg--

(93)

!
3~
21--

:
,

I
I

~
'~ __

:
I

I
I

~ _
t

I
r 1

I
I

I
,

1..
t

I
.1

.!.

1
1l-- __ --'

I
L

I
I

I
1

I
t

I I I I

.1

I I 3 or- - - -1- - -I- - - _1_ - -

I I I
I
1

I I I
t

I I 1 - - -1- - - + - - -1- --

1 f--

-,

r - - -,- - - - - -1I I
1

I I I
I

I 1 I
I

- - T - - -1- - -

.2f-----:---~---:-.031-__

~-~
.!.

-,-+---:---

I I

t
J

I
t

I I

1 If'
1

! I

Fig. 18. Metoda transformarii biliniare - relatia intre frecventa analogica ~i frecventa digitala
97

PRELUCRAREA

DIGITALA A SEMNALELOR

Observatii 1) La freevente joase relatia intre freeventa analogidi ~i freeventa digitala este liniara. 2) La freevente inalte, transformarea biliniara distorsioneaza freeventele. 3) Metoda nu asigura 0 conservare a timpului de intarziere de grup. Etape: 1) Se specifica frecventele earacteristice ale filtrului digital ~i caracteristieile de amplitudine dorite. 2) Pe baza relatiei dintre frecventa analogica ~i frecventa digital a, se determina frecventele analogice specifice filtrului analogic prototip (se realizeaza 0 predistorsionare a frecventelor analogiee). 3) Se proiecteaza filtrul analogie eu freeventele earacteristice determinate la pasul 2, obtinand functia de transfer H a (s). 4) Filtrului numeric determinat la pasul 3 i se aplica transformarea biliniara:
(94)

s=-"--:} TO l+z

1-z

-1

c) Metoda transformatei adaptate Reprezinta 0 generalizare a metodei invariantei raspunsului la impuls, conservand nu doar polii, ci ~i zerourile functiei de transfer a filtrului analogie folosit drept prototip. Aceasta conservare este realizata de urmatoarele substitutii:
S + Pk ~
S

+ Zk

1- Z-l 1- Z-l

e-PkTo
. e-zkTo

(95)

pentru poli, respeetiv zerouri reale ~i:

98

Filtre numerice

pentru poli, respectiv zerouri complexe.

Observatii 1) Este necesar a se descompune numaratorul ~i numitorul functiei de transfer H a (s) ca produs de polinoame de ordinul 1 sau 2, daca radacinile sunt complexe, pentru a identifica polii ~i respeetiv zerourile. 2) Daea filtrele prototip prezinta numai poli, se introdue zerouri de compensare la z = -1 .

Exemplu pentru proieetarea prin metodele invariantei raspunsului la impuls, transformarii biliniare ~i a transformatei Z adaptate Se eonsidera filtrul analogie eu funetia de transfer

H a (s) = s 2 +7s+12 S +2

. Sa se determine filtrul diseret eehivalent prin: a)

Metoda invariantei raspunsului la impuls; b) Metoda transformarii biliniare; c) Metoda transformatei z adaptate. Perioada de e~antionare este To = 0.1. Rezolvare: Metoda invariantei raspunsului la impuls In urma deseomp~nerii in fraetii simple 0btinem funetia de transfer a filtrului analogie:

H a (S ) s2+7s+12 s +2

=----=---=> s+3 2 1 s+4


h[k]

ha

t ()

=2e
(-4t (}[k]

-e

-3t)

.() (t )

Prin diseretizarea functiei de transfer analogiee obtinem: = 0.1


~e-04k - e-03k).

Metoda transformarii biliniare

A P1 ~ d translormarea s lean
-C'

2 := ---

To z+l

z -1 rezu 1~ ta:

99

PRELUCRAREA

DlGITALA A SEMNALELOR

2 [lO(z-1)+ (z + I)). (z + 1) ~[5(z -1)+ (z + 1)120(z-1)+ 3(z + 1)]=

_ 1 (llz-9).(z+l) - 2 (6z-4).(23z-17)

_ 11 - 2334

Metoda transformatei Z adaptate

Realizam descompunerea numaratorului ~i numitorului func!iei de transfer analogice:


H (s)=
a S2

+7s+l2

s+2

(S+3)'(8+4)

s+2

Din descompunere identificam polii ~izerourile:

~irezulta expresia func!iei de transfer a filtrului digital:

nl \
\ rlIZ)- (1 -z

I_z-le-2To -I e -3To Xl

I_z-le-02
(1

-z -I e -4To )--

-z -I e -0.3Xl -z -I e -0.4)
I

d)

Metoda transformarilor de frecven1a pentru IIR

aJ

Exista doua moduri de a transforma frecven!ele: in domeniul analogic, ~iin domeniul digital. In primul caz se proiecteaza un FTJI analogic normalizat, 0t = 1, transformandu-se acesta intr-un filtru avand frecven!ele caracteristice corespunzatoare filtrului digital. Urmeaza apoi transformarea filtrului analogic in filtru digital.
fi

100

Fiitre numerice

In cazul al doilea, filtrul analogic prototip FTJI normalizat este transformat in filtru numeric, urmand ca apoi sa se realizare transformarile de frecventa necesare obtinerii filtrului discret dorit. Prima metoda - Etape: 1) Se determina filtrul analogic ideal de tip trece jos (FTJI) cu frecventa caracteristica normalizata (Ot = 1). 2) Folosind relatia de transfer a benzilor de frecventa se transforma filtrul analogic de tip FTJ normalizat in filtrul analogic dorit.

t s' = t , pentru transformare s


s' =
(

s' = ~,

pentru transformare TJ ~ TJ TJ ~ TS

(97) (98)

S
)2

+1

0. 0
Bn ~

, pentru

transformare TJ ~ TB

(99)

, pentru transformare TJ ~ OB

(100)

uncle, in
Bn

cazul

ultimelor

transformari

de frecven!a,

00 = ~0102

= Q2 -

1,01

~i 02 fiind frecven!ele caracteristice ale filtrului FTB,

respectiv FOB. 3) Se discretizeaza filtrul analogic cu una din metodele prezentate anterior. A doua metoda - Etape: 1) Se proiecteaza filtrul digital FTJ cu frecven!a
COI

normalizata

1r ,

pomind de la un filtru analogic prototip pe care 11discretizam. benzilor de frecven!a asupra

2) Se aplica metoda transformarii filtruluinumeric FTJ obtinut:

101

PRELUCRAREA

DIGIT ALA A SEMNALELOR

, _ ---,z-a 1- a z

1- tg(OJt 2 a = -_:......--.':-)
1 + tg -

Sin(!(Jr - _-;- 2__2


-

OJ t

---C...!-

)J

2 (OJt)

sm - - + OJ 2 2 t )J' pentru transformare TJ -t (1 (Jr


(101)

TJ

z =

z+a
1+ a . z

l-tg( ,a =
l+tg

~)
OJ(

co{H%+OJ, )J
1 7r cos - --OJ t = ((2 2 )J

() 2

'

pentru transformare TJ
(102)

~TS

,
Z

a+p(a+l)z-zZ = azz
-

I-tg (OJ

2B 2

sm - --OJ (1 (Jr 2 2 B )J 2 2 = . (1 (Jr sm -+OJB

p(a + l)z + 1,a = 1

+tg -

(OJB)

)J'
(103)

P = cos(OJo) pentru transformare TJ ~ TB

,
Z

zZ-p(a+l)z+a
= azz -p(a+l)z+l,a=

I-tg

(OJ

2B ) =

cos - -+OJ ( 2 1(7r 2 cos - --OJ 2 2 (1 (7r

)J

+tg -

2 (OJB)

)J'
(104)

P = cos(OJo)' pentru transforrnare TJ ~ OB

unde, B =
llJ

in
OJz -

cazul
OJ1, OJ1

ultimelor ~i
OJz

transformari

de frecventa,

OJo

OJ1 ~ OJz

fiind frecven!eIe caracteristice ale filtrului FTB,

respectiv FOB. Se ob!ine astfel filtrul digital dorit. e) Metoda de sinteza directa in pIanuI Z a fiItreIor IIR Aceasta metoda are avantajul ca permite specificarea unor parametrii pe care metodele anterioare nu-i puteam specifica. Metoda minimizeaza media eroarea ponderate a filtrului ce aproximeaza caracteristicile de frecven!a pe care dorim sa Ie obtinem, eroarea fiind determinata ca valoarea absoluta a diferen!ei Intre caracteristica filtrului dorit ~i caracteristica filtrului realizat.
102'

Filtre numerice

Etape:
1) Se define~te 0 rnfumra a rnediei erorii dintre raspunsulin frecventa actual ~i raspunsul in frecventa dorit ~i se folose~te un algoritm de optimizare pentru a determina coeficientii corespunzatori ai filtrului. 2) De exernplu, In cazul normei L2, problema care se cere a fi rezolvata este deterrninarea coeficientilor filtrului de aproximare, avand caracteristica funclia: , de frecventa
H(ejOJ)

A elOJ B~e"l, astfel incat s~ minimizam

(105)

unde W(ev), W > 0, reprezinHi functia de ponderare a erorii. 3) Coeficientii filtrului se determina prin algoritmi iterativi.

103

E$antionarea semnalelor continue

6. E~ANTIONAREA SEMNALELOR CONTINUE

6.1.

E~antionarea periodidi

Procesul de baza al e~antionarii este preluarea unui semnal analogic printr-un puIs periodic care va permite trecerea semnaIuIui doar atata timp cat pulsul este activo Semnalul de preluare sau functia de e~antionare s(t) are pulsuri de inaltime, lungime (1') ~i timp de separare (T) constante. Semnalul analogic in banda de baza Xc (t) este de obicei translatat, astfelincat sa nu fie negativ; prin urmare toate e~antioanele vor fi pozitive. Inmultind semnalul de baza Xc (t) cu semnalul de e~antionare s(t) se obtine semnalul e~antionat xs(t) format din felii (e~antioane): xs(t)=x(t)s(t). T

este intervalul de e~antionare, Ie = ~ este frecventa de e~antionare. Functia de e~antionare s(t) este un tren de impulsuri Dirac in cazul e~antionarii ideale. In cazurile reale exista un timp de e~antionare 1', in acest caz functia de e~antionare fiind un tren de impulsuri inguste avand spectrul sub forma unei serii de armonici cu frecventa de repetare a puisului Ie' cu 0 anvelopa
sine

avand zerouri la armonici de

l. .
r

Semnalele discrete se obtin din cele continue prin e~antionare periodica cu perioada T:

x[k] =

Xc

(kT),-oo < k < 00

(1)

Teste

perioada

de e~antionare,

iar Ie = ~ T

este frecventa

de

e~antionare (e~antioane/secunda).

105

PRELUCRAREA

DIGITALA A SEMNALELOR

Xc(t)

x[k] = xc(kl)

.x

Fig. 1. Conversia AID ideaHi

Observatie In practica se' folose~te convertorul CAD ce este 0 aproximatie a convertorului ideal C/D. Operatia de e~antionare nu e in general reversibila (avand x[k] nu-i posibilin general sa reconstituim xc(kT)). Pentru a face 0 analiza a acestui fenomen yom analiza figura anterioara sub forma:

xJt)

Conversie digitala

x[k] = xJkT)

Fig. 2. Conversia AID ideal a - etape

Observatie , xs(t) este un semnal continuu pe dind secven!a x[n] ia valori discrete pe axa timpului (timpul nu apare explicit, indexul folosit este un numar).

tl

e
-T

fi

x[k] -1 o 1

Fig. 3. Conversia AID ideala - exemplificare

106

Esantionarea

semnalelor continue

6.1.1. Reprezentarea
frecventa

semnalelor

e~antioanate

in

domeniul

Pentru a determina relatia In domeniul frecventa vom considera ca fiind obtinut prin modularea lui xc(t) cu un tren de impulsuri Dirac (2), (3):
xs(t)
00

set) = I8(t - nI),


n=-oo

r5

= impulsul delta (distributia delta a lui Dirac) (2)


00 00

xs(t)=xJt).s(t)=xJt) L8(t-n1)=
rp-oo

11=-00

Lxc(n1)8(t-n1)

(3)

Transformata Fourier a lui xs(t) este:

(4)

Atunci: Xsun) = XcUQ) * Sun) = _1 [SUB)' x(j(n-O)do = 21i -) J' (5)

Deci transformata Fourier a sernnalului x s (t) este 0 suma infinita de transformate Fourier a sernnalului e~antionare. Dadi 0. s
Xc

(t) aflate la multiplii ai frecventei de

0. N > 0. N sau 0. s > 20. N atunci, a~a cum se observa In


Xc

figura 4, nu avem suprapunere a spectrului ~i


xs(t)

(t) poate fi recuperat din

eu un FTJI cu raspunsulln frecven!a Hr(jn) frecventa maxima a spectrului sernnalului. In acest caz:

(Fig. 5). 0.N reprezinta

(6)

107

PRELUCRAREA

DIGITALA A SEMNALELOR

unde Hr freeventa

(jn)
de

este earaeteristiea in freeventa a unui FTJI eu ea~tigul T ~i taiere Dc astfel ineat nN< 0c < ns-nN eopiile . Atunci (Fig. 6). Daca
Xc

xr(jn)=xc(jn) sernnalului eontinuu

ns

<2QN atunei

speetrului

(t) se suprapun

~i sernnalul numai poate fi reeuperat

(fenomen numit aliere).

~n) -nN

nN

son)
2

XsOo.)

ns-nN

0.

Fig. 4. Conversia AID ideala - reprezentarea in freeventa


""

s(t) = 2(t - nT)


n=-oo

xc(t) ~

xs(t)

xltJ

I HrOQ) I

~
Xc

Fig. 5. Conversia AID ideala - reeuperarea semnalului

(t)

1108

E$antionarea semnalelor continue

I I

I y------~

.oN

Fig. 6. Conversia AID ideaUi - analiza in frecventa a recuperarii semnalului


Xc

(t)

I1ustrarea efectului
Xc

de aliere pentru

semnalul

sinusoidal

(t)= cos(Oot) ~ I!JII "4_>

Observatie
X,.(t)=cos(Oot).

In cazul in car~ nu avem aliere semnalul de ie~ire reconstituit va fi Atunci dud Os < 200 apare fenomenul de suprapunere

spectrala (aliere). In cazul semnalului sinusoidal se traduce prin faptul ca FIJI va recupera aIte frecvente ale semnalului, ~i nu cele considerate in semnaluloriginal: xr (t )=cos((Os -Oo)t).

109

PRELUCRAREA

DlGITALA A SEMNALELOR

-.00

I I I
\

~--.--- ,, , ,,, ,,
/ \

Xs(j.o)

.os> 2.00

\
\

T
\

'~nQ

~/

,,
~_,-/no Xs(j.o)

,I
.os-.oo

La -.00 I/+
, I I
1

,,
I I I
I I

[.os<2.o0
"T"

"I \ +La.oo +\
I I \\ ,

..

\ \\

: I

,'1

.os

Fig. 7. Reeuperarea semnalului sinusoidal Teorema e~antionarii a lui Nyquist Fie xc(t) un semnal de banda limitata: Xc(J.o) = O,pentrul.ol > .oN' Atunei
x[k]
Xc

(t)

este

unie

determinat ~i numai

din daea

e~antioanele

sale

= Xc (kT),k = O,1,2,... dadi

.os = 2;rr > 2.oN T

.os = 2; > 2.oN se nume~te ~i frecventa Nyquist. Transformata Fourier discreta a secventei semnalului

X s

(t) este:

110

E~antionarea semnalelor continue


00

Dar tinand cont di prin definitie x(dUl) = k=>-oo 2){k]. e-jok , rezuWi:

(8) RezulHi relatia Intre spectrul semnalului continuu ~i eel al semnalului e~antionat:

(9)
sau

(10) Deci spectrul sernnalului e~antionat, este 0 suma de versiuni

X(ej<lJ),

deplasate ~i scalate ale spectrului semnalului continuu, ponderate cu factorul ~. Scalarea este co=QT iar deplasarea se face la muItiplii ai frecventei de
T
. 2Tr

e~antlOnare -

6.1.2. Reconstituirea e~antioanele sale

semnalului

de

banda

limitata

din

Conform teoremei e~antionarii, e~antionarea unui semnal de banda limitata cu 0 frecvenla mai mare sau egala cu rata Nyquist asigura informatia necesara pentru reprezentarea exacta a semnalului, In sensul ca semnalul poate fi recuperat din e~antioane1e sale. Conform schemei din Fig. 5, rezuIta:
(11)

111

PRELUCRAREA DIGITALA A SEMNALELOR

Multipliearea transformatelor Fourier este eehivalenta in timp eu opera!ia de eonvolutie intre eele doua semnale:
00

xr(t)=xs(t)*hr(t)=

Jxs(t')hr(t-t').dt'

=
(12)

= }fx[k].8(t'-kT].h,(t).dt
~~cfJ

xr(t) = Ix[k].IJ(tl-kT).hr(t-tl).dtl
k=-oo
-cfJ

= Ix[k].h,(t-k1)
k=-cfJ ( 13)

S-a ales pentru pentru reeonstruetie un earaeteristica Oc astfel ineat ON < 0c < 0 s - 0 N'

FTJI eu freeventa Uzual se eonsidera

Q =Qs=1'C
c

1:

2 T' In aeeste eonditii functia pond ere a filtrului earaeteristie este:

smJrhr
(t) -

T = SIne -Jrt
Jr~ T

T
(14)

Atunei semnalul reeonstituit are expresia:

(15)

Se observa ea

hr

[aF 1 ~i hI' [kT Fa pentru k=a, 1, 2, 3 .... Deci:

(16)

112

E$antionarea semnalelor continue

1 Observatii , 1. Semnalul reconstituit va avea deci in punctele de e~antionare aceea~i valoare ca ~i semnalul continuu initial. Filtrul de reconstructie face 0 interpolare intre aceste puncte de e~antionare. 2. Reconstituirea de mai sus este caracteristica convertorului DIA ideal. In domeniul frecventa relatia (13) devine:

Xr

(jn) = Ix{k]'
k=-oo

Hr (In). e-jillk = Hr

un) x(daT)
(17)

Observatie Ie~irea unui convertor ideal Die este de asemenea de banda limitata, la frecventa de taiere a filtrului (de obicei aceasta este jumatate din frecventa de e~antionare). 6.1.3. Prelucrarea in timp discret a semnalelor continue in timp

xc(t)

x[kJ dzscret

Sist.

I y[kJ

Fig. 8. Prelucrarea semnalelor continue in domeniul discret

.T

Observatii 1. Schema corespunde unei largi clase de sisteme pentru ca sistemul discret ~i perioada de e~antionare pot fi alese arbitrar. 2. Ansamblul sistemului e echivalent unui sistem continuu pentru ca transforma semnalul de intrare continuu xc(t) in semnalul continuu de ie~ire yrCt).

113

PRELUCRAREA

DIGITALA A SEMNALELOR

3. Proprietatile sistemului depind de alegerea sistemului discret ~i a perioadei de e~antionare. 4. D/A transforma semnalul discret ~k] =xc(k1) In semnal continuu, yrCt),definit pe baza relatiei (15). 5. In frecvenla legatura Intre semnalul continuu ~i cel discret este data de relatia (10). Spectrul semnalului de ie~ire este:
b

Y,.(jn)=
.~
.~~

H,.(jn).Y(eiOT)=

O.'Y(eor)lnl< {T , m rest

T ~

(18)

'fJ;. ,

Observatii

1. Daca y{k] =x[k] sistemul digital este sistemul identitate ~i In acest caz y)t) =xc(t),t =kT,k EZ. 2. Daca sistemul e neliniar sau variant In timp este greu sa determine relaliile Intre transformatele Fourier ale semnalului de intrare, respectiv ie~ire. a) Sisteme discrete liniar invariante In timp Pentru aceste sisteme avem relatia Intre intrarea ~i ie~irea sistemului discret de forma:
ro

(19)

unde

Fi...e1IlJ)

reprezinta raspunsul In frecvenla al sistemului (transformata


1]

Fourier a raspunsului la impulsul unitar), iar ~dll)), .x{dll)) sunt transformata Fourier a semnalului de ie~ire, respectiv de intrare. Atunci:

114

---

---

---

----

E$anuonareasen1nalelorconunue

1 Dadi semnalul eontinuu este de banda limitata, respeetiindu-se pentru

teorema lui Nyquist (XcCjn)==o

Inl~~), ~i daea T

Hr(jQ)==l

in

banda semnalului, atunci:

(21) Deci:

, un e

Heff

vQ
(:)

==

T
OJ I:W~~!!.... {H(eJQQ), T I.oj <!!....

(22)

qJr(jn)

==

H~ff(jn).

Xc

(jn)

Pe ansamblu sistemul este eehivalent eu un sistem eontinuu, avand riispunsulin freeventa Heff (jQ).
"

Observatie Comportamentul sistemului liniar invariant in timp depinde de doi factori. In primul riind sistemul diseret trebuie sa fie liniar, invariant in timp, ~i in al doilea rand semnalul eontinuu ee este preluerat trebuie sa fie de banda limitata ~i rata de e~antionare sufieient de mare pentru a evita fenomenul de aliere. b) Invarianta sistemului eehivalent Am vazut ea un sistem ea mai sus este eehivalent unui sistem liniar invariant In timp de banda limitata, avand funetia de transfer:

(23)

0)
115

PRELUCRAREA

DIGIT ALA A SEMNALELOR

LT! continuu
heft),

Sistem discret LT!

yr(t) = yc(t)

h[kJ, H(iW)
T T

Fig. 9. Prelucrarea sernnalelor continue in domeniul discret Fie filtrul de banda limitata pe care dorim sa-l implementam Hc(jn) . Pentru a avea

HejJ(Jn)

= He (In)
T

trebuie

sa alegem

H(ei )= H,(

J; ),Iwi < 7r

unde

trebuie

ales

astfel

inca!

He (jn) = O,I~~ ;.
Relatia In timp Intre dispunsul sistemului continuu la impuls ~i raspunsul sistemului discret la impuls este h[k]

= T .he(kT).

Dar aceasta

relatie ne arata ca functia pondere a sistemului discret este e~antionarea functiei pondere a sistemului continuu. atunci
H( e
iW)_

--L.J 1 ~H
T k=-oo

( . OJ T

J--J- T 'k

2IT)

sau

116

E$annonareasemnalelorconnnue

6.2. Modificarea frecventei procesari in timp discret

de

e~antionare

prm

In acest capitol sunt descrise metodele de schimbare a ratei de e~antionare In timp discret ~i sunt prezentate efectele decimarii ~i ale interpolarii ~i metode de eliminare a alierii. Un semnal continuu In timp poate fi e~antionat formand semnalul discret: x[k] = Xc (kT) = Xc (t l=kT' De asemenea, cu ajutorul secventei x[k] se poate construi semnalul continuu de banda limitata
X r

(t) care are


Xc

e~antioanele x[k] = Xc [kT] ~i care aproximeaza semnalul original

(t).

De multe ori este necesar sa schimbam rata de e~antionare a semnalului, deci sa obtinem 0 noua reprezentare discreta a semnalului initial:
x'[k] =
Xc

[kT'1 cu T'

=F-

(24)

existand doua posibilitati pentru alegerea perioadei T': T'>T T'<T (25)

Pentru a modifica rata de e~antionare exista metode ce opereaza in domeniul continuu ~i metode ce opereaza in domeniul discret, solutia cea mai buna fiind schimbarea frecventei de e~antionare prin procedee digitale (prelucrari doar In domeniul discret). In continuare yom prezenta metodele discrete de modificare a frecventei de e~antionare, sube~antionarea ~i suprae~antionarea. 6.2.1. Reducerea ratei de e~antionare (sube~antionarea sau decimarea)

cu un factor intreg

Reducerea ratei de e~antionare prin sube~antionare (sube~antionarea sau decimarea) implica constituirea pe baza secventei x[k], obtinuta cu 0 perioada de e~antionare T a secventei xJk] cu perioada de e~antionare T' = MT, unde M este un numar Intreg nenegativ.

117

PRELUCRAREA DIGlTALA A SEMNALELOR

(26) Aceasta operatie se executa cu un sistem denumit decimator al frecventei de e~antionare, sistem simbolizat ca in figura de mai jos. Se observa di xAk] se poate obtine direct din Xc (t) prin e~antionarea cu perioada T' = MT. Pentru a analiza conditiile in care sube~antionarea se realizeaza corect (semnalul original nu este afectat) yom determina relatiile ce leaga cele doua semnale discrete, x[k] ~i Xd [k], in domeniul frecventa. x[k] Perioada de e~antionare T Filtru trece jos cu frecventa de taiere
xd[k]=x[kM]

JM

nlM

Perioada de e~antionare T'=MT

Fig. 10. Reprezentarea sistemului de sube~antionare Daca x[k] =


Xc

(kT) atunci spectrul sau este determinat de legatura

intre speetrul semnalului eontinuu ~i eel e~antionat, pe baza relatiei (figura de maijos):

(27) In mod asemanator, tinand cont ea sene:


xd

[k] = x[kM] =

Xc

(kT') se poate

T' -00 X d (ejOl)=_l ~

T' ~x,('~C }

}.21Cn) T'

(28) 0 pentru

Daca semnalul xc(t) este de banda limitata (Xc(jo)=


101> ON' ON fiind freeventa Nyquist), atunei xd[k]

este 0 reprezentare

exacta a semnalului continuu Xc (t) daea ;, = ;T > N . Aceasta inseamna ca rata de e~antionare poate fi redusa cu un factor intreg M, :tara a avea aliere, daca freeventa initiala de e~antionare era de eel
118

Eantionarea semnalelor continue

putin M ori mai mare ca rata Nyquist sau dadi largimea de banda a secventei este mai intai redusa cu factorul M prin filtrare discreta. De aceea, operatia de reducere a ratei de e~antionare se nume~te sube~antionare. Daca in relatia (28) se face transformarea T' = MT se ob!ine:

. XAeJm)=

1 MT r~xc
00

(.

2;rrr ] MT - ] MT
OJ

(29)

Facand schimbarea de variabila r = i + nM,-oo < n < 00,0::; i::; M -1 se ob!ine:

xAeJm)=-L M
. 1 sau

i=O M

-l[ 1n=-oo 1
00

-; LXc

(OJ

j--j--j-2101 Mf T

MT )] 2m

(30)

(31) Spectrul secventei obtinute in urma decimarii reprezinta prin urmare o suma de M copii ale spectrului secven!ei ini!iale, scalate cu factorul M ~i deplasate la multiplii intregi ai 21 M semnalelor este redata in Fig. 11 a)
.

Prezentarea legaturii intre spectrele

7'

b)

A A A~
i

l~(ejm)

21

2n
T

PRELUCRAREA

DIGITALA. A SEMNALELOR

-2ie

-if
(c)
Xd(e}W)

2if

OJ

=llT

- =T
e~antio T'

2Jr

-n=~

4Jr-7r 2Jl" T'T' lV = arl 2if

T' 2Jr x(n)

/\.
7r

Perioc.

.......... -.m ..--.- ...... -

-f------ ----.)

(d(ej!lr')

(e) Fig. 11. Sube~antionarea (deeimarea) - analiza speetrelor: a) speetrul sernnalului continuu; b) spectrul sernnalului e~antionat eu perioada T (freevente reale); e) spectrul semnalului e~antionat eu perioada T (freevente normate); d) speetrul semnalului sube~antionat (freevente normate); f) speetrul semnalului sube~antionat (freevente reale).

120

E$antionarea semnalelor continue

6.2.2. Cre~terea frecventei de e~antionare cu un factor intreg (suprae~antionarea sau interpolarea) Fie secventa x[k] a carei frecventa de e~antionare vrem sa 0 marim de Lori, L fiind un numar Intreg. Prin urmare dorim sa obtinem secventa
Xi

(V

Dr

[k] =

Xc

(kT') ce are perioada de e~antionare T' = ~ ' pe baza secventei


(kT).

x[k];';;

Xc

Aceasta operatie se nume~te suprae~antionare modulul ce 0 realizeaza este prezentat In Fig. 12. = Dr

sau interpolare

~i

I(n)

xe(n) =x(nL)

Filtru trece jos cu frecvenfa de taiere niL Perioada de e~antionare T'=T/L

'rioada

de

'Iionare T

Perioada de e~antionare T'=T/L

OJ

T'

Fig. 12. Interpolarea Timlnd cont de relatiile ce arata legatura e~antionare ale celor doua secvente se poate scrie:
x;[k] = x[k / L] = x)kT

Intre perioadele

de

/ L),k = O,L,2L, ...

(32)

Prezentarea legaturii Intre spectrele semnalelor este redata In Fig. 13. Sistemul ce realizeaza cre~terea frecventei de e~antionare este format din doua elemente: un expandor a c8.rui ie~ire este Xe (n) ~i un filtru trece jos. Ie~irea expandorului este data de relatia: (33)

0, / L1n xJk] = {x[~ill rest = O,L,2L, ... sau

,121

PRELUCRAREA

DIGIT ALA A SEMNALELOR


00

xJk]

= Lx(n)6(k-nL)
-00

(34)
(b)

Speetrul acestui semnal (prezentat In Fig. 13) este descris de relatia (35).

X,(eim)~ ~X[k]e-i"
00

= ~(~x[n}5[k

-nJ}-i'"

(35)

(c)

= Ix[n~-iconL
-00

= X(eiCOL)

Filtrului

trece

jos

eu earacteristica

In frecventa

Hi (eico)

ii
(d)

eorespunde in domeniul timp funetia pondere:

sinL
hi[k]=~

7rk

(36)

L
Prin urmare:
(e)

(37)

a)

Fig.

se

.-- ---.--..:;;>
Q

(fi
(frec'

122

E~antionarea semnalelor continue

(b)

(0

=QT'

41l' TC 1l'

-L+- -L
-TC TC L TC 2TC L

HkiOJ)

21l' 41l'

L i

= 2TC

----I
TC

2rc

OJ=QT'

1
T'

-2rc

1l'

21l'

OJ=QT'

Fig. 13. Supraqantionarea (interpolarea) - analiza speetrelor: a) speetrul semnalul.!li eontinuu; b) speetrul semnalului e~antionat eu perioada T (freevenle normate); c) speetrul semnalului e~antionat eu perioada T' (freevenle normate); d) earaeteristiea filtrului treee jos (freevente normate); e) speetrul semnalului suprae~antionat (freevenle normate);

123

PRELUCRAREA DIGITAL A A SEMNALELOR

lntrudit hi k =

[]

0, = L,2L, ... {l,kk = 0

se poate scrie:

(38)

fi di
Ul

s(

Relatia de mai sus justifiea denumirea de interpolare suprae~antionare. Daea se realizeaza 0 interpolare liniara:

pentru tr
(39)

pI
hun [k]=

e~

0, in rest {l-lkll L,lkl

~ L

ill
tr

rn

se obtine:

(40)
HUn (elm)

fr fr dl
Sl;

L sin(m 2) = ~[sin(mL I12)]2


eu un factor neintreg

c(

e(

6.2.3. Sehimbarea ratei de e~antionare rational prin preluerari numeriee

c(

e~

a(

In cazulln care dorim sa schimbam rata de eantionare cu un numar rational, ~ solutia oferita de prelucrarea digitala este interpolarea cu factorul M, urmata apoi de decimarea eu faetorul L, avind grija sa evitam alterarea speetrului seeventei initiale (se utilizeaza filtre treee jos ee asigura suprapunerea spectrala) (Fig. 14). s pi Perioada ~ Perioada de Perioada exd(n) T'=TM/L e de frecvenfa de xe(n) e.Jantionar e$antionar e.Jantionar deT'=T/L xln) If wM) tiiiere min( wL, tL Filtru trece jos cu

dl

II

Fig. 14. Schimbarea ratei de eantionare cu un factor rational neintreg

124

E$antionarea semnalelor continue

Consideratii experimentale ~iconcluzii In operatiil~ de conversie analog-digitala este necesara folosirea unui filtru de antialiere pentru semnalul analogic ce urmeaza a fi e~antionat ~i digitizat, rolul acestui filtru fiind acela de a preveni alierea la e~antionarea semnalului analogic. Un filtru de antialiere este un filtru analogic (filtreaza un semnal analogic). In anumite conditii mai speciale de proiectare (cum ar fi banda de tranzitie foarte ingustii, oscilatii mici in benzile de interes), realizarea practica a filtrului analogic poate fi dificila ~i costisitoare. In astfel de cazuri este preferabila utilizarea unor filtre analogice simple, cu urmatoarea modificare a sistemului de conversie analog-digitala: semnalul analogic este mai 1ntj filtrat cu un filtru de antialiere simplu, nepretentios, care lasa sa treaca componentele semnalului ~i care taie sau atenueaza componentele de frecventa lnalta ale zgomotului. Semnalul filtrat este apoi e~antionat la 0 frecventa mai mare dedit rata Nyquist a semnalului (Ia 0 frecventa multiplu de rata Nyquist), unde rata Nyquist este 2fmax cu fmax - frecventa maxima a semnalului. Aceasta e~antionare la frecvente mari asigura ca nu vor fi aliate componentele semnaluIui, alierea producandu-se, eventual, la nivelul componentelor zgomotului de frecventa 1nalta. Urmeaza apoi 0 decimare corespunzatoare a semnalului, astfel incat se ajunge la 0 frecventa a e~antioanelor semnalului egala cu rata Nyquist a semnalului analogic. In acest fel a fost evitata alierea componentelor semnalului utilizand un filtru de antialiere nepretentios.

6.3.

Conversia AID

Un convertor ideal C/A converte~te un semnal continuu intr-un segment discret pentru ca cunoa~tem valoarea fiecarui e~antion cu 0 precizie infinita. In realitate segmentul continuu se converte~te intr-o secventa de precizie finita sau e~antioane cuantizate.
XB(t)

Fig. 15. Conversia AID AID este 0 componenta care converte~te amplitudinea tensiunii 1ntrun cod binar ce reprezintii cuantizarea acestei amplitudini cat mai aproape
:125

PRELUCRAREA

DIGITAL.\. A SEMNALELOR

de valoarea reala. Conversia Incepe la fiecare T ~i nu este instantanee (din acest motiv introducem In schema SIR). Un SIR ideal e cel caracterizat de relatia:
00

CI

xJt) = Ix[k~o(t-kT),x[k]
k=-oo

= xa(kT)

(41)

unde

ho (t)

O,inrest = {I,O < t < T

(42)

Atunci:
00

xo(t)= ho(t). L>JkT).8{t-kT)


k=-co

(43)

Deci un SIR ideal este echivalent cu modularea cu un tren de impulsuri urmata de filtrare cu un filtru de ordin O. Reprezentarea sa grafidi este:

xa&.[
T

C/D

x~

Cuantizor

x[k]

Codor

Fig. 16. Cuantizarea semnalelor continue e un sistem neliniar caracterizat de x[k]

Cuantizorul

= Q(x[k])

unde i[k] reprezinta e~antionat cuantizat. Cuantizarea poate fi liniara uniforma sau neuniforma, Insa in procesarea digital a se folose~te mai ales cuantizarea cu pas constant (cu rotunjire sau trunchiere). Cuantizarea prin rotunjire
~

t!., Observatii ~ ,
1) Cuantizorul este bipolar (pentru e~antioane pozitive ~i negative).
126

E$antionarea semnalelor continue

2) ExisUi un numar par de nivele de cuantizare. In general este putere a lui 2. Cu B biti am 2B nivele de cuantizare. Exista mai multe moduri de codificare, preferam acele scheme binare ce permit efectuarea directa a operatiilor cu aceste e~antioane. De obicei se folose~te reprezentarea in complement fata de doi. 2XM XM pentru B+ 1 biti. 3) Cuanta este data de ~ = 2B+l =

y,

2XM+ x=Q(x)

X.L. .L.I

2L1 L1

-~11
3L1
.L.

_'3~

Fig. 17. Cuantizarea prin rotunjire Secventa digitala rezu1tata va fi: (44) unde reprezentarea binara satisface relatia: (45)

127

PRELUCRAREA DIGITALA A SEMNALELOR

Analiza erorii de cuantizare Eroarea de euantizare este definita de relalia:


e[k l= x[k }x[k] (46)

In gama de euantizare avem: --

!l< e[k]<- !l
2 2

(47)

Dadi

x[k]

iese din gama de cuantizare eroarea e mai mare.

elk]
Fig. 18. Eroarea de cuantizarea Reprezentarea ipoteze: Secvenla de eroare e[k] este 0 seevenla a unui proces aleator stalionar; e [k] este necorelata eu x [n ]; Distribulia de probabilitate a erorii este uniforma in gama erorii de cuantizare. In sens general ipotezele acestui model statistic sunt adevarate daca semnalul este suficient de complex ~i eroarea de cuantizare suficient de mica astfelindit amplitudinea semnalului sa traverseze cat mai multe nivele de cuantizare. Pentru valori mici ale lui !l este rezonabil sa admitem ea e[kJ este 0 variabila aleatoare uniform distribuita in [- ~ ' ~]. De aceea densitatea de probabilitate este cea din Fig. 19. statistic a a cuantizarii se bazeaza pe urmatoarele

128

E$antionarea semnalelor continue

.. e
L1

L1

Fig. 19. Densitatea de probabilitate a erorii in cazul cuantizarii prin rotunjire

Observatii 1) Valoarea medie a lui


2

e[k]

este

O.

g
= 12-

T2BX2
M

2) Varianta e ~

masura

de analiza

a afectarii

semnalului

de catre zgomot

(cuantizarea in cazul acesta) este raportul semnal-zgomot definit ca raspuns Intre varianta semnalului (puterea sa) ~i varianta zgomotului.

Z =1010&0 ( ::2 =1010g10 (22B x1x 2 J = 12 S 2J


= 6,028 + 10,8- 20 109,o( :.)

(48)

Observatii , 1) Raportul ~ cre~te cu aproximativ 6dB pentru fiecare bit adaugat.

2) Termenul -20l0glO( XM
O'x

contine

XM,

care este un parametru fixat al

cuantizorului ~i Daca
(Y x

(Y x'

care reprezinta valoarea medie patratica a semnalului.

este pre a mare se poate ie~i din scala ~i apar erori grave. Daca, pe

129

PRELUCRAREA

DIGITALA A SEMNALELOR

de aha parte,' ax este prea mic, acest termen devine negativ i cu va10are mare, scazand raportu1 semna1-zgomot (semna1u1este inecat in zgomot).

6.4. Calculul zgomotului de rotunjire datorat lungimii finite a cuvintelor de cod


Puterea zgomotului de rotunjire la ie~irea filtrului Metoda 1- prin identificarea surselor de zgomot Aceasta metoda presupune urmatorii pa~i: 1) Identificarea noduri1or de acumu1are; 2) Determinarea secvenlei de raspuns la impuls de 1a nodul i de acumu1are la nodul de ie~ire: gi (k), i = 1,..., n ; 3) Calcularea normei pentru funcliile pondere determinate la pasu12:

I
00

u
gj2(k)=

Ilgill~ (este< 1)

(49)

11

k=O

4) Calcularea zgomotulla ie~ire: (50)

Metoda II - folosind matricea W Aceasta metoda ia in considerare grafu1 sistemului ~i descrierea acestuia cu variabile de stare, apoi se calcu1eaza zgomotul la ie~irea din sistem prin relatia urmatoare:
(51)

unde:

a:

= dispersia zgomotului de cuatizare

130

E$antionarea semnalelor continue

n = dimensiunea matricii W

= dimensiunea matricii A = numarul de variabile interne


.~ ~:;i_;',

~~ Observatie Se va folosi faptul ca matricea de calcul W este simetrica. " Pentru calculul matricei W distingem doua metode: a) Matricea W este data de relatia: (52)

W = f(CAkY
k=)

. (CAk)

unde A ~i C sunt matricele din ecuatiile cu variabile de stare (cap. 5, ec. (19, asociate starii sistemului ~i corespunzatoare evolutiei starii, respectiv ie~irii sistemului. b) Calculul matricei W din relatia: (53) Din simetria matricii W rezulta:

(54)

Scalarea unui graf implica aplicarea unei transformari T: (55) Transformarea Teste 0 matrice diagonaHi:

T = diag{t],t2
T
_I

,tn} =>

dlagi-,-, ...,

(56)

r Lt)

1 t2 II}

tn

unde
131

PRELUCRAREA DIGITALA A SEMNALELOR

Pa~i: I) calculul matricei de covariatie K 2) calculul matricei de transformare T 3) calculul noilor matrici prin relatiile:
Cl u fi s r, t: Iv e 11 d C (57) pi S] c S} e n c fe n
1=

C=CT
Pentru calculul matricei K distingem doua metode: a) Calculul matricei K pe baza relatiei:

f( I I

s~ t: c a

(59) (58) (61) (60)

K = f{AI
1=1

.B).{A1 .BY

b) Calcului matricei K prin relatia:

Determinarea zgomotului la ie~irea filtmlui scalat Se calculeaza:


~ W=T T

WT

~i se determina zgomotulla ie~ire:


n 2 n

A2 (Jrotal

~ q = "2 (J e Wii = -. L...


i=l

12

,,~ Wii L...


i=1

132

Esti11Ulre # analiza spectra/a

7. ESTIMARE SI ANALIZA SPECTRALA

In aplicatiile in care intervin semnale ce reprezinta realizari ale unor procesf? aleatoare stationare, caracterizarea acestor semnale se poate face utilizand marimi statistice cum ar fi valoarea medie (componenta continua), dispersia (puterea me die), functia de autocorelatie, densitatea spectraHi de putere. Este importanta estimarea acestor marimi pentru un semnal real, pe 0 realizare particulara a acestuia, ~i pe un segment de lungime finita din acest semnal. Estimarea spectrala permite determinarea analizei in frecventa atunci ca.nd secventele analizate sunt realizari ale unor procese aleatoare, in acest caz transformata Forier neputand fi utilizata. Tehnicile de estimare a densitatii spectrale de putere (Spectral Power Density - SPD) pot fi clasificate ca parametrice ~i neparametrice. Metodele neparametrice includ periodograma, periodograma modificata (metoda We1ch si Bartlett) ~i metoda Backman-Tuckey. Toate aceste metode au avantajul implementarii folosind algoritmii rapizi de implementare a transformatei Fourier (FFT), dar dezavantajul ca in cazul unui volum mic de date rezolutia in frecventa e limitata. Metodele parametrice au insa 0 rezolutie in frecventa ridicata ~i 0 eficienta de ca1cul de asemenea ridicata in cazul analizei spectrale a secventelor de durata mica. Dezavantajul acestei metode este insa timpul ~i efortul implicate de elaborarea unui model precis, pe baza lui estimand spectrul secventei. Cea mai intalnita abordare parametrica este determinarea spectrului pornind de la parametrii unui model autoregresiv al semnalului. Media alunecatoare ~i media alunecatoare autoregresiva sunt de asemenea folosite. In cazul metodelor neparametrice de analiza spectrala exista un numar de probleme ce trebuie considerate: pierderile de margine, lungimea finita a secventelor, scurgerea ~i intrepatrunderea spectrala. Estimatorii spectrali ai densitatii spectrale de putere sunt analizati din punct de vedere al pozitivitatii, deplasarii, variantei, consistentei, stabilitatii ~i timpului de calcil. Efectele scurgerii ~i intrepatrunderii spectrale pot fi minimizate prin ferestruirea datelor cu 0 functie fereastra potrivita (datele e~antionate sunt
133

PRELUCRAREA

DIGITALA A SEMNALELOR

multiplicate cu valorile e~antioanelor func!iei fereastra alese). Mediind spectrul unui numar de sec!iuni ferestruite in locul calculului spectrului pentru semnalele ferestruite, ca in cazul metodelor We1ch si Bartlett, se realizeaza imbunata!irea estimarii spectrului. Efectul deplasarii in cazul datelor ferestruite poate fi evitat prin preprocesarea datelor inainte de a efectua limitarea acestora prin aplicarea secventei fereastra. Intrudit estimatorii spectrali permit analiza statistica a comportamentului semnalului aleator in frecventa, aprecierile calitative ale estimatorilor spectrali se bazeaza pe teoria estimarii. Ei sunt definiti pentru semnale aleatoare stationare in sens larg, astfelincat media semnalului este constanta in timp ~i corelatia la doua momente de timp distincte depinde doar de diferen!a de timp intre cele doua momente. Definitii statistice Fie x[k], k=O,1,2 ,N-l un semnal aleator de durata fmita,
II

rue

pel
cOl

pU1

est

stationar in sens largo Valoarea medie a secventei este calculata prin relatia

est
ba;

1 N

N-l

E(x[kD= -Ix[k]=
k=O

(1)
va]

Varianta secventei este determinata de:


(2)

reI

es1

est

Autocovariatia cxJm] = E{(x[k ]-x} (x[k + m]- x)} Autocorelatia


(4) (3)

di~

va va da

Estimarea DSP pe baza unei realizari finite a procesului aleator este definita de:

eS1

134

Estimare
'"

# analiza

spectrala

S(m)=

Lrx)m).e-JaJm
m=-CI)

(5)

1iiI"W"":~ ~!~.'.' .

"~$;

qy. Observatii ,

Densitatea spectraHi de energie implidi calculul unei sume infinite, facand imposibiHi determinarea sa precisa. Se pot insa dezvolta estimatori pentru densitatea spectrala de putere. Am putea crede ca daca estimatii functiei de corelatie sunt consistenti, transformatele lor Fourier sunt un bun estimat al densitatii de putere. Aceasta nu este insa adevarat, fiind necesara 0 analiza atenta a estimatorului functiei densitate spectrala de putere. Deplasarea unui estimator spectral Daca estimam parametrul statistic a , atunci deplasarea estimatorului aceastuia este definita ca diferenta intre valoarea adevarata (pe baza populatiei) ~i valoarea estimatorului: (6) Daca deplasarea (bias-ul) este nula, estimatorul reprezinta chiar valoarea adevarata, numindu-se estimator nedeplasat, altfel deplasarea reprezinta eroarea in determinarea lui a ~i estimatorul se nume~te estimator deplasat. Deplasarea va fi nula pentru un estimator bun. Un estimator se nume~te asimptotic nedeplasat, daca:

limbia~a) =0 N__
Variafia lui a este 0 masura a largimii varfului distributie a densitatii de probabilitati a lui a . funetiei de

Estimator consistent Dadi varianta diferentei intre valoarea reala a parametrului a ~i valoarea sa estimata tinde la zero eu cre~terea numarului N de e~antioane, estimatorul se nume~te consistent. Un estimator neconsistent va avea valoarea estimata variind mult mai mult de la realizare la realizare, chiar daca N va cre~te.

135

PRELUCRAREA

DIGITALA A SEMNALELOR

(7)

Varian!a erorii estimatorului


(8)

1:

d
tJ

Observatie , Este deci de dodt ca estimatorii statistici ai densWitii spectrale de putere sa fie atat nedeplasati cat ~i consistenti.

7.1.

Metode neparametrice

7.1.1. Metoda periodogramei Determinarea analitica a densitatii spectrale de putere (5) este dificila, folosindu-se prin urmare aproximari. Pentru 0 secventa de durata finita a unui semnal aleator stationar in sens larg, un estimator al densitatii spectrale de putere S xx (OJ) poate fi considerat transformata Fourier discreta a estimatorului deplasat al secventei de autocorelatie definita anterior:
N

tl

SPER(OJ)=

Lrxx(m}-imm
m~-N

(9)

Estimatorul S PER (OJ) allui S xx (OJ) se nume~te periodogramii. Pentru acest estimator al densitatii spectrale de putere vom calcula: Valoarea medie (a~teptati1)

(10)

136

Estimare

# analiza
deplasat

spectralii

este un estimator N-Iml limitelor finite de sumare ~i datorita factorului ---. N

Prin urmare

periodograma

datorita

Daca consideram

estimatorul nedeplasat al functiei de autocorelatie se obtine tot un estimator deplasat datoritii limitelor finite. Factorul ce muItiplica functia de autocorelatie define~te fereastra triunghiulara (Bartlett) definita de relatia:

wB(m)

,lmJ

< N-l

(11)

0, in {N-1mlrest In cazul in care pentru functia de autocorelatie se folose~te un estimator nedeplasat, secventa rxx (m) este multiplicatii cu 0 fereastra rectangulara: 0, in {1,lml rest < N-l (12)

wR(m)=

In domeniul frecventa aceasta multiplicare cu functia fereastra se traduce prin convolutia intre densitatea spectrala de putere reala ~i spectrul functiei fereastra. (13)

Dispersia periodogramei In cazul proceselor de tip gaussian se poate stabili expresia:

a2

[S PER

(m)] =

Nsmm a;{l + (sin(~N))2}

(14)

Deci periodograma nu este un estimat consistent al densitiitii spectrale de putere intrucat dispersia nu tinde la zero atunci cand N tinde la infinit.

137

PRELUCRAREA

DIGITALA A SEMNALELOR

7.1.2. Metode de mediere ale densWitii spectrale de putere Estimatorul Bartlett Solu!ia pe care Bartlett a oferit-o pentru 1mbunatii!irea estimatorului densitii!ii spectrale de putere este impiir!irea secventei de lungime N in K segmente disjuncte adiacente de lungime M. Se calculeazii periodogramele pentru aceste secvente, determinanduse apoi periodograma globalii. Secven!ele obtinute prin segmentarea secven!ei x[k] sunt notate:
x(i)[k]= x[k

+(i -1)L1o ~ k

L-l

(15)

Pentru fiecare segment

Xi

(n) se calculeazii periodograma:

1
(16) f

eu aceste K periodograme, in situa!ia in care ele sunt independente, se poate defini estimatorul Bartlett al densitii!ii spectrale de putere ca fiind media aritmetica a celor K periodograme definite anterior:

SB

(OJ) -- 1 ~SPER(i) _ ; K ;;1

( OJ)

(17)

Pentru acest estimator se poate calcula: Valoarea medie


E[S
B

(0)] = E[sxlR

(OJ)]

(18)

Dispersia

(19)

Deci estimatorul Bartlett este un estimator consistent, dispersia lui tinzand la zero pentru un numar K de segmente mare.

138

Estimare

# analiza

spectrala

7.1.3. Metode de mediere ale densitatii spectrale de putere Estimatorul Welch Acest estimator porne~te de la ideea suprapunerii secventelor elementare in care este impartita secventa initiala ~i fiecare secventa elementara este ferestruita pentru a atenua efectele de margine ale trunchierii. Estimatorul densitatii spectrale de putere pentru fiecare subsecventa este definit de relatia:

unde U =

!I
L k=O

w2

[k] compenseaza pierderile de energie ce se produc prin

ferestruire. Estimatorul Welch este definit ca 0 medie a estimatilor densitatii spectrale de putere pentru aceste secvente elementare, rezultfu1d relatia:

Sw

() OJ

=- ~ 1 K

L..JSPER.W ()OJ (i) i=!

(21)

Pentru acest estimator evaluarn: Valoarea medie (22)

unde

(23)

Pentru valori mari ale lui N, N ~ 00 , ~i pentru valori mari ale lui M, M ~ 00, estimatorul Welch tinde catre densitatea spectrala de putere. Deci pentru valori N ~i M mari estimatorul devine nedeplasat. Dadi subsecventele elementare nu se suprapun se constata ca dispersia estimatorului Welch este aproximativ cea obtinuta in cazul
139

PRELUCRAREA

DIGITALA A SEMNALELOR

estimatorului Bartlett, rezultatele fiind evident mai atractive in cazulin care subsecventele se suprapun.

7.1.4. Metoda Blackman- Tukey Metoda Blackman- Tukey, care realizeaza 0 ferestruire a functiei de autocorelatie din relatia (5), se desta~oara in urmatorii pa~i: - se calculeaza functia de autocorelatie a secventei de date; - se multiplica secventa obtinuta cu 0 functie fereastra; - se calculeaza transformata Fourier rapida a secventei rezultate Estimatorul Blackman-Tuckey definit matematic de relatia:
L-1

al densitatii spectrale de putere este

SBT (m)

= Z:r xx [m w[m].
-L+1

e-jmm

(24)

( (

Comparativ cu metoda periodogramei, in cazul acestui estimator netezirea spectrului se face prin efectul de netezire a functiei de autocorelatie in loc de medierea unui set de periodograme corespunzatoare unor subsecvente partial suprapuse (Welch) sau nesuprapuse (Bartlett). Pentru a obtine estimatori reali, w[k] trebuie sa fie simetrica in jurul punctului k = 0 iar pentru ca estimatorul S BT (m) sa fie pozitiv este necesar ca transformata Fourier a secventei fereastra sa fie de asemenea pozitiva. Cei doi parametrii ce caracterizeaza estimatul Blackman-Tuckey depind de tipul ferestrei utilizate, ~i sunt, in cazul ferestrei Bartlett: Valoarea medie

Dispersia

(26)

140

Estimare

# analiza

spectrala

~ ~

'lft Observatii ,
Cu cat Neste mai mare ca L cu atat estimatorul tinde sa devina asimptotic nedeplasat. De asemenea, daci.i spectrul ferestrei este mai ingust decat spectrul secventei "analizate se obtine 0 dispersie mai buna. Deci pentru N ~ L
00

estimatorul Blackman-Tuckey este consistent.

7.2.

Metode parametrice
ca y[k] este sernnalul observat, ne propunem sa

Presupunand

pe baza determinam estimatul acestei valorii la momentul k, y[k], e~antioanelor precedente, astfelindit eroarea de predictie sa fie minimizata. Modelul autoregresiv Consideram modelul autoregresiv de ordin p definit de relalia:
p

y[k]+

LaiYk-i = e[k]
i=l

(27)

unde presupunem ca - procesul {e[k]} este un proces aleator de medie nula (aleator ) ~i = 1 (zgomot alb) - procesul {y[k n este un proces stationar in sens larg, avand prin urmare valoarea medie independenta de timp varianta
a-2

Ecualia modelului se poate scrie sub forma vectoriala:


y[k]= -Y[k]. ... a

+ e[k]
y[k-pll.

(28)

unde a=[a1

'"

ap]r,

Y=[y[k-l]

Daca presupunem ca y[k] = - Y[k]. a reprezinta estimatul lui y[k], atunci e[k] reprezinta eroarea de prediclie. Deci aproximarea la momentul k este definita de relalia:

141

PRELUCRAREA

DIGITAL.'\. A SEMNALELOR
p

y[k] =

-V[k].a = - 2:>iy[k
i=1

i]

(29)

Eroarea de predietie este:


p

s[k] = y[k]-

y[k] = e[k] = - Laiy[k


i=O

i]

(30)

id ell ao = 1 ~i are dispersia (J'2 In domeniul transformatei Z avem: E(z) = A(z). Y(z) unde A(z ) = 1 + al z -I +
+ ap z -p (31)

reprezinta

filtru1 asoeiat

erorii

de

predietie sau filtru de sinteza. Fi1tru1 corespunzator estimarii 1ui y[k],

eu funetia de transfer

-L a z
i
i=1

(3

-i

se nume~te filtru de predietie.

Determinarea coeficientilor optimali modelului autoregresiv Ecuatiile Yule-Walker Algoritmul are la baza minimizarea erorii patratice a predietiei:
(J'2

=E[(y[k]+V[k].aY]= (32) =E(y2[k])+aT .E(V[kY 'Y[k]).a+2.aT

E(y[klVT)

a~

Fie ri coeficientul de autocorelatie de ordinul i,

rj

= E(y[k]. y[k - i D.

Se define~te vectorul r prin r=E(y[k].V[kD=(r1,r2,

rp)

~i

matricea R = E(V[k]. VT[k]), matrieea de autocorelatie a procesului {y[kD, ce are forma simetriea Toeplitz ~i ordinul p.

R='

.
....

.
ro rp_1 J

(33)

rp_J

ro

142

Estimare si analiza spectra/a

Atunei varianta erorii de predietie este: (34) ~i se exprima ca 0 funetie patratiea de a, admitand un mmlm, identifieat de
8(J'2

8a

= 0 (punet de extrem). Rezulta:

R . a = -r
Daea exista R-1, atunci:

(35)

a =-R-'lr

(36)

reprezinta solutia optima, ducand la un estimator efieient. Relatiile (35) ~i (36) sunt denumite ecuatiile lui Yule-Walker. Interpretarea cauzaliHitii Din definirea modelului rezulta ea:
p

E(y[k]. y[k-1D+

Iai i=l

.E(y[k-i].

y[k-1D=

E(e[k]. y[k-ID

(37)

e[k] e independent

de y[k -l]

orieare I

> O.

Deei orieare I

>0

avem:
p

rz + IajrZ-i
i=l

=0

(38)

(39)

Relatiile sunt eehivalente eu eele de sus. De asemenea:

143

PRELUCRAREA

DIGITALA A SEMNALELOR

Prin urmare (j2 este definit de intercorelatia intre semnalul de eroare ~i semnalul estimat. Teoria proiectiei Fie y

= b{k],

k E Z} un proces aleator real, cu medie nula ~l

stationar de ordin doi. Fie H k (y) = {k-l ai y[ k - i aj E ~

R}

H k (y) este un spatiu vectorial de dimensiune finitii in spatiu1 variabilelor aleatoare. Considenlnd ecuatia (34) se arata ca y[k]- y[k] 1- y[k] :> relatiile de mai sus. Algoritmul autoregresiv Burg de determinare

, a coeficientilor

modelului

Consideram secventa y[k 1k = 0, L-1


L-I

Initializare: do = 2Ly2[k]
k=O

Co

=0

Calcul recursiv: Pentru m = 1, n


dm

= (1- C~_l}im-l L-1 k=m

e~_l [m

-1]- e;_l [L -1]

1m

= Lem_1[k].em_1[k-1]

144

Estimare $i analiza spectra/a

Dupa ca1cu1area coeficienti1or de reflexie, em , se determina coeficientii de predictie fo1osind a1goritmu1Levinson-Durbin: Initia1izare: a(o, z) = 1, 21(0, z) = 1 A1goritm recursiv de calcul:

a(m+l,z) [c'l(m+l,z)] - [ cm+J 1

145

Algoritmi iterativi. Filtre adaptive.

8. ALGORITMI ITERATIVI. FILTRE


ADAPTIVE.

Algoritmii iterativi sunt utilizati pentru calculul online (1n timp real) al unor parametrii de interes ai semnalelor discrete, cum ar fi calculul functiei de autocorelatie ~i al functiei de intercorelatie.

8.1.

Calculul iterativ al funtiei de autocorelatie , ,

Vom calcula functia de autocorelatie a secventei x[k] prin relatia:

cj[k]

==

I
L--j
n=O

w[n]' sj(k - n),j

==

o,N

(1)

unde:

w[ n ] == a ~l

fJ

L-l cos( 27r . n), 0 ~ n ~ L - 1 == a -

fJ

cos(r . n)

(2)

(3) Demonstram ca functia de autocorelatie se poate determina iterativ prin relatia: (4) unde:

Hj[k]== GAk]
==

Hj[k -GAk

-1]+ sj[k]-

Sj [k - L] L

2]+ sAk] + Sj [k -

-1]+ cos(y)

(2GAk

-1]-

Sj [k -1]-sAk

- L])

(5)
147

PRELUCRAREA DIGIT ALA A SEMNALELOR

Demonstratie:
L-I

cAk] =

L (a - /3cos(ny))sAk - n] =
n~

I
L-I
n~

(as) (k - n)- /3cos(ny )s) (k - n)) =


(~

L-I

L-I n=O

= a LS) [k - n]- /3Lcos(ny)s) [k- n]


n=O

Notam.:
L-]

HJk] = LsAk-n]
n=O

L-]

(7)

GJk] = Lcos(ny)sAk-n]
n=O

~i rezulHi relatia (5). Determinarea recurentei pentru calcului lui H:

L-l

n'=n+l L

L-I

HJk-l]=

LsJk-l-n]
n=O

],L

LsAk-n']=
n'=]

n'=O

LsJk-n']+sJk-L]-sJk]=

= HJk]+sJt

-L]-sJk]
(8)

-Aceasta Inseamna ca: (9) Determinarea recurentei pentru calcului lui G

148

Algoritmi iterativi. Filtre adaptive.


L-I n'=n+l L n=n'-I n'=1 I,L

0Ak-I]=

Lcos(ny).sAk-I-n]
n=O

Lcos((n'

-I)y).sAk-n']= (10)

= cosy (OJ[k]+ cos(yL). sAk - L]- sAk]) =


L L

= Lcos(n'
n'=1 L-l

y).cosy.

sj[k - n']+ Lsin(n'y).


n'=1 n'=n+2 L+l n=n' -2 n'=2 2,L+l L+I

siny sj[k - n']

OAk~2]=
L+I

Lcos(ny),sAk-2-n]
n=O

Lcos((n'-2)y).sAk-n']=

= Lcos(n'y).
n'=2 L+I

cos(2Y)' sAk - n']+ LSin(n'y)'


n'=2 L+l

sin(2y). sAk - n'] = sin y. Sj [k - n'] =

= cos(2Y) Lcos(n'y).
d=2

sj[k - n']+ 2 cos y. LSin(n'y)'


n'=2

= cos(2y). ~cos(n'Y)' L+l

sj [k - n']+ 2 cos y. [ ~sin(n'Y)' L

siny sAk - n']-

- sin y . sin y . sj [k -1] + sin y .sin(y(L + 1))' sj [k - L -1]] = = cos(2Y) ~cos(n'Y)' L+l
L+l

Sj [k - n']+ 2 cos y. [ ~sin(n'Y)' L

siny Sj [k - n']J + ~

+ 2 cosy [-sin2 y. sAk -1]+ siny' sin(y(L + 1))' sAk - L -1]]=

= cos(2Y)' Lcos(n'y),sAk-n']+
n=2

+ 2 cosy -[ OAk -1]- ~cos(n'Y)COSY .sAk- n']] +


+ 2 cosy [-sin2 y. sAk -1]+ siny sin(y(L + 1))' Sj [k - L -1]] (11) Tinand cont de relatia (10), relatia (11) devine:

149

PRELUCRAREA DIGITALA A SEMNALELOR

Gj[k - 2]:::: 2 ,cosrGj[k-l]+

+ cos(2y).

[t,cos(n' y).
L
n'~l

Sj [k -

n'J-cos y.

sf [k

-I

J+ cos(Y(L +

I)). Sf [k - L -11]-

-2 cos2 r Lcos(n'y).sAk-n']+ + 2 cosy [-sin2 y. sj[k -1]+ siny.sin(Y(L


::::2 .cosy.Gj[k -1]+

+ 1)). sj[k - L -Ill::::

+ (cos(2y)- 2cos' y

)(t, cos(n'y)

sf [k - n'J)- cos(2Y) cosy. sAk -1]+

+ cos(2Y) cos(Y(L + 1)). Sj [k - L -1]- sin(2y). sin y. Sj [k -1]+

+ sin(2y). sin(y(L + 1)). sj[k - L -1]


(12)

Tinand cont de relatia trigonometrica cos(2y)- 2cos2 y ::::-1 , relatia (12) devine: GJ [k - 2]::::2 cosy Gj [k -1 ]-- Gj [k]+ sAk ]-Sj [k - L]. cos(yL)- cos(2Y)' cos y. Sj [k -1]+ cos(2Y) cos(y(L + 1)). Sj [k - L -1]- sin(2y). siny sAk -1]+ sin(2y). sin(y(L + 1)). sAk - L -1]:::: ::::2 cosy Gj[k -1]- Gj [k]+ sj[k]- Sj [k -1]. [cos(2Y) cos y sj[k - L]. cos(yL)(13)

+ sin(2y). sin y]+

+ Sj [k - L -1]. [cos(2y). cos(Y(L + 1))+ sin(2y) sin(y(L + 1))]

Considerand ca avem relatia cos( a - b) ::::cos a cos b + sin a sin b , (13) devine:
GAk - 2]::::2 cos y. Gj [k -1]-GAk]+
- S j [k -1].

sAk]-sAk

- L]. cos(rL)-

(14)

cos r + Sj [k - L -1]. cos(Y(L -1)) Dar considerand relatia (15), (14) devine (16) sau (17):

L-l cos(YL):::: COS(2lr~)

:::: OS(2lr C

L-l +~)

= cos(2lr

+y):::: COSy

(15)

C L -1) c cos(Y(L -1)) :::: OS(2lr L-l :::: os(2lr) = 1


CAk -sj[k

2] = 2 cosy GJk -1]- GAk] + sj[k]- sAk - L). cosy -1]. cos r + sj[k -L -1]
150

(16)

Algoritmi iterativi. Filtre adaptive.

GAk] = -Gj[k-2]+sAk]+sAk +cos(r) (2GAk -IJ-sAk


]-

-L -1]+
-1]-sAk - LJ) (c.c.t.d.)

(17)

8.2. Algoritm recursiv pentru calculul functiei de autocorelatie ~i intercorelatie


..T abel 1. Calculul functiei de auto- ~i intercorelatie La fiecare moment de timp k calculeaza: Intrare: Semnalele discrete x[k] ~i y[k], Lungimea ferestrei - L Inirializare, j = 1,L -1 :
L-I

Aj[k-l]= Bj[k-l]= BAk-2]= A~[k-l]=

IX[k-n-l],x[kn=O

j-n] x[k - j -nJ j-n-l]

L-l

Lcos(2kir
n=O

I(L -1)) x[k -n-l].

L-I

Icos(2kir/(L-l)).x[k-n-2].x[kn=O

L-I

Iy[k-n-l].x[kn=O

j -n]

L-l

B~[k -1] = Icos(2kir


n=O

I(L -1)). y[k - n -1]. x[k - j - n] I(L -1)). y[k - n - 2]. x[k - j - n-l] Pentru j = 1,2,...N +1 - L]

L-l

BJk - 2]= Lcos(2kir


n=O

Adaptare: aAk] = x[k].x[kBAk] = -BAk -2]+aAk]+

j -1], AAk] = AAk -1]+ aAk]-aAk aAk -L -1]+ - L])

+COS(27T -1)). (2. BAk -1]- aAk -1]-aAk I(L


Cj

[k] = 0.5 .Aj [k]- 0.5 . Bj [k] [k - L]

.8Ak] = y[k]. x[k - j -1], A~[k]= A~[k -1]+ .8Ak]-.8j B~[k] = -B~[k - 2]+ .8Ak] + .8Ak - L -1]+

+ COS(27T -1)). (2.B~[k -1]- .8Ak -1]- .8Ak - L]) I(L


d j [k] = 0.5 . A~[k] - 0.5 . B~[k] 151

PRELUCRAREA

DIGIT AL.\ A SEMNALELOR

8.3. Algoritmul Schur RLS (Recursive Least Squares) pentru extragerea semnalelor din zgomot utilizand filtrarea adaptiva
Problema care se pune este extragerea semnalului din zgomot, atunci cand acesta nu este corelat cu sernnalul de interes, care este analizat. Ideea de baza este eliminarea zgomotului atunci cand avem la dispozitie 0 varianta a acestuia, adaptand coeficientii unui filtru adaptiv astfel incat sa reconstruim zgomotul ce afecteaza sernnalul util, pentru a-I elimina ulterior prin sdidere, a~a cum este prezentat in Fig. 1.

1=1
s[k]

Semnal iesire
(curat:at)

Sursa
2'gOIDot
v[k]

~.!

"l

Filtrare adaptiva

l
y[k]

Fig. 1. Anulatorul de zgomot adaptiv Afirmatii1e sunt reprezentate coeficientilor filtrului adaptiv, w :
M-j

matematic

de

determinarea

y[k] = L>:V[nlv1[k-n]
n=O

(18)

astfel incat eroarea patratica medie a erorii este minirnizata: (19) considerand ipotezele: - s[k] ~i Vo [k] sunt necorelate: (20)

152

Algoritmi iterativi. Filtre adaptive.

- semnalul de interes, s(k] ~i semnalul de referinta, vj (k] sunt necorelate, dar Vo (k] ~i v] [k] sunt corelate:

unde p[nJ este funclia de intercorelalie pentru Intarzierea n. Conform schemei din Fig. 1, semnalul de referinla este filtrat adaptiv ~i scazut din semnalul masurat continand semnalul de interes, astfel Incat eroarea me die patratica este minimizata. Un algoritm adaptiv propus pentru anulatorul de zgomot adaptiv este prezentat In Fig. 2 ~i descris In tabelele 2 ~i 3.

y[k]

= eo[k]

Fig. 2. Filtrul adaptiv indus In anulatorul de zgomot adaptiv Tabel 2. Determinarea coeficientilor de filtrare Pentru fiecare moment k se calculeaza: Intrare: Semnalul discret de referinta - x[k],
Co

Semnalul discret

masurat corupt - y[k], semnalul de referinta,

functia de autocorelatie pe termen scurt, pentru


[k 1c] [k 1.., C N [k],

funclia de intercorelatie pe

termen scurt, do [k 1dl [k 1...d N [k] ,

153

PRELUCRAREA

DIGITALA A SEMNALELOR

Ini!ializare:

Eo [k]

= Ro [k] =
K([k]= HI[k]=
Kjb

Co

[k] co[k-lJ

-cl[k]/

-dl [k]/ cork

-1

[k] = -c1 [k]/ Co[k J ci,Jk]=cAklcg,j[k]=cj[kl Do,Ak]

Pentru j=I,2,
Adaptare:

... ,N:

= dJkl

RJk

-IJ

= cJk -1]

Pentru m = 1,2,..., N -1 se ca1culeaza:

Em [k] Rm[k]

= Em-l [k]+

K~[kl

C~-l,m [k]

= Rm-l [k -1]+ K~ (t). C~_l,m[kJ = C~_l,j [k]+ K~[k

Pentru j = m + I,m + 2,..., N se ca1culeaza:


C~,j [k]

C~-l,j-l [k -1] CLl,j [k]

C,~,j [k] =

C~-l,j-l[k

-1 + K~ [k].
J

Dm,j [k J = Dm-1,j [k J+ H m[k]. C~_l,j_l [k K~+l [k]

-1]

= -C~,m+l [k]/ Rm[k -1]


Em [k]

K'~+lk] = -C~,m+l [k]/ [


Hm+l [k]

= -Dm,m+l [k]/ Rm[k

-1

Tabel 3. Procedura de filtrare Pentru fiecare moment de timp k se calculeaza:


Intrare:

Semnalul discret de referinta, x[k] Semnalul discret masurat, afectat de zgomot,


K~[kl K~l[k], Hm[kl1::;

Inifializare: Adaptare:

m::; N

Penttu j = 1,2, ...N


eJ [k] r/ [k] e; [k]

= eJ-I [k]+ K

f [k]

rj_l [k

-1]

= rj"-l [k -1]+ K~ [k] eJ-l [k] = e;_1[k]+ Hj [k]' rj_l [k -1]

154

Metode moderne de prelucrare numericii a semnalelor

9. METODE MODERNE DE PRELUCRARE NUMERIC A A SEMNALELOR

'9.1. Aplicatie: eliminarea semnalului ECG din alte semnale fiziologice inregistrate neinvaziv
In acest paragraf ne propunem sa solutionam problema eliminarii sernnalului ECG, prezent pe toata suprafata corpului uman, din semnalele inregistrate neinvaziv, pe corpul uman, in vederea extragerii altor sernnale fiziologice de interes (semnal diafragmatic - ofera neinvaziv informatii despre activitatea respiratorie, sernnale abdominale inregistrate pe abdomenul femeilor gravide - ofera ECG-ul fetal, electrogastrograma ofera informatii privind functionarea tubului digestiv, etc. Simpla filtrare (FIR, IIR) de cele mai multe ori nu este posibila, deoarece spectrul semnalului de interes ~i spectrul sernnalelor perturbatoare se suprapun de obicei. Pentru a rezolva aceasta problema se pot utiliza filtrarea adaptiva, utilizand un sernnal de referinta artificial, sau chiar sernnalul ECG inregistrat tara perturbatii, de exemplu pe umeri, folosind algoritmul de filtrare adaptiva prezentat in capitolul anterior, sau se pot utiliza metodele prezentate in sectiunile care urmeaza.

9.1.1. Analiza Componentelor Principale (Principal Component Analysis - PCA) Metoda PCA este 0 metoda statistica ce reduce dimensiunea unui set de date cuprinzand un numar mare de variabile. Metoda construie~te, pe baza matricei de covariatie a variabilelor din setul initial, extins de date, un nou set de variabile, necorelate, retinand cat mai mult din variatia prezenta in setul de date initial. Fie x;[kl'i=1,2, ...,q un set de q sernnale sursa statistic independente, inclus in setul de variabile initial, pe care ne propunem sa 11

155.

PRELUCRAREA

DIGIT ALA A SEMNALELOR

extragem din acesta din urma, incluzfu1d p canale yAk 1iniara dintre aceste seturi fiind descrisa de re1a!ia:

1j

= 1,2,..., P , rela!ia

y=Ax

(1)

Conform algoritmului PCA, componenta principala i este acea componenta principal a (PC), Xi' avfu1d cea de-a i-a valoare din setul descrescator a1 varian!e1or, corespunzand valorii proprii i ~i vectorului propriu i al matricei de covariatie a setului de date Inregistrat, initial, notata cu ~:
~

x=Ay
~

(2)

A este matricea ortogonala avand coloana i, ai' data de valoarea proprie i a matricei L :
~ ~

~A=AA

(3)

unde 1\ este matricea diagonal a avfu1dvaloarea i de pe diagonala principala data de a i-a valoare proprie a matricei L, ca marime, adica a i-a valoare a varian!elor componentelor principale, ordonate descrescator. Deoarece PCA se bazeaza p~ analiza matricei de covaria!ie, ea implica analiza statistica de ordinul 2. In cazul problemei considerate, PCA va identifica Intr-o componenta semnalul perturbator ECG, ~i In mod corespunzator in alte componente semnalul util ~i celelalte semnale perturbatoare.

9.1.2. Analiza Componentelor Component Analysis - ICA)

Independente

(Independent

ICA este 0 metoda statistic~ ce elimina nu doar dependen!ele de ordinul 2 mtre variabilele setului de date initial ci ~i dependentele de ordin superior, bazfu1du-se prin urmare pe statistica de ordin superior (HigherOrder Statistics). Fie y vectorul de observatie (de ie~ire) cu p componente ~i fie x vectorul surselor avand q componente. rCA extrage componentele Xi [k], i = 1,2,..., q In conditia in care acestea sunt combinate liniar, prin relatia:

156

Metode moderne de prelucrare numericii a semnalelor

y=Ax+n

(4)

unde A reprezinta matricea de mixare sau de transfer iar n reprezinta zgomotul aditiv, considerand ca: - coloanele matricei A sunt liniar independente; - componentele vectorului x sunt mutual independente statistic; - componentele vectorului x ~i componentele zgomotului sunt independente statistic. 'In scopul obtinerii componentelor independente, matricea de mixare este estimata astfel incat:
A

x=Ay

(5)

Exista numero~i algoritmi propu~i in literatura de specialitate pentru calcularea matricei A. Prezentam in continuare unul din ace~ti algoritmi, ~i anume Joint approximate diagonalization of eigen-matrices (JADE), ales datorita volumului de calcule redus. JADE poate fi sintetizat in urmatorii pa~i: 1. Ini{ializare: Se estimeaza matricea de albire W pentru variabilele vectorului y ~i se construie~te vectorul variabilelor observate albit:

z=Wy

(6)

2. Se calculeazii cumulan!ii: Pentru procesul albit z se determina setul maximal de matrice cumulanti de ordinul 4, {Qt}. 3. Se realizeazii diagonalizarea: Este estimata matricea V care diagonalizeaza cat mai mult matricele cumulanli, minimizand funclia de
def

contrast

JADE

(x) =

Q~kl ijkl*iikl

(7) unde
def

Off(F) =

L lij2
i*J

(8)

157

PRELUCRAREA

DIGITAL~. A SEMNALELOR

4. Extragerea componentelor independente. Se determina mai intlli matricea A cu relatia A = v'w . Ulterior se determina componentele independente prin relatia:

x=V'z=V'Wy

(9)

In cazul problemei considerate, leA va identifica, ca ~i PCA, intr-o componenta semnalul perturbator ECG, ~i in mod corespunzator in alte componente semnalul util ~i celelalte semnale perturbatoare.

9.1.3. Proiectia neliniara a spatiului starilor (Nonlinear statespace projections - NSSP) Metoda folose~te coordonate de intarziere pentru a reconstrui spatiul fazei sistemului cardiac dinamic, considerand ca un segment ECG parcurge gama 0 - 2n. Pentru e elimina semnalul ECG se realizeaza 0 aproximare a acestuia prin metoda NSSP, aplicabila semnalelor haotic deterministe. Descrierea pe SCUrta algoritmului este urmatoarea. Consideram ca y descrie un sistem dinamic determinist, scris in spatiul m dimensional al coordonatelor de intarziere (m se nume~te ~i dimensiunea de inserare):
(10)

ldeea

fundamentala

este

determinarea

unei

aproximari

de

dimensiune mica pentru atractorul {y k }, pentru a proiecta fiecare punct al


Yk

pe aproximarea atractorului, pentru a obtine 0 varianta buna, curatata,


~

Yk .

Vectorii curatati

Yk

soot apoi combinati pentru a obtine semnalul

scalar, Yk ' reprezentand aproximarea semnalului cvasiperiodic ECG, la momentul k. Pentru a determina atractorul, se identifica toate pooctele din vecinatatea lui
Yk :

(11)

158

Metode moderne de prelucrare numericii a semnalelor

unde

11-11

reprezinta norma distanta maxima Intre Yn ~i Yk' Centrul local al acestor puncte, (Yk)' este determinat apoi ca un

vector medie; In continuare se calculeaza matricea de covariatie ponderata locala pentru setul vecinilor U(k): (12)

Aproximarea vectorului ECG este:


o ~k = Yk -R-1Lcq[cq
q=1

.R(Yk -(Yk))]

(13)

unde

cq

sunt Q vectori proprii ai matricei C~k) avfu1d cele mai mari valori

proprn.

9.1.4. Anulatorul de zgomot Interference Canceller - ESC)

sincron

(Event

Synchronous

ESC este 0 metoda de eliminare a zgomotului descrisa In Fig. 1; metoda elimina din semnalul Inregistrat y sursa de zgomot repetitiva, disponibila Intr-un semnal aditional, n, In scopul extragerii semnalului de interes.

Fig. 1. Anulatorul de zgomot sincron (Event Synchronous Interference Canceller - ESC). Un model al segmentului ECG perturbator este construit prin mediere, In urma detectarii complexelor QRS ~i este apoi scazut din semnalul original.

159

PRELUCRAREA

DIGIT ALA A SEMNALELOR

Blocul 'Compensare' aplidi un ca~tig adaptiv In scopul includerii variatiilor complexelor QRS din cadrul segmentelor ECG. Sursa de zgomot adi!ional (semnalul ECG de referinta) nu este necesara, putand fi estimata din semnalul mregistrat, Insa prezen!a ei Imbunata!e~te performan!a algoritmului ESe. ESC este 0 generalizare a filtrarii adaptive, filtru adaptiv fiind eliminat, realizandu-se direct scaderea valorii estimate a sursei de zgomot. Semnalul de referinta artificial este construit prin repetarea segmentului ECG perturbator obtinut prin medierea segmentelor ECG din cadrul semnalului Inregistrat, ori de cate ori se detecteaza un complex QRS In y. Pentru a analiza performanta metodei considera urmatoarele trei cazurl. Cazul I: Segmentele repetitive nu sunt afectate de variabilitate In acest caz toate segmentele ECG au aceea~i lungime, Nrp Amplitudinea undelor ECG nu se schimba In cadrul segmentelor, semnalul ECG fiind periodic, cu perioada Nrp, rezultand din repetarea periodidi a segmentului v rp
vo[n
:

+ tk] = vo[n + trl Vk,r,tk


v![n

-tr

Nrp

(k - r ),n = O,Nrp - r ),n =


O,Nrp

(14)

v![n +tk]=

+ t,1

Vk,r,tk

-tr =

Nrp . (k

Semnaiulinregistrat,

care contine ~i

vrp

ca sursa de zgomot, este: (15)

Eroarea in cazul medierii este:

&=

L
k

(so

[k]- z[k D2

=2

(so

[k]-

So [k]- Vo [k]+ v2

[k D2

I(v2[k]-vo[kD2
k

N,p NR

II(v2
n=O k=!

[n

+ tk ]-vo[n + tk D2

(16)
,160

Metode nwderne de prelucrare numericd a semnalelor

Fig. 2. Semnalul ECG - nici 0 variatie nu este inclusa in segmentele ECG; in plus, lungimea acestora este presupusa constanta Deoarece valoarea template-ului ECG este data de (17), va rezulta (18):

(17)

Oar LLso(n+tp~o(n+tJ= NR~


p=l r=J rp

0 deoarece segmentele semnalului de

interes sunt necorelate ~i rezultii:


161 ,

PRELUCRAREA

DIGITALA A SEMNALELOR

(19) Formula arata ea eroarea seade atunei eand eonsideram mai multe segmente ECG In estimarea template-ului. Cazul II: Segmentele
vrp[n+tkJ=vrp[nla[n+tkJ a]

ECG au 0 variatie a amplitudinii un de


a[n+tkJ=a] +a2n
a [n+tkJ

cu

= 0.9,

a2

= 0.2 in scopul introducerii unei variatii mici a amplitudinii

in jurul valorii medii. na este 0 variabiHi aleatoare uniform distribuita in intervalul [0,1J. In aeest caz segmentul obtinut prin mediere, template-ul, este definit de relatia (20) iar eroarea Intre semnalul original de interes, ~i semnalul curatat de ESC este definita de relatia (21):

(21)

=
_1

'I~
n=O k=]

Ls;[k]
k

162

Metode moderne de prelucrare numericii a semnalelor

Notam

b(k,n)==vrp[n].a2nJn+tk]

c(p,n)

==

soln+ t p J

1
NR

NR

1
NR

NR

b(n)==-l:b(p,n)
p=1

~i c(n)==-Ic(p,n).
p=1

Atunci (21) devine:

[;

==

n=O k=1

== --.

II:(c(n)+b(n)-b(k,n)) Is~[k]
k Nrp NR Nrp NR

IIc2(n)+
1
[Nrp NR n=O k=1

Is~[k]
k

(22)

+ II(b(n)-b(k,n))
n=O k=1

+2IIc(n)'(b(n)-b(k,n))]
n=O k=1

Al treilea termen este 0 (demonstratia In (23)):

~~ n=O k=1

LLc(n).{b(n)-b(k,n))==

~~ n=O k=l

LLc(n).b(n)-

~NR n=O k=l

LLc(n).b(k,n)==

~ NR~c(n)b(n)==

~c(n)(~b(k,n)J Lc(n). Nrp


n=O

(23)

NR

Lc(n).b(n)~
n=O

NR

lJ(n) = 0

Prin urmare:

[;

==

I
k

s~[k]

'[IIc2(n)+
n=O

hI

II(b2(n)-b(k,n)Y]
n=O k=l

(24)

Primul termen reprezinta eroarea din cazul1,

~i (24) devine:

163

PRELUCRAREA

DIGIT ALA A SEMNALELOR

(25)

deoarece valoarea

I/

(n) -

Nsamples

. y2

Nsamples

. var(y).

Tinand cont ca de obicei putem scrie ca

medie
.

lui

So [k]

este

zero,

s~ [k] = Nsamples

var(so) ~i (25) devine:

(26)

Formula arata ca variatia de amplitudine introduce un nou termen in eroarea de extragere din zgomot. Acest termen depinde de variatia segmentelor ECG ~i de varianta semnalului de interes.
164

Metode moderne de prelucrare numericii a semnalelor

Cazul III: Pozifia undelor P ~i T variaza comparativ cu varful R, care este considerat referinta In acest caz eroarea ;ecuperarii semnalului din zgomot este definita de relatia:

L Ei=_k

(v 2

[k ] -

Va

[k D2

kEallTR

I
k

(v 2 [k

]-

Va

[k D2

+--'---'-----+
kEallTpUTT

L(v2 [k]- va[k D2

(27)

Lv;[k] + kEN! Ls;[k]


k

Ls;[k]

TR, Tp, ~i Tr reprezinta durata maxima a complexului QRS, undei P, ~i respectiv T, To include partea de ECG avand valoare aproape nula (linia izoelectrica) iar domeniul de timp NI include poqiunea de semnal ECG neinclusa in procedura de mediere, datorita lungimii inegale a segmentelor ECG. Atunci putem scrie:
NR

L (vo[n +tk]+
v2[n+tk]=
k=1

so[n + tk D
,nETR;

NR
NR

L (va[n +tk]+
v2[n+tk]

so[n + tk D ,n E
Tp;

v2[n+tk]=

v2 [n + tk] =

I I
N

k=l

NR [n + tk]+ Sa[n + tk D ,nETT; NR

(28)

(Va

hI
N

(vo[n + tk]+ Sa[n + tk D


,

k=1

To

NR

Considerand aceasta trunchiere a segmentelor ECG rezulta:

165

PRELUCRAREA DIGITALA A SEMNALELOR

=
k k

L>~[k]
~SO[n+tp]
o

L>~[k]
N,

LI

N,

l'

IV,[n+lp]
NR

~~U

"

LS'[k]

1"",,_, r

+ LL

p-I N

V,[n+I,] I +

1 =-----

(29)

Al doilea termen poate fi considerat zero deoarece segmentele semnalului de interes sunt necorelate !~iformula (29) devine:
166

Metode moderne de prelucrare numericii a semnalelor

167

PRELUCRAREA

DIGIT ALA A SEMNALELOR

&=----

(30)
168

Metode moderne de prelucrare numericii a semnalelor

Formule similare se pot determina ~i pentru Tp U TT ~i To. Putem sene pnn urmare:

(31) Eroarea pentru metoda ESe incluzand ca$tigul adaptiv: Fie Nrp lungimea segmentelor mediate, eentrate pe varful R. Eroarea extragerii din zgomot este in aeest eaz:

&=_k __

L (so[k]k

z[k D2

Lv~[n+tk]
n~Segment +-------=

Ls~[k]

Ls~[k]
k

(32)

30)

169

PRELUCRAREA DIGlTAL~

A SEMNALELOR

E=

(33)

unde VI = [VI (1),..., VI (NR)] , Vo ~i So sunt secventa de zgomot ~i semnalul discret de interes, considerate pe Intreg intervalul de Inregistrare. Eroarea depinde acum de energia semnalului de intrare, a sursei de zgomot, a template-ului ~i de corelatia dintre segmentele ECG din semnalul Inregistrat, afectat de zgomot, ~itemplate-ul obtinut.

9.2.

Transformate timp-frecventa
Fourier pe term en scurt - TFTS (Short

9.2.1. Transformata Time Fourier Transform)

Transformata Fourier pe termen Inlocui transformata Fourier globala, cronologica, cu 0 serie de analize locale, alunecatoare. Formula acestei reprezentari
'" TFxSTFT

scurt a aparut din nevoia de a care pierde orice informatie succesive, relative la 0 fereastra timp-frecventa este: (34)

(t, OJ) = fx(r)w(r

_f)e-jwr

dr

unde wet) reprezinta fereastra de observare care de obicei se considera a fi un semnal de energie unitara:
II

wet)

11~2

=1

(35)

Din relatia (34) se constata faptul ca la momentul


+00,

t, functia

TF/TFT(t,OJ) este spectrul semnalului x(r)w(r-t). Daca t ia valori de la -00 la

fereastra temporala baleiaza toata forma de unda a semnalului de analizat. Rezulta ca fereastra temporala folosWi este responsabila pentru localizarea temporala a semnalului de analizat. 0 reprezentare timpfrecven!a de tipul transformare Fourier scurta cu proprietati bune de localizare In planul timp- frecven!a este transformarea Gabor care folose~te fereastra temporala gausiana.
170

Metode moderne de prelucrare numerica a semnalelor

In prelucrarea semnalelor ~i In analiza spectrala, pe langa fereastra gausiana, se mai folosesc ~i alte ferestre temporale. Considerand functia fereastra ca fiind recila, relatia (34) se poate rescrie sub forma:

(36)

iar cu notatia

x(t)e-jaJl

= u(t) ultima relatie devine:


'"

TF;'iTFT

(t,

OJ):::

f u(t)w( r - t)dt =u(t) * w( -t)

(37)

Aceasta relatie are 0 importanta mai mare deoarece permite 0 noua interpretare fizica a reprezentarii timp-frecventa de tipul tranformare Fourier scurta. Intr-adevar, pentru fiecare pulsatie OJ se poate afirma ca reprezentarea timp-frecventa de tipul Fourier scurta reprezinm dispunsul sistemului liniar ~i invariant In timp cu raspunsul la impuls w(-t), la semnalul u(t). Acest semnal se obtine prin modularea semnalului x(t), folosind semnalul purtator e-j(j)t. Tot 0 reprezentare timp-frecventa este ~i spectrograrna a carei formula este: (38) Spectrograma este rezultatul calcularii spectrului ferestrelor unui semnal compus ~i reprezinta un grafic tridimensional al energiei componentei spectrale variabile In timp. Formatul clasic al spectrogramei este cu frecventa pe axa vertic ala, timpul pe axa orizontala ~i amplitudinea reprezentata prin intensitatea fiecarui punct din imagine. Aceste reprezentari, fiind functii de doua variabile - timp ~i frecventa, pot fi considerate ca fiind imagini. Datorita asemanarii dintre transformarea Fourier scurta ~i transformarea Fourier traditionala, exista numeroase aplicatii practice ale acestei reprezentari timp-frecventa In multe domenii printre care cele mai importante ar fi medicina, telecomunicatii, metrologie, seismologie sau detectia defectelor mecanice.

171

PRELUCRAREA

DIGIT ALA A SEMNALELOR

9.2.2. Transformata Wavelet Transformata Wavelet este utilizata pentru analiza timp-frecventa a semnalelor nestationare. cos(21Z"100 (),( = 0: 300 ms De exemplu, fie semnalul x(() = cos(21Z"50 (),( = 300: 600 ms cos(21Z"25 . (), ( = 600 :800 ms . . cos(21Z"10 . (), ( = 800 :1000 ms . Transformata Fourier a acestui semnal va indica componentele spectrale 10 Hz, 25 Hz, 50 Hz, 100 Hz, cu amplitudini mai ridicate pentru frecventele Inalte, care dureaza mai mult timp, de$i semnalul original are pentru aceste componente aceea$i amplitudine. De asemenea, transformata Fourier ofera doar 0 caracterizare spectrala globala, nepermitand identificarea momentului la care componentele spectrale amintite anterior sunt prezente In semnal. DatorWi faptului ca cele mai multe semnale reale (undele seismice, ECG, EEG, EMG, etc) nu sunt stationare, este necesar a se implementa metode care sa permita analiza spectral a In functie de timp, metode denumite transformari timp-frecventa. Transformata Wavelet este 0 astfel de metoda timp-frecventa, care comparativ cu TFTS are avantajul ca rezolutia timp-frecventa este adaptabila (pentru TFTS rezolutia este fixatii de largimea ferestrei, pentru 0 fereastra Ingustii avand 0 buna rezolutie In timp, dar 0 rezolutie proasta In frecventa, pe cand pentru 0 fereastra larga rezolutia In timp este scazuta, iar rezolutia In frecventa este ridicata. In plus, pentru 0 fereastra larga este posibil sa nu mai fie Indeplinita conditia de stationaritate).
a) Transformata Wavelet Continua (TWC)
11

S P

tl

I
I I

Este definite prin relatia: (39)

Parametrul s determina comprimarea sau largirea functiei Wavelet mama,


IJI, In

setul de functii Wavelet definit de

{lJIs,r's

> 0,(

R}, unde:

172

Metode moderne de prelucrare numerica a semnalelor

(40)

s fiind factorul de scalare, iar l'fiind factorul de translatare. Prin urmare transforma Wavelet reprezinta proiectia semnalului x(t) pe familia de functii Wavelet, obtinuta prin translatarea ~i dilatarea functiei Wavelet mama, /.f/. Un exemplu de functie wavelet ~i efectul dilatarii s ~i al translatiei't sunt ilustrate In figura 3.

Fig. 3. Translatia ~i dilatarea functiei Wavelet mama Proprietatile functiei mama Wavelet - sa fie marginita ~i de valoare medie nula - sa fie atat ea cat ~i transformata ei Fourier, \f'{co), integrabil - sa respecte conditia de admisibilitate:

de patrat

Daca momentele functiei /.f/ de ordinul = 1,m sunt nule, TWC este nula pentru un polinom de gradul n, n < m, Intrucat TWC a unei serii Taylor este:
173

PRELUCRAREA

DIGITALA A SEMNALELOR

Wx(S,O)=

-V S

l! 1 [ x(0)Mos+-Mjs2 X'(O)

+--M2s3 2! X"(O)

+...+--Mnsn+j x(n)n!(0)

+0 (Sn+2 )]
(41)

unde momentele sunt definite de relatia:


(42)

Conditia de anulare a momentelor pana la ordinul m este echivalenta cu urmatoarea conditie pentru transformata Fourier a functiei Wavelet mama:
'l'(p) (0 ) = O,p = 1, m

(43)

Transformata Wavelet Continua lnversa

{ , ;#f

lii:"";~
..;J-"''' "

x(t) = _1 c
IJI

L, W)s, r )/fs,r S~

ir

(t)

dsdr

(44)

Observatii - Relatia dintre frecventele reale ~i scala Daca se calculeazii frecventa centrala a functiei Wavelet, Fe'
, "l

aproximand cat mai bine centrul functiei Wavelet mama cu 0 sinusoida, se poate determina urmatoarea relatie intre scala trans formate i Wavelet ~i frecventa reala, exprimata in Hz:
Fs

= -Fe sF e

(45)

unde Fs este frecventa reala corespunzatoare scalei s, iar F: reprezinta frecventa de e~antionare. Pentru 0 scala scazuta s, functia Wavelet mama este comprimata, permitand detectia variatiilor rapide din semnal, deci analiza frecventelor inalte.

174

Metode moderne de prelucrare numericii a semnalelor

scala ridicata determina din contra utilizarea unei dilatari a

functiei Wavelet mama, detectandu-se astfel variatiile lente ale semnalului, ~i deci frecventele joase. Pentru a identifica discontinuitiitile unui semnal, se folose~te functia Wavelet Haar, care are discontinuitati Pentru a identifica 0 variatie 'in derivata de ordinulj a semnalului, se utilizeaza 0 functie Wavelet avand cel putin j momente anulate. Functii Daubechies dbN sunt de obicei alese, avand regularitatea aproximativ N/5, pentru valori N mari. TWC are 0 variatie continua pentru scala ~i 'in domeniul timp b) Transformata Wavelet Discretii (TWD) Daca se e~antioneaza variabila scala ~i variabila timp, se obtine TWD. Pentru a u~ura calculele, e~antionarea se face pentru 0 grila diadica, prin puteri ale lui 2 pentru scala, iar pentru fiecare scala timpul variind liniar

cun:
(46) Rezulta pentru transformata Wavelet urmatoarea formula: (47)

Semnalul x poate fi reconstituit prin transformata Wavelet inversa:

x(t)

==

LLWx(m,n), m n

m,n

(t)

(48)

Suma din relatia (48) este de scala infinitii ~i dificil de implementat. Aceasta problema poate fi evitata daca se introduce un alt set de functii complementare (aditionale), {m,n (t)}, astfel meat semnalul se poate descompune peste acest set dupa urmatoarea regula: (49)
n
n

175

PRELUCRAREA DIGIT ALA A SEMNALELOR

Cu ajutorul acest set aditional de funclii, relalia (48) poate fi transformata astfel mcat funcliile Wavelet sa fie calculate pentru 0 scala finita:

(50)

Primul termen al relatiei (50) reprezinta aproximarea trece jos a semnalului x, iar cel de al doilea termen include componentele de detaliu, la frecvente malte, pentru prime Ie L rezolulii, extrase de functiile Wavelet. Funcliile scala, , pot fi exprimate ca 0 combinatie liniara de funclii scala, pentru 0 scala inferioara:

(51)
n

unde hI sunt coeficientii discreti corespunzatori funcliei pondere a unui filtru digital trece jos. Functiile Wavelet pot fi de asemenea descompuse ca 0 combinalie liniara a funcliilor scala, pentru 0 scala imediat inferioara:

(52)
n

unde hh reprezinta coeficientii functiei pondere a unui filtru trece sus. Setul de coeficienti {h,(n), hh(n)} reprezinta coeficientii interscala. Considerand spaliile generate de (t) ~i Ij/(t) ortonormale, rezulta: ((t ),(t - n)) = 8(n)
(lj/(t),Ij/(t-n))

(53) (54)

= 8(n),

relatii care sunt transpuse in orgonalitatea secventelor corespunzatoare filtrelor numerice trece jos, hi, respectiv trece sus, hh:
(hJn),h,(n

+ 2k)) = 8(k)
= 8(k)

(55) (56)

(hh(n),hh(n+2k))

176

Metode moderne de preluerare numericii a semnalelor

Aplidind transformata Fourier relatiei (51) se obtine: (58) (57)


<1>(m) <1>(m)

= HI (eimI2}D( = HI (eiW/2n

fI

~)
). <1>(0)

considenlnd aplicari succesive ale relatiei (57). In mod analog se determina transformata Fourier a functiei Wavelet:

\}l(m) =

fI HI
n;2

(eiW/2" ).

H h (eim12

(59)
)

Se poate demonstra ca functiile l.fI(t) ~i (t) sunt cu suport compact dad filtrele
hi

(n) ~i hh (n) sunt filtre eu raspuns fin it la impuls.

c) Reprezentarea IDultirezolutie Tinand eont de relatia (48) se pot determina eoefieientii a(O,n) din relatia (49):
a(O,n)= (x(t),o.n(t))

(60)

Pentru

0 reprezentare

eu rezolutie

finita,

semnalul

x(t)

se

deseompune eu ajutorul funepei de sealare Intr-un subsemnal eu rezolutie seazuta (aproximarea) ~i 0 dezvoltare Wavelet ce contine informatia de detaliu: (61)
n n

Deseompunand Inca 0 data semnalul de rezolutie seazuta, se obtine:

177

PRELUCRAREA

DIGITALA A SEMNALELOR

Hh

d(1,n) d(2,n) HI I
..

a(2,n)
Hh a(O,n)

,.. .

..

Hh

...

d(3,n)
...

HI

(3,n)

Fig. 2. Arborele diadic pentru codarea pe subbenzi (descompunerea multirezolutie, sau piramidaUi) Considerand descompunerea parra la nivelul L, se obtine in final 0 descompunere trece jos de rezolutie 1/L, ~i 0 suma de detalii de rezolutii din ce in ce mai fine, determinate de functiile Wavelet:
L

x(t) =

IId(l,n)p"n
,~ n

(t)+

Ia(L,nML,n (t)
n

(63)

aceasta descompunere reprezentand descompunerea Wavelet multirezolutie, sau piramidala, a semnalului x.

178

Bibliografie

Bibliografie
1. Astola J., Leonid Yaroslavsky, "Advances in signal transforms: theory and applications", New York: Hindawi Publishing Corporation, 2007. 2. Broersen P. M. T., "Automatic autocorrelation and spectral analysis", London: Springer-Verlag, 2006. 3. Daubechies 1., "Ten Lectures on Wavelets," CBMS-NSF Lecture Notes ill. 61, SIAM, 1992. 4. De Lathauwer L., "Signal Processing based on Multilinear Algebra", PhD thesis, Faculty of Engineering, K.U.Leuven (Leuven, Belgium), Sep. 1997. 5. Hyvarinen A., 1. Karhunen, E. Oja, "Independent Component Analysis", John Wiley & Sons, 2001. 6. Kantz H., T. Schreiber, "Nonlinear time series analysis", Cambridge University Press, Cambridge UK, 1997. 7. Lazarescu V. N., "Prelucrarea digital a a semnalelor", Ed. Amco Press, 1994. 8. Madisetti V., "Digital Signal Processing Handbook", CRC Press LLC, 1999. 9. Mateescu Ad., N. Dumitriu, L. Stanciu, "Sernnale si sisteme", Ed. Teora, 2001. 10. Mateescu Ad., S. Ciochina, N. Dumitriu, Ai. Serbanescu, L. Stanciu, "Prelucrarea Numeridi a Sernnalelor", Ed. Tehnica, Bucuresti, 1997. 11. Naidu P. S., "Sensor array signal processing", CRC Press, 2000.

179

PRELUCRAREA

DIGITALA A SEMNALELOR

12. Oppenheim A. V., R. W. Schafer, "Discrete-Time Signal Processing", Prentice Hall, 1989. 13. Pop E., 1. Nafornita, V. Tiponut, A. Mihaescu, L. Toma, "Metode in prelucrarea numerica a semnalelor", vol. 2, Ed. Facla, 1989. 14. Poularikas A. D., "The handbook of formulas and tables for signal processing", CRC Press and IEEE Press, 1998. 15. Proakis 1. G., D. K. Manolakis, "Digital signal processing", Edition 4th ed., Hemel Hampstead: Prentice Hall, 2007. 16. Roberts R. A., C T. Mullis, "Digital Signal Processing", Addison-Wesley Publishing Company, 1987. 17. Shenoi B. A., "Introduction to digital signal processing and filter design", Hoboken: Wiley-Interscience, 2006. 18. Spataru AI., "Teoria transmisiunii informatiei", Ed. Tehnica, 1965. 19. Stanomir D., "Semnale si sisteme discrete':, Ed. Athena, 1997. 20. Stark H., 1. W. Woods, "Probability and random processes with applications to signal processing", 3rd ed., Upper Sad~le River: Prentice Hall, 2002. 21. Stergiopoulos S., "Advanced signal processing", CRC Press LLC,2001. 22. Tuzlukov V. P., "Signalprocessing noise", CRC Press, 2002. 23. Ungureanu M., W. Wolf,. "Basic aspects concerning the event-synchronous interference canceller", IEEE Trans. Biomed. Eng., vol. 53(11), pp. 2240-2247, Nov. 2006. 24. Widrow B, Glover JR, McCool JM, et aI., "Adaptive noise cancelling: principles and applications", Proc. IEEE, vol. 63, pp. 1692-1716,1975. 25. Zaciu R., "Prelucrarea digitala a semnalelor", Ed. Albastra, 2002.

180

CARp TEHNICE -

I 5 ANI

MATRIX ROM - CARp


2000

ACADEMICE

DE ACTIVITATE - PESTE

DE TITLURI

Seleqie din lllcrarile aparllte in domenilll

ELECTRO NI cA * :

~ Dorel Aiordachioaie (Universitatea Dunarea de Jos Galav) - 3D MODELLING AND VISUALISATION IN COMPUTED TOMOGRAPHY. Pre\ 18 RON - pe supart electronic (CD) Magdalena Alexandru (Universitatea din Pite~ti) - SISTEME DIGITALE DE MAsURARE Pret15 RON ." .. " .. ;.. Florin Babarada, Camelia Dunare (Universitatea "Polilehnica" Bucure~ti) - MICROSENZORI
j>

REALIZATI

PE SILICIU PrePO RON

PRIN SATEUT Prei: 39 RON Tilu I. Bajenescu (Membru al Academiei de $tiin\e din New York) - FIABILITATEA SISTEMELOR TEHNICE Pre\ 44 RON Titu I. Bajenescu (Membru al Academiei de $tiin\e din New York) - COMUNICATII DE BANDA LARGA Pre\: 28 RON j> Tilu I. Bajenescu (Membru al Academiei de $tiinle din New York) - ASPECTE ALE FIABILITATIl COMPONENTELOR $1 SISTEMELOR ELECTRONICE Pre\55 RON Silviu-Janel Balula, Marian Pearsica, Tamarina Florea (Universilalea "Polilehnica" Bucure~ti) CALITATEA IMAGINII DE LA DEFINIRE CONCEPT LA APLICATII. Pre\ 37 RON ~ Eleodor Bistriceanu (Universitatea "Politehnica" Bucure~li) - PRINCIPIILE MATEMATICE $1 FIZICE ALE TOMOGRAFIEI COMPUTERIZATE. Pret:17 RON ~ Nicu Bizon (Universitatea Pite~ti) - ELECTRONICA INDUSTRIALA. TEORIE $1 APLICATII VOL 1+2 Pre\ 37 RON - pe suport electronic (CD) Nicu Bizon (Universitalea Pile~ti) - CONVERTOARE Prei: 19 RON Nicu Bizon (Universitatea Pilef?li) - SISTEME OPTIMIZATE PENTRU CONVERSIA ENERGIEI CURATE. Pre\: 19 RON lonel Boslan (Universilatea din Pite~ti) - METODE CLASICE $1 MODERNE iN STUDIUL CIRCUITELOR DIGITALE. Prei: 15 RON ~ Danui Burdia, Gabriel $Iefan Popescu (Universilatea tehnica "Gh.Asachi" la~i) - PROIECTARE ASISTATA DE CALCULATOR A CIRCUITELOR ELECTRONICE - SPICE ~LVHDL Pre\: 27
j>

'r Tilu I. Bajenescu (Membru al Academiei de $tiin\e din New York) - COMUNICATII

RON - pe supart electronic (CD) Irinel Casian-Salez (Universilatea lehnica "Gh.Asachi" la~i) - TEORIA $1 PROIECTAREA CIRCUITELOR DE MICROUNDE Pre\:20 RON - pe suport electronic (CD) Vlad Cehan (Universilatea tehnica "Gh.Asachi" la~i) - BAZELE RADIOEMITATOARELOR Pre\:28 RON

Monica Chi\a (Universitatea Pite~ti) - SENZORI $1 TRADUCTOARE Pre\14 RON - pe supart electronic (CD) ~ Mihai Ciuc, Constantin Vertan (Universilalea "Politehnica" Bucure~ti) - PRELUCRAREA STATISTICA A SEMNALELOR. Pre\19 RON Drago~ Ciurea (Universitatea RON "Politehnica" Bucure~ti) - TRANSMISIUNI TELEFONICE Pre\30

j> j>

Eugen Coca (Universitalea "$tefan cel Mare" Suceava) - SISTEME CU MICROPROCESOARE loan Constantin. lancu Ceapa (Universitalea "Politehnica" Bucure~li) - AMPLIFICATOARE

CU

CIRCUITE SELECTIVE. Pre\: 17 RON - pe supart electronic (CD) V. Croitoru, O_Stana~ila (Universitatea "Politehnica" Bucure~li) - PROBABILITATI APLICATE $1 SEMNALE ALEATOARE. APLICATII LA SISTEMELE DE COMUNICATIE. Pre\ 14 RON > Eugen Diaconescu (Universitatea Pitesti) - ACHIZITII DE DATE $1 INSTRUMENTATIE. FUNDAMENTE HARDWARE. Pre\: 33 RON > M. Dragulinescu, A Manea (Universitatea "Politehnica" Bucure~li) - MATERIALE PENTRU ELECTRONICA VOL. 1 + 2 Pret: 60 RON j> Petru\ Duma (Universitalea tehnica "Gh.Asachi" la~i) - CENTRALE TELEFONICE ELECTRON ICE Pre\:25 RON Valentin Feie, Andrei Dragulinescu (Universitatea - "Polilehnica" Bucure~li) OPTOELECTRONICA. PROBLEME Pre\: 20 RON ~ Corneliu Florea, Laura Florea (Universitalea "Politehnica" Bucure~li) - PRELUCRAREA STATISTICA A INFORMATIEI. iNDRUMAR DE LABORATOR. Pre\12 RON

>-

Dan Galatchi (Universitatea "Politehnica" Bucure~ti) - PROBLEMATICA RUTARII iN RETELELE CU COMUTARE DE PACHETE. Pret:25 RON ~ Zoltan German-Sall6 (Universitatea "Petru Maior" Tilrgu Mure~) - DISPOZITNE ~I CIRCUITE ELECTRONICE. Pre\15 RON ';- Octavian Mihal Ghi\a (Universitatea "Politehnica" Bucure~ti) - COMUNICATII iN SISTEME DISTRIBUITE. Pre\:19 RON ';- Octavian Grigore (Universitatea "Politehnica" Bucure~ti) - DISPOZITIVE SEMICONDUCTOARE ~I DISPOZITIVE PIEZOELECTRICE FOLOSITE iN ECHIPAMENTELE ELECRONICE MOD ERNE 0 DIN VIDEOCASETOFOANE Pre\:44

Pret:17 RON - pe suport electronic (CD) .,. Florin Gruia, $erban Naicu - BLOCUL DE ALiMENTARE RON

,.. Ovidiu lancu (Universitatea "Politehnica" Bucure~ti) - DISPOZITIVE OPTOELECTRONICE Pret:26 RON ,. Ovidiu lancu, lonica Cristea (Universitatea "Politehnica" Bucure~ti) - MEMORII FOTONICE DE MARE CAPACITATE. Pre\:21 RON ~ Ovidiu lancu, lonica Cristea, Neculai Grosu (Universitatea "Politehnica" Bucure~ti) ) - PROCESOARE FOTONICE Pret:22 RON "" Lucian loan (Universitatea "Politehnica" Bucure~ti) - PROBABILITATI ~I VARIABILE ALEATORII iN TELECOMUNICATII Pre\:19 RON " Lucian loan, Grazziela Niculescu (Universitatea "Politehnica" Bucure~ti) - ELEMENTE DE INGINERIA TRAFICULUI iN TELECOMUNICATII Pret: 23 RON - pe suport electronic (CD) ,.. Lucian loan, Grazziela Niculescu (Universitatea "Politehnica" Bucure~ti) - CALITATEA SERVIRII IN RETELELE CU COMUTATIE DE PACHETE. Pre\: 15 RON ).- Lucian loan, Grazziela Niculescu (Universitatea "Politehnica" Bucure~ti) - MODELARE ~I EVALUARI DE PERFORMANTA iN TELECOMUNICATllo Pre\: 34 RON I> Daniela-Smaranda lonescu (Univeristatea Oradea) - CIRCUITE ELECTRONICE. Pret: 17 RON > Eugen Lakatos (Universitatea "Valahia" Targovi~te) - MODELAREA DISPOZITIVELOR SEMICONDUCTOARE ACTIVE. MANUAL DE LABORATOR. Pre\: 14 RON I> Eugen Lakatos (Universitatea "Valahia" Targovi~te) - MODELAREA DISPOZITIVELOR SEMICONDUCTOARE ACTIVE. NOTE DE CURS Pret: 10 RON ~ loan D, Lita (Universitatea Pite~ti) - CIRCUITE ELECTRON ICE PENTRU ACHIZITIA DE DATE. CONDITIONAREA SEMNALELOR Pret: 28 RON >Adrian Manea (Universitatea "Politehnica" Bucure~ti) SISTEME OPTICE PENTRU COMUNICA TII Pret:33 RON I> Adrian G, Moise (Universitatea Petrol-Gaze Ploie~ti) - TEHNOLOGIA PROIECTARII iN VHDL PreP8 RON I> Adrian G, Moise (Universitatea Petrol-Gaze Ploie~ti) - PRACTICA PROIECT ARII iN VHDL Pret16 RON > Alexandru Morega - coordonare (Universitatea "Politehnica" Bucure~ti) - INTRODUCERE iN IMAGISTICA
I>

MEDICALA.

Pret:13 RON - pe suport electronic (CD)

>
I>

>-

". ".

>
>-

';,.

$erban Naicu - DE LA JOHN FLEMING LA TED HOFF. Pret: 8 RON $erban Naicu - SISTEME DE AFI~ARE CU LEDuri ~I LCD-uri. Pre\: 10 RON Monica Nada~an. Paul $chiopu (Universitatea "Politehnica" Bucure~ti) - CRISTALE ~I PENSETE FOTONICE Pre\23 RON Grazziela Niculescu, $1. Barbali':lu (Universitatea "Politehnica" Bucure~ti) - ANALIZA ~I MODELAREA SISTEMELOR DE COMUNICATII preP5 RON Grazziela Niculescu, loan Lucian (Universitatea "Politehnica" Bucure~ti) - TEHNICI ~I SISTEME DE COMUTATIE. Pret: 50 RON Rustem Popa (UniversitateaDunarea de Jos Galati)- ELECTRONICA MEDICALA. Pre\: 33 RON Sorin Popescu (Universitatea "Politehnica" Bucure~ti) - TRANSMISIA DATELOR 0 Pre\: 33 RON Codru\a Pricop (Academia navala Constanta) - PROCESAREA WAVELET A SEMNALELOR RADAR. Pre\: 17 RON - pe supart electronic (CD) Niculae N, Pu~ca~ (Universitatea "Politehnica" Bucure~ti) - SISTEME DE COMUNICATII OPTfCE Pret: 33 RON Cristian Ravariu, Adrian Rusu (Universitatea "Politehnica" Bucure~ti) - MODELE SPICE ALE COMPONENTELOR ELECTRONICE Pret: 14 RON Mircea Raducanu (Universitatea "Politehnica" Bucure~ti) - SISTEME ~I APLICATIl MULTIMEDIA. ALGORITMI DE COMPRESIE PENTRU SEMNALE VIDEO. Pre\: 15 RON - pe suport electronic (CD)

:.- Dan Sachelarie (Universitatea "Politehnica" Bucure9ti) BAZELE DISPOZITIVELOR SEMICONDUCTOARE Pre!: 33 RON ).- Dan Sachelarie (Universitatea "Politehnica" Bucure9ti) SEMICONDUCTOARE $1 HETEROSTRUCTURI Pre! 11 RON -. pe supart electronic (CD) ,- Dan Sachelarie, Gabriel Predu~ca, Henri-George Coanda (Universitatea "Politehnica" Bucure~ti) PROBLEME FUNDAMENTALE DE MICROELECTRONICA Pre! 10 RON - pe supart electronic (CD) ,.. Cristina G. Saracin, Marin Saracin, Vasile V. Golea (Universitatea "Politehnica" Bucure~ti) - SISTEME DE TELEMAsURARE. Pre!: 14 RON Marin Saracin, Cristina Saracin (Universitatea "Politehnica" Bucure~ti) - MAsUAARI ELECTB9N1S;E $1 SISTEME DE MAsURARE Pre!: 21 RON ;.. Emil Sofran, Ian Sima, Paul Vulpoiu, Ion Stan (Universitatea Pitesti) - SURSE $1 MODELE DE ZGOMOT DIN ELECTRONICA, OPTOELECTRONICA $1 COMUNICA TII. Pre! 11 RON Emii Sofran (Universitatea Pitesti) - DISPOZITIVE ELECTRONICE CU SEMICONDUCTOARE Pre!:8 RON ;.. Lucian Stanciu (Universitatea "Politehnica" Bucure~ti) - ECHIPAMENTE AUDIO HI-Fl. Pre! 19 RON - pe supart electronic (CD) ;.. Doru Suciu (Universitatea "Petru Maior" Tg. Mure~) ) - ELECTRONICA DE PUTERE. PRINCIPII $1 APLICATIl Pre!: 21 RON ).> Juliu Szekely, Florin Sandu (Universitatea Transilvania Bra~ov) - CIRCUITE ELECTRONICE DE CONVERSIE A SEMNALELOR ANALOGICE $1 DIGIT ALE. Pre! 19 RON - pe supart electronic (CD) ).> Dumitru $cheianu (Universitatea Pite~ti) - SEMNALELE, PURTATOARELE INFORMATIEI Pre! 17 RON .,. Paul $chiopu, Neculai Grosu, lonica Cristea (Universitatea "Politehnica" Bucure~ti) OPTOELECTRONICA.INDRUMAR DE LABORATOR Pre!: 16 RON }> Razvan Tama~ (Universitatea "Politehnica" Bucureti) - ANTENE MONOPOL PE PLANE DE MASA DE DIMENSIUNI REDUSE Pre!: 10 RON - pe supart electronic (CD) }> Anca Tomeseu, I.B.L. Tomeseu, F.M.G. Tomescu (Universitatea "Politehnica" Bucureti) TRANSMISIUNEA INFORMATIEI. Pret: 22 RON }> I. Tomeseu, F.M.G. Tomescu (Universitatea "Politehnica" Bucureti) - SISTEME CU MICROUNDE Pre!: 27 RON - pe supart electronic (CD) Constantin Vertan, Mihai Ciue (Universitatea "Politehniea" Bueure~ti) TEHNICI FUNDAMENTALE DE PRELUCRAREA $1 ANALIZA IMAGINILOR. Pre! 21 RON.,. Emil Vremera (Universitatea tehnica "Gh.Asachi" lai) - MAsURARI ELECTRICE $1 ELECTRONICE (voI.1+2) Pret: 32 RON - pe supart electronic (CD) }> Paul Vulpoiu, 'Emil Sofron (Universitatea Piteti) - AMPLIFICATOARE OPERATIONALE IN TEHNOLOGIE CMOS. MANUAL DE PROIECTARE Pre!:8 RON }> Roxana Zaiean, Dan Galatchi (Universitatea "Palitehnica" Bueure~ti) - MANAGEMENTUL
}>

RETELELOR

DE TELECOMUNICATII

y Roxana ZOiean, Sorin Zoican (Universitatea


TELECOMUNICATli. IMPLEMENTARE suport electronic (CD)

PreP8 RON "Politehnica"

Bueure~ti) - SISTEME DE SEMNAL

CELULARE

DE

CU PROCESOARE

Pre\23

RON - pe

Oferteleromplete ~i gratu~e domeniise pot soIicita pe telefonic. chizi1ionarea A carjilorse pootefacedirectde la sediul edtturii, rincolet[Jaitalal platarambulS(pebazauneiromenzisaise)sau de la disbibuiforii BUalre9ti Iibraria p din ( RAMA,Iibraria AGIR,libriiriaLuceafarul IibriiriaMihaiEminescu), ra~, ClujNapoca,Cmslanja, Craiova,la9i,Pife9ti, ibiu,Timi90araClien~i , B S primesc periodic jnforma~i desprenoilelucriiriapilrutesauin rulSde apari\ie. * Preturile din aceastii oferta sunt valabile incepand cu 01.02.2008, includ TVA i sunt exprimate In RON = lei
nof.

S-ar putea să vă placă și