Sunteți pe pagina 1din 17

PROIECTAREA UNUI CIRCUIT DE COMAND

PENTRU UN MOTOR PAS CU PAS

1.Introducere
Motorul pas cu pas reprezint o categorie aparte de maini sincrone, caracterizat printr-o
construcie i un sistem de alimentare adecvate funcionrii discrete, adic "n pai".
Fazele mainii sunt alimentate cu impulsuri de curent rezultate ca urmare a aplicrii unor
tensiuni tip "treapt" sau combinaii ntre mai multe "trepte". n acest fel cmpul magnetic n
ntrefier prezint o repartiie discret.
Trecerea de la o poziie la alta, ceea ce reprezint pasul unghiular al motorului, se face
direct sub influena schimbrii repartiiei discrete a cmpului magnetic, adic motorul pas cu pas
convertete impulsul primit sub form de treapt ntr-o deplasare unghiular discret, precis
determinat. Se poate defini astfel motorul pas cu pas, ca fiind un convertor electromecanic
discret impuls/deplasare.
Motorul pas cu pas face parte din categoria motoarelor sincrone, deoarece viteza de
deplasare a rotorului exprimat prin numrul de pai efectuai n unitatea de timp, depinde
direct de frecvena impulsurilor de alimentare.
O caracteristic proprie numai motorului pas cu pas este c deplasarea unghiular total,
fiind constituit dintr-un numr bine determinat de pai, reprezint univoc numrul de impulsuri
de comand aplicat pe fazele motorului. Prin aceasta, motorul pas cu pas se poate defini ca i
element integrator numeric, caracterizat printr-o constant de integrare egal cu inversul
frecvenei impulsurilor de comand.
Poziia final a rotorului corespunde ultimului impuls de comand aplicat i
aceastpoziie se pstreaz, este "memorat", pn la apariia unui nou impuls de comand.
Proprietatea de univocitate a conversiei impulsuri/deplasare asociat cu aceea de memorare a
poziiei, fac din maina pas cu pas un excelent element de execuie adecvat sistemelor de reglare
a poziiei n circuit deschis, mai general spus, sistemele de poziionare
nc o proprietate este aceea c, spre deosebire de mainile sincrone clasice, mainile pas
cu pas asigur, n domeniul de lucru, porniri, opriri i reversri brute fr pierderea
informaiei, adic omisiuni de pai.
1

2. Motorul pas cu pas.


2.1 Aplicatii ale motoarelor pas cu pas
Aplicaiile motoarelor pas cu pas sunt limitate la situaiile n care nu se cer puteri mari
(puteri uzuale cuprinse ntre domeniile microwailor si kilowailor).
Motoarele pas cu pas sunt utilizate n aplicaii de mic putere, caracterizate de micri
rapide, precise, repetabile: plotere x-y, uniti de disc flexibil, deplasarea capului de imprimare la
imprimante, acionarea mecanismelor de orientare si presiune la roboti, deplasarea axial a
elementelor sistemelor optice, mese de poziionare 2D, pentru mainile de gurit etc.

2.2 Avantajele si dezavantajele folosirii motoarelor pas cu pas

Avantaje :
- asigur univocitatea conversiei numr de impulsuri in deplasare i ca urmare pot fi
utilizate n circuit deschis (bucla deschisa, fara masurarea si reglarea automata a pozitiei
unghiulare);
- gam larg a frecvenelor de comand;
- precizie de poziionare i rezoluie mare;
- permit porniri, opriri, reversri fr pierderi de pai;
- memoreaz poziia;
- sunt compatibile cu comanda numeric.
Dezavantaje :
- unghi de pas, deci increment de rotaie, de valoare fix pentru un motor dat;
- vitez de rotaie relativ sczut;
- putere dezvoltat la arbore de valoare redus;
- randament energetic sczut;
2

2.3. Constructia si functionarea MPP

Motorul pas cu pas este un tip de motor sincron, cu poli apareni pe ambele armturi. La
apariia unui semnal de comand pe unul din polii statornici, rotorul se va deplasa pn cnd
polii si se vor alinia n dreptul polilor opui statorici. Rotirea acestui tip de motor se va face
practic din pol n pol motiv pentru care mototul se numete pas cu pas. Altfel spus, atunci cnd
bobinele sale sunt alimentate cu anumit polaritate, rotorul motorului pas cu pas st fix pe o
poziie. n momentul n care se schimb polaritatea de alimentare a bobinelor, rotorul motorului
pas cu pas si schimb poziia cu un numr fix de grade, dup care iarsi st. Repetnd
operaiunea se poate obine aparena unei miscri de rotaie continue.

2.4. Clasificarea motoarelor pas cu pas


Motoarele pas cu pas se construiesc n prezent ntr-o gam larg de tipuri constructive
pentru diferite puteri i viteze. Criteriul constructiv se refer la geometrie i structura magnetic
a motorului.
Motoarele pas cu pas se mpart n:
- motoare pas cu pas cu reluctan variabil (Fig.1.);
- motoare pas cu pas cu magnei permaneni (Fig.1.b);
- motoare pas cu pas hibride (Fig.1.c).
Motoarele hibride reprezint o combinaie ntre primele dou tipuri constructive, adic
au att magnei permaneni, ct i reluctan variabil. Exist i motoare pas cu pas speciale, cum
sunt motoarele pas cu pas liniare, electrohidraulice, piezoelectrice etc.

Figura 1.MPP cu reluctan variabil

Figura 2. MPP cu magnei permaneni

Dup natura modului n care sunt realizate bobinele, MPP pot fi:
-

Unipolare: bobinele sunt parcurse n acelasi sens de curent


Bipolare: bobinele pot fi parcurse de curent n ambele sensuri

Motoarele pas cu pas prezint proprietatea de a putea intra n sincronism fa de impulsurile


de comand chiar din stare de repaus, funcionnd fr alunecare, frnarea efectundu-se, de
asemenea, fr ieirea din sincronism. Datorit acestui fapt se asigur porniri, opriri i reversri
brute fr pierderi de pai n tot domeniul de lucru.

Viteza unui motor pas cu pas poate fi reglat n limite largi prin modificarea frecvenei
impulsurilor de intrare. Astfel, dac pasul unghiular al motorului este 1,8 numrul de impulsuri
necesare efecturii unei rotaii complete este 200, iar pentru un semnal de intrare cu frecvena de
400 impulsuri pe secund turaia motorului este de 120 rotaii pe minut. Motoarele pas cu pas pot
lucra pn la frecvene de 1000 - 20000 pai / secund, avnd pai unghiulari cuprini ntre 180
i 0,3

3. Metode de comand a motorului pas cu pas


Motorul pas cu pas se comad prin comutarea succesiv a fazelor, indiferent de tipul i
principiul de funcionare al acestuia. Pentru un motor pas cu pas cu reluctan variabil sunt
posibile trei tipuri de comenzi:
-

Comanda simetric simpl;


Comanda simetric dubl;
Comanda nesimatric (comand la jumatate de unchi de pas)

3.1 Comanda simetric simpl


Avnd un motor pas cu pas cu patru faze (f1, f2, f3, f4), prin comanda simetric simpl se
nelege alimentarea pe rnd a acestor faze. Motorul poate fi comandat n sens orar prin
alimentarea fazelor n succesiunea f1-f2-f3-f4 sau n sens antiorar, prin alimentarea fazelor n
succesiunea f1-f4-f3-f2-f1.

Tabelul de stare si diagrama semnalelor de comad


Sens orar

Sens antiorar

F1

F2

F3

F4

F1

F2

F3

F4

F3

F4

F1
F2

e
Pentru aceast comand simetric simpl valoarea pasului electric este :
motorul are patru stri electrice.

4
2

iar

3.2 Comanda simetric dubl

Intr-o comand simetric dubl alimentarea celor patru faze ale motorului pas cu pas se
face n succesiunea (f1f2) (f2f3) (f3f4) (f4f1) pentru rotire n sensul orar, i n succesiunea
(f1f4) (f4f3) (f3f2) (f2f1) (f1f4) pentru rotire n sens antiorar.
Tabelul de stare si diagrama semnalelor de comad
Sens orar

Sens antiorar

F1

F2

F3

F4

F1

F2

F3

F4

F3

F4

F1
F2

3.3 Comanda nesimetric

Aceast comand presupune alimentarea fazelor n segvena f1f2 2 f2f3 3 f3f4


4 f4f1 1 pentru deplasarea n sens orar, iar segvena 14 4 43 3 32 2 21 1 pentru
rotire n sens antiorar.

Pentru aceast comand rotorul execut o deplasare cu


o jumatate de pas, comprativ cu pasul de la comenzile
simetrice.

Sens orar

Sens antiorar

F1

F2

F3

F4

F1

F2

F3

F4

F1
F2
F3

F4

3.4. Comanda n circuit deschis a motorului pas cu pas


Schema bloc pentru comanda n circuit deschis a unui motor pas cu pas, n varianta hardware

n general, comanda unui motor pas cu pas se face printr-un circuit electronic de putere
care asigur curentul corespunztor prin fazele motorului. Acest circuit este reprezentat n figura
de mai sus prin blocul contactoarelor statice, cunoscut i sub numele de driver. El realizeaz
comutarea fazelor motorului la sursa de alimentare n conformitate cu comanda primit de la
distribuitorul de impulsuri. Sensul de deplasare a motorului, direct(D) i invers(I) precum i
tipul secvenei de comand sunt realizate prin prelucrare logic de ctre distribuitorul de
impulsuri.
Impulsurile de comand pot proveni de la un generator de impulsuri dac un increment al
micrii este realizat cu un pas sau de la un controler dac un increment al micrii este realizat
n doi sau mai muli pai. n aplicaiile moderne rolul controlerului este luat de ctre un
microprocesor care genereaz un tren de impulsuri corespunztor diferitelor viteze ale
motorului.

4. Distribuitor de impulsuri pentru comanda unui motor pas cu pas


cu patru faze
n aceasta parte a proiectului am considerat pentru distribuitor o structur format dintrun numrtor i un decodificator.n aceast variant distribuitorul este format dintr-un numrtor
n inel, cu numrul strilor egal cu numrul fazelor motorului comandat, urmat de un circuit
decodificator care permite activarea unei singure ieiri ntr-o stare a numrtorului.

Considerm un motor pas cu pas cu reluctan variabil cu 4 faze, notate cu A, B, C, D i


se va proiecta un distribuitor de impulsuri pentru a comanda motorul n secvena nesimetric.
Aceast secven presupune alimentarea celor patru faze ale motorului n succesiunea A, AB, B.
BC, C, CD, D, DA, A, ... pentru mersul nainte. La schimbarea sensului, succesiunea de
alimentare a fazelor motorului devine A, AD, D, DC, C, CB, B, BA, A, ...
Diagrama de impulsuri corespunztoare acestei comenzi, pentru mersul nainte al
motorului pas cu pas, este dat n figura de mai sus. Din diagrama de impulsuri se observ c o
secven de comand de tip nesimetric necesit 8 impulsuri de comand. Aceste impulsuri de
comand trebuie introduse ntr-un numrtor reversibil modulo 8 i pentru fiecare stare a
numrtorului trebuie alimentate fazele corespunztoare. Tabela de adevr care arat cum sunt
comandate fazele motorului de ctre numrtor este dat n figura urmatoare (a), iar matricile de
comand pentru fiecare faz a motorului sunt prezentate si ele mai jos (b,c,d,e).

Din matricile de comand rezult funcile logice de comand pentru cele 4 faze ale
motorului, dup cum urmeaz:

A Q1Q2 Q1Q1Q3
B Q1Q2 Q1Q3
C Q1 Q2 Q1Q2 Q

D Q1Q2 Q1Q3
Decodificatorul rezultat prin implementarea relaiilor de mai sus cu pori NAND
10

Q1 , Q2 , Q3 , Q1 , Q2 , Q3
Mrimile

reprezint ieirile adevrate i neadevrate ale celor trei

bistabili care intr n componena numrtorului binar divizor prin 8.


Schema distribuitorului de impulsuri realizt cu un numrtor binar divizor prin 8 i cu
decodificatorul implementat cu pori NAND este dat n figura urmtoare:

11

Bistabilii folosii la implementarea numrtorului sunt de tipul JK. Primul bistabil avnd
intrrile J= K=1 funcioneaz ca un bistabil de tip T, care comut la fiecare impuls aplicat la
intrare. Primii doi bistabili sunt implementai cu circuitul SN7473 care este un circuit MasterSlave dual,cu o singur intrare J i o singur intrare K,cu intrare de tact separat i intrare
CLEAR.Cel de-al treilea bistabil este realizat cu cu circuitul SN7472 ,un circuit Master-Slave cu
3 intrri J i 3 intrri K,precum i intrri PRESET i CLEAR.

12

5. Blocul contactoarelor statice


Blocul contactoarelor statice, numit i driver, realizeaz comutarea fazelor motorului pas
cu pas la tensiunea de alimentare n conformitate cu logica stabilit de distribuitorul de
impulsuri. El trebuie s rspund unor probleme (forarea pantei curentului,problema supresrii)
ce deriv din regimurile speciale la care opereaz un motor pas cu pas. Din punct de vedere
constructiv blocul contactoarelor statice ,n cazul unui motor pas cu pas cu 4 faze, este format
din 4 amplificatoare-comutator, de tip Darlington, cte unul pentru fiecare faz a motorului.

Schema unui astfel de amplificator -comutator (driver) pentru una din fazele motorului:

Amplificatorul realizat cu tranzistoarele

T1

(npn) i T2 (npn) este de tip Darlington. Acest

tip de amplificator are avantajul unui factor de amplificare n curent mare, o impedan de
intrare mare i o impedan de ieire mic,deci o adaptare foarte bun fa de sarcina oferit de
motor. In acelai timp el reprezint i un excelent comutator static, constantele de timp proprii
fiind foarte mici. Dac semnalul furnizat de distribuitorul de impulsuri (DI) are valoarea 1 logic
dioda D2 se blocheaz,tranzistorul T1 intr n saturaie determinnd conducia tranzistorului T2 i
conectarea fazei 1 la tensiunea de alimentare E, prin aceasta stabilindu-se un curent. Pentru un
semnal 0 logic furnizat de distribuitorul de impulsuri tranzistorul T 1 se blocheaza determinand
13

blocarea tranzistorului T2 si anuland curentul in faza motorului prin intreruperea alimentarii


acestuia. Dioda D protejaza dubletul Darlington fata de supratensiunile ce apar in procesul de
comutare din starea de conductive in starea de blocare.
Rezistentele R2 si R3 servesc la evacuarea sarcinilor stocate in bazele tranzistoarelor T 1 si
T2 marind fregventa de lucru a circuitului.
In present blocul contactoarelor statice se realizeaza in variant integrate.

6. Realizarea practic a schemei de comanda a motorului pas cu pas.


Structura hardware

Componente necesare:

Motor pas cu pas unipolar

ULN2003 integrat pentru controlat motorul

Conector DB25 tata


Tensiune de alimentare: 12-24V

14

Aceasta este o modalitate uoara de a construi un driver pentru controlarea


motoarelor pas cu pas care s permit controlarea precis a motorului folosind portul
paralel al calculatorului. Cu un motor pas cu pas se pot construi o gam variat de lucruri
ca roboti, lifturi, masina de frezat PCB-uri, sistem de micarea a aparatul de fotografiat,
aparat de hranit pestii, etc.

Driver-ul pentru motor


Pentru a putea muta rotorul este necesar un driver. Driver-ul este un circuit care
aplic tensiunea la oricare dintre cele patru bobine. Driver-ul poate fi construit cu un integrat
ca ULN2003 (ca in schema mai de sus), patru tranzistoare Darlington sau patru tranzistori
de putere ca 2N3055.
Conexiunile motorului pas cu pas

Motoarele unipolare au cinci sau sase fire depizand de model. Dac motorul are
ase fire ca cel din poza, atunci va trebui s lipi i pinii 1 si 2 mpreun i s le conecta i la
(+) la o sursa de curent de 12-24V. Pinii ramasi: a1 (galben), b1 (negru), a2 (portocaliu,
b2(maro) trebuie conectati la un driver (ULN2003) asa cum sunt in schema.
Moduri de pasire
15

Dupa cum am spus i in capitolul 3 al proiectului sunt cateva moduri de pasire care
pot fi folosite pentru a controla motorul pas cu pas:
1.O singura pasire (comanda simetrica simpla) cel mai simplu mod de a roti o
bobin. Sunt necesare 48 de pulsuri pentru a determina o rotatie. Fiecare puls misca rotorul
cu 7,5 grade. Urmatoare secventa trebuie repetata de 12 ori pentru motor pentru a avea o
rotatie complete.
Puls Bobina a1 Bobina b1 Bobina a2 Bobina b2
1
folosita
2
folosita
3
folosita
4
folosita

2. Pasire cu cuplu mare (comanda simetrica dubla) putere mare/ modul de


precizie foloseste 2 bobine la un moment dat. 48 de pulsuri sunt necesare pentru a
determina o rotatie. Fiecare puls misca rotorul cu 7,5 grade. Urmatoare secventa trebuie
repetata de 12 ori pentru a avea o rotatie complete.
Puls Bobina a1 Bobina b1 Bobina a2 Bobina b2
1
folosita
folosita
2
folosita
folosita
3
folosita
folosita
4
folosita
folosita
3. Pasire pe jumatate (comanda nesimetrica) pasirea este dublata si motorul are
nevoie de 96 pulsuri pentru o rotatie completa. Fiecare puls misca rotorul cu aproximativ
3,75 grade. Observati imbinarea pasirii 1 (verde deschis) si pasirea cu cuplu mare (maro).
Puls Bobina a1 Bobina b1 Bobina a2 Bobina b2
1
folosita
2
folosita
folosita
3
folosita
4
folosita
folosita
5
folosita
6
folosita
folosita
7
folosita
8
folosita
folosita
16

Software-ul pentru controlarea motorului pas cu pas

Unele drivere ar putea veni cu un generator de frecventa / temporizator care este


folosit pentru a controla o viteza de rotatie (LM555/NE555) si integrate digitale ca 74LS194
care vor utiliza pulsuri pentru a genera un mod de pasire.
In aces proiect, pe de alta parte noi vom folosi calculatorul si un program pentru aceasta
functionabilitate. Prin folosirea unui calculator, veti putea face mai multe cu motorul pas cu
pas si cel mai important sa vizionati cat curent trece prin fiecare bobina.
Programul include facilitate ca interfata usor de folosit, care permite control precis a
vitezei si directiei motorului in timp real si de asemenea permite sa folositi diferite moduri de
pasire ca cele prezentate mai sus.
Programul se poate descarca de aici:http://electronicsdiy.com/download.php?
pdf=Stepper_Motor_Controller.zip

17

S-ar putea să vă placă și