Sunteți pe pagina 1din 84

CAPITOLUL 1

1.1. Generaliti
Sistemele de achiziii de date se utilizeaz n aparate electronice de msur i
controlul mrimilor electrice i neelectrice.
Structura unui aparat electronic de msur i control cu msurare direct este:
Traductor
electric

Obiectul
msurii
Informaie
primar

Circuit electric
de prelucrare
Semnal
electric

Unitate de
indicare i
afiare

Informaie
valorificat

Semnal
prelucrat

n prima etap informaia primar de la obiectul de msurat este transformat prin


intermediul traductoarelor electrice n semnale electrice.
n a doua etap a procesului de msurare semnalul electric de la ieirea
traductoarelor electrice este prelucrat cu ajutorul circuitelor electronice de prelucrare.
Acestea pot fi circuite de msurare pentru traductoare care transform semnalul electric
de la ieirea traductoarelor n tensiune sau curent, amplificatoare care mresc nivelul de
putere a semnalului, circuite de eantionare i memorare i convertoare analog numerice,
care transform semnalul analogic n semnal numeric.
A treia etap a procesului de msur const n valorificarea informaiei coninut
de semnal sub forma indicrii, nregistrrii sau utilizrii ei pentru reglare automat.
n cadrul aparatelor electronice de msur i control, sistemele de achiziie de date
realizeaz n principal conversia analog numeric a unuia sau mai multor semnale
analogice de intrare n scopul memorrii numerice i / sau transmiterii la distan.
1.2. Caracteristici generale ale elementelor aparatelor electronice de
msur i control
Caracteristicile elementelor AEMC se se pot clasifica n:
- caracteristici de intrare;
- caracteristici de transfer;
- caracterisitici de ieire.
a) Caracteristici de intrare: sunt date de natura mrimii de intrare, domeniul de
variaie al acesteia precum i de influena elementului asupra celui precedent care l
comand. Pentru traductoarele electrice, natura mrimii de intrare este dat de natura
mrimii fizice sau chimice care se msoar, iar pentru circuitele electronice de prelucrare
i pentru unitile de indicare i afiare mrimile de intrare pot fi semnale electrice sau
neelectrice.
Nivelul semnalului de intrare este limitat inferior de zgomote i de funcionarea
corect a elementului, iar superior de distorsiunile semnalului sau de posibilitatea
distrugerii elementului. Efectul nregistrat de element asupra celui precedent se

caracterizeaz prin impedana de intrare, curent de intrare, puterea sau energia absorbit
de la intrare.
b) Caracteristicile de transfer se exprim prin relaii ntre mrimile de ieire i
mrimile de intrare ale elementelor, astfel relaia ntre mrimea de ieire Y a unui element
i cea de intrare X n regimul de echilibru staionar al elementului se numete
caracteristic de transfer static.
Y f x

O alt caracteristic de transfer este dat de sensibilitate, definit prin relaia:


S

dY
dX

Un element poate fi sau nu liniar n funcie de faptul dac sensibilitatea este sau nu
constant.
Datorit construciei interne a elementelor apar erori la funcionarea acestora,
numite erori ale caracteristicii de transfer. Acestea pot fi: erori de scar, erori dinamice i
zgomote.
10. Erorile de scar reprezint abateri de la funcionarea elementului fa de
caracteristica de transfer static n regim de echilibru staionar. Acestea pot fi de tip
aditiv, multiplicativ sau de neliniaritate.
20. Regimul dinamic de funcionare se caracterizeaz prin aceea c mrimea de
intrare i deci cea de ieire variaz n timp. Datorit ineriei componentelor elementului,
mrimea de ieire Y va rmne ntr-un fel n urma mrimii de intrare X. Comportarea n
regim dinamic a elementului este dat de caracteristica dinamic a acestuia exprimat de
obicei printr-o ecuaie difenial.
30. Zgomotele apar din structura intern a elementului i se manifest la ieire
printr-o mrime fizic de acceai natur cu semnalul util de ieire. Rezult c zgomotul
este dificil de eliminat la ieire i variaiile lui sunt interpretate ca variaii a semnalului
util. Zgomotul prezint importan pentru semnale de intrare mici. Comportarea
elementului la variaiile factorilor de influen exteriori (temperatur, umiditate, presiune)
reprezint caracteristica de transfer a elementului.
c) Caracetristica de ieire este exprimat prin natura semnalului de ieire,
domeniul de variaie, curentul sau puterea pe care elementul o poate genera la ieire.

CAPITOLUL 2
TRADUCTOARE ELECTRICE
Traductorul electric este blocul funcional al unui AEMC care intr n contact
direct cu mrimrea neelectric de msur pe care o traduce n semnal electric.
Clasificare
10. Dup natura mrimii neelectrice de intrare:
- de temperatur;
- de presiune;
- de umiditate, de deplasare, etc.
20. Dup principiul de funcionare:
- parametric (modulator);
- generator (energetic).
Traductoarele parametrice au ca mrime de ieire un parametru de circuit electric,
rezisten, inductivitate sau capacitate.
Traductoarele generatoare au ca mrime de ieire o tensiune electric fr a fi
alimentat de la surse de tensiune. Tensiunea electric de ieire poate fi de natur
termoelectric, fotoelectric, piezoelectric, electrochimic, de inducie.
2.1. Traductoare rezistive sunt de tip parametric la care mrimea electric de
intrare produce variaia unei rezistene.
Modificarea valorii rezistenei poate rezulta din modificarea lungimii unui
conductor (traductoare reostatice, tensometrice) sau din modificarea rezistivitii
(traductoare termorezistive, fotorezistive, rezistive de umiditate).
2.1.1. Traductoare reostatice sunt rezistene variabile cu cursor la care mrimea
neelectric de intrare este o deplasare liniar sau unghiular i acioneaz asupra
cursorului. Traductoarele reostatice au ca dezavantaj prezena zgomotului de contact al
cursorului ceea ce limiteaz frecvena maxim de lucru la civa Hz. Un alt dezevantaj l
reprezint uzura pronunat la contactul cursorului.
Traductoarele reostatice se utilizeaz pentru msurarea deplasrilor liniare i
unghiulare relativ mari, milimetri-cm, respectiv nx100.
2.1.2. Traductoare tensometrice au ca mrime de intrare o deformaie
(alungire, contracie) care produce modificarea lungimii unui conductor. Dac traductorul
se fixeaz pe o pies supus la o solicitare, aceasta se va deforma la fel ca i piesa.
Rezult c se obine o variaie a lungimii conductorului, deci a rezistenei acestuia funcie
de deformaie, deci funcie de solicitarea aplicat piesei.
Constructiv sunt realizate cu materiale conductoare i semiconductoare. Ca
materiale conductoare se folosesc aliaje CuNi, CrNi sub form de fire cu diametrul de
25m.
Pentru a obine o variaie mrit la rezisten, la dimensiuni mai mici ale
traductoarelor, firul conductor este dispus sub form de grilaj pe un suport din hrtie,
material plastic sau mtase.

suport

terminale

fir conductor

Figura 2.1.
Variaia de rezisten relativ este de 10-3 (uzual).
Materialul conductor al traductorului tensometric poate fi depus sub form de
pelicul pe suport prin evaporare n vid sau procedee fotochimice.
La capetele de ntoarcere limea peliculei este mai mare pentru a reduce
sensibilitatea traductorului la deformaii perpendiculare fa de direcia util.

Figura 2.2.
n cazul celui cu pelicul apar urmtoarele avantaje:
- poate fi realizat de forme complicate pentru solicitri complexe;
- suprafaa mare de contact a peliculei cu suportul reduce erorile datorate
alunecrilor ntre conductor i suport;
- raportul mare dintre suprafa i seciunea peliculei permite alimentarea
traductorului cu cureni mai mari, ceea ce duce la obinerea unui semnal util
mai mare.
Parametrii traductoarelor cu conductor sunt:
a) sensibilitatea

R / R
2
l / l

b) R 100 1000
c) linearitatea este: - 0,1
1

pt. l / l 4 10 3
pt. l / l 10 2

Traductoarele tensometrice cu semiconductoare sunt compuse dintr-un monocristal


de Si sau Ge dopat cu impuriti n scopul obinerii proprietilor dorite. Dimensiunile
uzuale ale monocristalului sunt:
4

- grosimea
n x 10m
- lime
n x 0,1 mm
- lungime
2 10 mm
Traductoarele tensometrice cu semiconductoare prezint avantajul unei
sensibiliti de 50 de ori mai mari dect traductoarele tensometrice cu semiconductoare
constau n stabilitatea redus n timp i cu temperatura. Din acest motiv sunt recomandate
pentru msuri dinamice sau unse se necesit o sensibilitate mrit.
Caracteristicile sunt:

R / R
100
l / l

- R 100
- liniaritatea 1% pentru alungire de 10-3.
Avnd n vedere sensibilitatea redusp a traductoarelor tensometrice precum i
necesitatea compensrii erorilor de temperatur, traductoarele tensometrice se conecteaz
n circuite de tip punte. Erorile cu temperatura pot s apar din cauza dilatrii piesei pe
care este fixat traductorul, dilatrii i variaiei rezistivitii materialului conductor sau
semiconductor al traductorului.
Aceste erori pot fi compensate (reduse) prin utilizarea n circuite a dou
traductoare solicitate diferit.

R+R

T1

T2
R-R

Figura 2.3.

R-R

R+R
T2

T1
U
R

Figura 2.4.
Compensarea erorilor datorate temperaturii depinde de apropierea caracteristicilor
celor 2 traductoare T1 i T2.
U

E
R R E R E R
2R
2R
2R

(2.1)

Deoarece semnalul util de la ieirea punii cu traductoare tensometrice e mic se


necesit o amplificare mare care se prefer a fi crescut alternativ deoarece se elimin
problemele de deriv a nulului. n acest caz tensiunea de la ieirea punii va fi tensiune
alternativ sinusoidal cu amplitudinea funcie de amplitudinea deformaiei piesei i cu
faza funcie de sensul deformaiei.

E/2
E
UT2

Figura 2.5.

R
P2
P1

T1

Amplif.
de
c.a.

T2

Redr.
sensibil
la faz

ue

faz de
ref.

Generator
5 KHz

Figura 2.6. Structura instalaiei de msur cu traductoare tensometrice


Frecvena generatorului sinusoidal care alimenteaz puntea cu traductoare
tensometrice este de 5kHz n corelaie cu frecvena maxim a deformaiei traductoarelor
de 1 kHz.
Poteniometrul P1 servete pentru echilibrarea punii avnd n vedere capacitile
parazite a traductoarelor i firelor de legtur. P 2 servete pentru echilibrarea punii
(echilibrare rezistiv) n absena deformaiei piesei.

Semnalul de la ieirea punii modulat n amplitudine i faz este amplificat cu


amplificatorul de curent alternativ i aplicat la intrarea redresorului sensibil la faz.

faza de
intrare
faza de referin

Figura 2.7.
La ieirea redresorului se obine o tensiune continu de amplitudine funcie de
amplitudinea deformaiei i polaritate n funcie de sensul deformaiei.
Traductoarele tensometrice se utilizeaz pentru msurarea deformaiilor mici i
prin intermediul acestora a forelor, presiunilor, vibraiilor i acceleraiilor.
2.1.3. Traductoare termorezistive sunt confecionate din materiale conductoare
sau semiconductoare cu coeficient mare de variaie cu temperatura i stabil. Ca materiale
conductoare se utilizeaz metale pure care n comparaie cu aliajele au coeficient de
variaie al rezistenei cu temperatura mai mare Cu pn la 1800C; Ni pn la
3000C; Pt 12000C.
Materialul conductor se utilizeaz sub form de fire la care raportul ntre lungime
i diametru este 200.
Traductoarele termorezistive cu semiconductoare (termistoare) au un coeficient de
variaie cu temperatura al rezistivitii mai mare ca metalele deci sunt mai sensibile.
Avnd n vedere rezistivitatea mrit a materialelor semiconductoare rezult posibilitatea
construciei unor traductoare de dimensiuni mici, deci inerie termic mic.
Avnd n vedere i stabilitatea redus n timp a acestor traductoare rezult c ele
sunt recomandate pentru msurri dinamice.
2.2. Traductoare capacitive sunt traductoare de tip parametric la care mrimea
neelectric de intrare produce modificarea valorii capacitii unui condensator.
Variaia de capacitate poate rezulta din modificarea distanei dintre armturile
condensatorului, a suprafeei de suprapunere a acestuia sau a permitivitii mediului
dintre armturi.

C
2
d

C0

Figura 2.8.
S
d
S
C
d d
C0

(2.2)
(2.3)

Varianta diferenial a traductoarelor capacitive permite obinerea unei


caracteristici de transfer liniare i o sensibilitate dubl fa de cea nediferenial.
n cazul variantei difereniale, schema este:
1

C1
C2

Figura 2.9.
Se
elementul
aib
cu
Un
conectare
punte este:

poate
ca
mobil s nu
contact electric
circuitul.
exemplu
de
ntr-un circuit

2
C2

C1

R
4

Figura 2.10.
S
;
d d
1
Z1
;
jC1

S
d d
1
Z2
jC 2

C1

C2

(2.4)
(2.5)

U C1 U
U
U
U
U
Z2
R


C2
Z1 Z 2
2R
2 C1 C 2 2
1
C1

(2.6)

d d
U U d d U d

1
d d d d 2 2
d
2d

U
d
2d

- rezultnd c funcia de transfer este liniar.

(2.7)

Figura 2.11.
Traductoarele capacitive prezentate se utilizeaz pentru msurarea deplasrilor
mici i mari i prin intermediul acestora la msurarea forelor, presiunilor, vibraiilor,
acceleraiilor. Traductoarele capacitive cu modificarea permitivitii mediului dintre
armturi se utilizeaz la msurarea umiditii, compoziiei substanelor, nivelelor, etc.
2.3. Traductoare inductive sunt traductoare de tip parametric la care mrimea
neelectric de intrare produce modificarea inductanei proprii sau mutuale a traductorului.
Variaia de inductan rezult din modificarea geometriei circuitului magnetic al
traductorului. Aceast geometrie se modific acionnd asupra seciunii sau lungimii
ntrefierului sau prin deplasarea miezului feromagnetic.

10

L1

L2

Figura 2.12.
Traductorul inductiv diferenial are avantaje fa de varianta nediferenial:
- sensibilitatea de 2 ori mai mare;
- posibilitatea de obinere a unei funcii de transfer liniare;
- compensarea variaiilor tensiunii de alimentare i a factorilor de influen
extern.
2.4. Traductoare termoelectrice - sunt traductoare de tip generator la care
mrimea de intrare este o diferen de temperatur, iar cea de ieire o tensiune
termoelectric.

E(1,2)

11

Figura 2.13.
Dac temperatura 1 este constant traductorul poate fi utilizat pentru msurarea
valorilor absolute de temperatur 2. n acest caz valorile de temperatur se determin pe
baz de tabele. Ca traductoare termoelectrice se folosesc:
Cupru constantan
- 2000C +3000C
Fier constantan
- 2000C +6000C
Cromel alumel
- 00C +10000C
Platin rhodiu
+8000C 15000C.
2.5. Traductoare piezoelectrice sunt traductoare de tip generator i funcionarea
lor se bazeaz pe fenomenul piezoelectric. Mrimea neelectric de intrare este o for de
tensiune sau compresiune care acioneaz asupra unei plcue din cristal de cuar sau alt
material piezoelectric.
Ca urmare a aciunii forei, plcua se polarizeaz electric.

S
d

Figura 2.14.
Relaia care descrie sarcina electric este de forma:
Q = kF unde k este modulul piezoelectric al materialului.
Q C U

S
U
d

(2.8)

d k
F - funcia de transfer din care rezult c tensiunea este
S

proporional cu fora aplicat.


Schema echivalent a unui traductor piezoelectric este:

Rp

12

Figura 2.15.
Prezena rezistenei Rp n schema echivalent indic faptul c este recomandat
pentru msurtori n regim dinamic. Circuitul de msurare al traductoarelor piezoelectrice
poate cuprinde un amplificator de sarcin.
Amplificatorul de sarcin are caracterul unui amplificator operaional i n plus o
impedan de intrare foarte mare. Circuitul de msur pentru traductorul piezoelectric cu
amplificator de sarcin este:

CT

U
+ -

C1

AS
+

Ue

Figura 2.16.
AS amplificator de sarcin
CT capacitatea traductorului
n prezena unei fore, la bornele capacitii CT apare o sarcin suplimentar q.
Deoarece tensiunea la bornele lui C T este constant, sarcina este transferat
condensatorului C1.
q C1 U e
(2.9)
2.6. Traductoare integrate sunt construite n tehnologia planar de realizare a
circuitelor integrate. n acest fel se pot obine traductoare cu performane bune la un pre
de cost sczut, devenind posibil includerea n aceeai capsul cu traductorul a unui
circuit electronic de prelucrare a semnalului.
Fenomenele fizice care apar n Si i care se utilizeaz la neliniarizarea
traductoarelor integrate sunt:
- efectul fotovoltaic;
- efectul fotorezistiv;
- efectul piezorezistiv;
- efectul magnetorezistiv;
- dependena de temperatur a rezistivitii i parametrilor tranzistorului;
- efectul Hall.
2.6.1. Traductor integrat de poziie servete pentru determinarea a dou din
coordonatele spaiale ale unui punct luminos. Traductorul este constituit dintr-o diod
(jonciune pn) de suprafa mare 8 x 6 mm, asupra creia se proiecteaz punctul luminos.
Structura traductorului este prezentat n figura urmtoare. Dou din peliculele
opuse sunt conectate la anod, iar celelalte dou la catod.
13

Al
n+

SiO2
p

n+

Al

SiO2

n
p

Figura 2.17.
Jonciunea pn a traductorului este polarizat n sens invers cu o tensiune constant.
n locul de proiecie al punctului luminos se genereaz un fotocurent care se repartizeaz
ntre cele 4 terminale ale diodei traductorului. Curentul corespunztor unui terminal este
funcie de distana sa fa de locul de proiecie a punctului luminos i de intensitatea
luminoas. Suma curenilor corespunztori a dou terminale opuse reprezint
fotocurentul generat, depinde numai de intensitatea luminoas a sursei i nu depinde de
locul de proiecie.
Raportnd curentul corespunztor unui terminal la fotocurentul generat se obine o
mrime care depinde de poziia proieciei punctului luminos.

I1

I2
x
k
d
14

Figura 2.18.
I1 k E d x
E intensitatea luminoas
I2 k E x
I1 I 2 k E d
I
x
2
I1 I 2 d

(2.10)
(2.11)
(2.12)
(2.13)

Traductoarele integrate de poziie se utilizeaz pentru alinierea n diverse sisteme,


la recunoaterea imaginilor, la sisteme de nlocuire a intelor, etc.
2.6.2. Traductor integrat a vitezei de curgere a unui gaz
Viteza de curgere a unui gaz se poate msura pe principiul c un corp nclzit este
rcit de un gaz proporional cu viteza acestuia. Pentru realizarea unei configuraii
difereniale sau n punte se utilizeaz 2 respectiv 4 traductoare de temperatur care sunt
rcite n mod diferit de gazul n curgere.
n acest fel se obine o diferen de temperatur n funcie de viteza de curgere a
gazului.
a) Traductor integrat cu 2 traductoare de temperatur

gaz
T1

T2

Figura 2.19.
Tranzistoarele T1 i T2 sunt utilizate ca i traductoare de temperatur. T este
element de nclzire. Tranzistorul T2 este mai cald ca T1 deoarece gazul n curgere ajunge
nclzit la T2 de elementul de nclzit T. Tranzistoarele T 1 i T2 sunt conectate n circuitul
de intrare al unui amplificator diferenial la ieirea cruia se obine informaia de vitez
de curgere.
Pentru a obine o funcie liniar ntre viteza de curgere a gazului i diferena de
temperatur ntre T1 i T2 este necesar s se menin o diferen de temperatur constant
ntre capsul i gaz.
n acest sens traductorul conine dou elemente sensibile la temperatur
(tranzistoare) unul plasat n gaz cellalt n capsul i ferit de curentul de gaz. Aceste dou
tranzistoare comand un amplificator diferenial a crui ieire comand elementul de

15

nclzire T, meninndu-se n acest fel o diferen de temperatur constant ntre gaz i


capsula traductorului.
b) O alt variant constructiv cuprinde patru rezistene de tip p conectate n punte

Al
gaz

p
n

Figura

2.20.

Rezistenele plasate perpendicular pe viteza de curgere a gazului vor fi rcite mai


mult dect celelalte dou obinndu-se astfel dezechilibrul punii n funcie de viteza de
curgere a gazului. Traductoarele de vitez de curgere pot fi adaptate i pentru lichide
utilizndu-se la msurarea debitului n pompe i sisteme de injecie a combustibilului, la
msurarea energiei n sisteme publice de nclzire, la msurtori n medicin referitoare
la respiraie.
2.6.3. Traductor integrat de presiune este constituit dintr-o diafragm de tip n
cu grosimea de 15 m i diametrul de 1 mm, plasat pe un suport circular. Pe diafragm
sunt plasate prin difuzie patru traductoare tensometrice de tip p.

R4

R3

R1
R2
n
Al
16

Figura 2.21.
La aplicarea unei surse de presiune ntre cele dou fee a diafragmei aceasta se va
deforma. Traductoarele tensometrice R2 i R4 plasate n centrul diafragmei se vor deforma
n sens opus fa de traductoarele tensometrice R1 i R3 plasate la extremitatea
diafragmei. Rezult un dezechilibru al punii n funcie de diferena de presiune.
2.6.4. Traductoare cu pelicule subiri
Sunt constituite din pelicule metalice subiri pn la 0,2 m i din folii de
dielectric Kapton disponibil la grosimi mici pn la 6 m. Grosimea total a
traductoarelor cu pelicule subiri nu depete 80 m astfel nct plasarea acestuia pe
suportul unde se face msurarea afecteaz n mod neglijabil proprietile aerodinamice,
termice i mecanice ale suportului. Din acest motiv traductoarele cu pelicule subiri se
utilizeaz n aerodinamic, hidrodinamic i acustic.
Constructiv se realizeaz capacitiv sau pe baza efectului termoelectric ntre dou
metale depuse sub form de pelicule subiri.
Un exemplu de traductor de presiune cu pelicule subiri este prezentat n
urmtoarea figur:
pelicule metalice

P+p
d+d

Kaptan
adeziv

Suport

Figura 2.22.
Traductorul prezentat este de tip capacitiv. O variaie de presiune p conduce la o
variaie a distanei dintre armturile condensatorului realizat cu cele dou pelicule
metalice.
2.6.5. Traductoare inteligente
Conin n aceeai capsul cu traductorul un microcalculator specific n timp real
care ndeplinete funcii multiple:
- asigur interfaarea traductorului cu celelalte componente ale AEMC;
- asigur o ieire serial numeric imun la perturbaii;

17

- ofer posibilitatea liniarizrii caracteristicii de transfer pe baz de calcul


numeric;
- creeaz posibilitatea calibrrii automate a traductorului.
n scopul calibrrii automate traductorul conine n structura sa o memorie
EPROM care se programeaz n cadrul instalaiei automatizate de calibrare. Prezena
microcalculatorului n structura traductorului ofer posibilitatea compensrii erorilor
datorate factorilor de influen extern (temperatur, presiune, umiditate). n acest sens se
impune cunoaterea comportrii traductorului la modificarea factorilor de influen
extern, msurarea factorilor de influen extern i aplicarea coreciei prin modificarea
funciei de transfer a traductorului pe baz de calcul numeric. Aceast metod de
modificare a caracteristicii de transfer pe baz de calcul numeric se utilizeaz n general
pentru corecia erorilor sistematice a traductoarelor. Pentru corecia erorilor aleatoare se
efectueaz msurtori statistice astfel: ntr-o capsul sunt coninute de exemplu 10
traductoare de pH i un microcalculator care calculeaz valoarea medie a rezultatelor i
abaterea standard.
Traductorul inteligent de presiune are la baz un traductor tensometric, un
traductor de temperatur i un microcalculator.
Structura traductorului este:
punte cu traductoare
tensometrice
Microcalculator
Circuite
analogice
P1

STARE
CD

trad. de
temperatur

Circuite
interfa

U.C.P.

Memorie

Ieire serial
numeric
Comenzi

P2

Figura 2.23.
Traductorul inteligent de presiune este construit pe baza traductorului integrat de
presiune (cu patru traductoare tensometrice) avnd n plus un traductor de temperatur
plasat prin difuziune n diafragm. Traductorul de temperatur servete pentru corecia
erorilor de temperatur.
Blocul de circuite analogice conine n principal amplificatoare i convertoare
analog numerice.
Pentru liniarizarea caracteristicii traductorului se impune ca n cadrul unei
instalaii de calibrare s se determine caracteristica traductorului.
Pr Pi Pi
unde Pr presiunea real aplicat traductorului
Pi presiunea indicat de traductor
n general aceasta are forma unei parabole:

18

Pr - Pi

Pi

Figura 2.24.
Pr Pi a b Pi c Pi 2 ...

Pr a b 1 Pi c Pi 2 ...
Liniarizarea caracteristicii traductorului const n calculul cu microcalculatorul a
ultimei relaii de mai sus.
Numrul de termeni utilizai n calcul n relaia de mai sus se alege astfel nct
erorile de neliniaritate s fie comparabile (dar puin mai mici) cu erorile datorate
stabilitii pe termen scurt a traductorului.
Pentru reducerea volumului de calcul se poate aproxima caracteristica prin dou
drepte.
Pentru corecia erorilor de temperatur a traductorului se impune ca n cadrul
instalaiei de calibrare s se determine caracteristica ce exprim erorile traductorului
funciei de temperatur. Corecia erorilor cu temperatura se realizeaz pe baza acestei
caracteristici i a informaiei dat de traductoarele de temperatur prin modificarea
caracteristicii de transfer a traductorului de presiune pe baz de calcul numeric.
Corecia componentei sistematice a stabilitii pe termen lung a traductorului se
realizeaz la momente de timp date de un ceas coninut de microcalculator.

CAPITOLUL 3
CIRCUITE DE CONDIIONARE A SEMNALELOR
3.1. Amplificatoare cu modulare demodulare
3.1.1. Structura de principiu al unui amplificator cu modulare demodulare
Amplificatorul cu modulare-demodulare se utilizeaz pentru amplificarea
cu 60 100 dB a unor tensiuni de nivel foarte mic (microvoli sau milivoli) i de joas
frecven, deoarece permit obinerea unor valori reduse ale derivei tensiunii de decalaj n

19

timp i cu temperatura. Funcionarea unui amplificator cu modulare - demodulare,


fig.3.1, se bazeaz pe modularea, cu semnalul de intrare u i a unui semnal purttor u p de
frecven mult mai mare dect frecvena maxim din spectrul semnalului de intrare.
Urmeaz amplificarea semnalului modulat u m cu un amplificator de curent alternativ i
apoi, demodularea semnalului modulat i amplificat u ma n scopul obinerii semnului de
intrare amplificat (semnul de ieire) u e . Rezult c deriva tensiunii de decalaj a
amplificatorului cu modulare - demodulare este dat aproape n ntregime de circuitul
modulator, deoarece deriva circuitului demodulator se raporteaz la intrare prin factorul
de amplificare al amplificatorului de curent alternativ. Modulatorul este realizabil cu
deriv a tensiunii de decalaj redus.
Amplificatorul cu modulare-demodulare transpune amplificarea semnalelor
continue (de joas frecven) la frecvene la care zgomotul 1/f al tranzistoarelor este mult
mai puin suprtor dect pentru semnale continue, cu condiia alegerii corecte a
frecvenei semnalului purttor. De asemenea, utilizarea amplificatorului cu modulare demodulare creeaz posibilitatea izolrii galvanice ntre intrarea i ieirea acestuia.
Principalele dezavantaje ale amplificatoarelor cu modulare - demodulare constau n
banda de trecere relativ redus datorit procesului de modulare i n complexitatea
structurii.

GENERATOR

Fig. 3.1 Structura de principiu a unui amplificator cu modulare - demodulare


3.1.2. Amplificator cu modulare demodulare cu purttoare sinusoidal
Structura unui amplificator cu modulare demodulare cu purttoare sinusoidal
este prezentat n figura 3.2. Semnalul de intrare u i este aplicat modulatorului prin filtrul
de intrare de tipul trece jos. Rezult limitarea superioar a domeniului de frecvene ale
semnalului de intrare corespunztoare frecvenei maxime de lucru a amplificatorului i in
concordan cu frecvena f p a semnalului purttor. Sursa de semnal purttor genereaz
tensiunea sinusoidal u p , de frecven f p , pentru comanda modulatorului i
demodulatorului. Modulaia utilizat este n amplitudine i faz, funcie de valoarea i,
respectiv, polaritatea semnalului de intrare filtrat u if .
Semnalul u m la ieirea modulatorului este aplicat la intrarea amplificatorului de
curent alternativ cruia i revine practic sarcina amplificrii de putere a semnalului.
Semnalul modulat i amplificat u ma este apoi demodulat. Deoarece informaia privind
polaritatea tensiunii de intrare este coninut n faza semnalului modulat, rezult c
pentru reconstituirea polaritii este necesar funcionarea sincron a modulatorului i
demodulatorului. n acest scop, comanda modulatorului i demodulatorului se realizeaz
de la o singur surs de semnal purttor. Semnalul demodulat u d este aplicat filtrului de
20

ieire de tip trece jos care permite trecerea spre ieire numai a componentelor din
domeniul de frecvene corespunztor semnalului de intrare filtrat u if . Rezult c semnalul
u ma de la ieirea amplificatorului cu modulare - demodulare are aceeai lege de variaie
de timp cu semnalul de intrare filtrat, dar la un nivel de putere mult mai mare dect
acesta.

Fig. 3.2. Structura unui amplificator cu modulare demodulare


cu purttoare sinusoidal
n fig. 3.3 (a, respectiv b) sunt prezentate diagramele de timp i frecven ale
semnalelor care intervin n funcionarea unui amplificator cu modulare-demodulare cu
purttoare sinusoidal modulat n amplitudine i faz, avnd structura din fig. 3.2 (f 1 este
frecvena de tiere a filtrului de intrare i

fp

frecvena semnalului purttor).

21

Fig. 3.3. Diagramele semnalelor aferente funcionrii unui amplificator


cu modulare demodulare.
a)reprezentare n domeniul timp

22

Fig. 3.3. Diagramele semnalelor aferente funcionrii unui amplificator


cu modulare demodulare.
b)reprezentare n domeniul frecven
3.1.3. Amplificator cu modulare demodulare cu chopper
23

Amplificatorul cu modulare - demodulare cu chopper funcioneaz cu semnal


purttor de forma dreptunghiular, ceea ce conduce la realizarea modulatorului i a
demodulatorului cu comutatoarele k1 i respectiv k2 conform structurii din fig. 2.4.

Fig. 3.4.a) Structura unui amplificator cu modulare demodulare cu chopper


Semnalul purttor dreptunghiular este modulat n amplitudine de semnalul de
intrare u i , prin choppare, fig.3.4. Frecvena de tiere inferioar a amplificatorului de
curent alternativ este suficient de mic, astfel nct unda dreptunghiular modulat s
treac fr distorsiuni i, n acelai timp, mult mai mare dect frecvena maxim a
semnalului de intrare. n aceste condiii, rezult semnalul u ma axat ca n fig. 3.4.b. Din
diagrama de timp a semnalului modulat u ma , rezult c faza acestuia relativ la comanda
comutatorului k1 este inversat pentru tensiuni de intrare u i de polaritate diferit. Astfel,
prin comanda sincron (n faz) a comutatoarelor k1 i k2 se reconstituie polaritatea
semnalului de intrare. Se precizeaz c, prin comanda n antifaz a comutatoarelor k 1 i k2
se obine un amplificator n configuraie inversoare. Filtrul de ieire de tip trece jos,
realizat cu R f i C f , elimin unda dreptunghiular purttoare din semnalul demodulat i
permite obinerea tensiunii de ieire u e , care este semnalul de intrare u i amplificat.

Diagramele de timp corespunztoare funcionrii sunt:

24

25

3.1.3. Amplificator stabilizator cu chopper utilizeaz metoda denumit Goldberg


pentru mbuntirea performanelor de curent continuu al unui amplificator de band
larg, prin utilizarea unui amplificator cu modulare - demodulare cu chopper.

Fig.

3.5.
Structura de principiu a unui amplificator stabilizat cu chopper

Din structura de principiu a amplificatorului stabilizat cu chopper, fig. 3.5, rezult


separarea pe dou ci a componentelor de nalt frecven, i, respectiv, a componentelor
de joas frecven din spectrul semnalului de intrare u i . Separarea se realizeaz cu filtrul
trece sus R1 , C1 i respectiv cu filtrul trece jos R2 , C 2 . Amplificarea componentelor de
nalt frecven se realizeaz numai cu amplificatorul de band larg. Componentele de
curent continuu i de joas frecven ale semnalului de intrare sunt amplificate iniial cu
amplificatorul cu modulare - demodulare cu chopper n conexiune inversoare i apoi
aplicate la intrarea neinversoare a amplificatorului de band larg. Rezult c deriva
tensiunii de decalaj a amplificatorului de band larg se raporteaz la intrarea
amplificatorului stabilizat cu chopper prin factorul de amplificare al amplificatorului cu
modulare - demodulare cu chopper.
3.2. Modulatoare de msur
Modulatorul cu diod varicap i bazeaz funcionarea pe modificarea capacitii
unei diode varicap, deci a reactanei diodei varicap prin polarizarea ei cu semnalul
modulator. Pentru dublarea sensibilitii i reducerea erorilor cu temperatura se utilizeaz
ntr-un modulator dou diode varicap, ca n figura 3.6. :

26

x-x

x+x
Dv1 Dv2

ui
C1

R1

R2

C2

P
up

Fig. 3.6.
Poteniometrul P, C1 i C2 folosesc pentru echilibrarea punii un semnal nul de
intrare.
up tensiunea de alimentare a punii (sinusoidal)
ui tensiunea modulatoare i um tensiunea modulat
Prin rezistenele R1, ui polarizeaz n sens opus diodele Dv1 i Dv2, rezultnd
dezechilibrul punii n funcie de amplitudinea semnalului de intrare.
X0 reprezint reactana diodei varicap pentru tensiunea de intrare nul (U i=0).
Pentru semnale de polarizare mici:
X Dv 2 X 0

Ui
X0
n U 0

(1)

Dac Ui crete, reactana diodei Dv2 scade.


- U0 tensiunea de difuzie a jonciunii;
- n coeficient 2 jonciune abrupt
- 3 jonciune gradat
X Dv1 X 0

Um

Ui
X0
n U 0

U p x
Up

U i
2 X 0 2nU 0

Sensibilitatea demodulatorului este cu att mai mare cu ct Up este mai mare. Up se


limiteaz la 50 mV astfel nct curenii de redresare prin diodele varicap s rmn la
valori mici.Aceti cureni duc la reducerea impedanei de intrare a modulatorului i la un
decalaj de tensiune.
Pentru a exista o relaie liniar de tipul (1), U i e limitat la 2mV. Pentru reducerea
tensiunii de decalaj a modulatorului se impune mperecherea diodelor varicap ca valori de
capaciti i a coeficienilor de variaie cu temperatura.

27

Impedana de intrare 108 .


Tensiunea de decalaj poate fi redus la ordinul V.
3.3. Demodulatoare de msur considernd cazul modulaiei n amplitudine i
faz, demodulatorul utilizat este de tipul detector (redresor) sensibil la faz.

uma

Demodulator

ud

up

uma

up

ud

Figura 3.7.
Dac:

u p U p sin t

- semnalul purttor

u ma U ma sin t

- semnalul modulat n amplitudine i faz

Valoarea medie a tensiunii demodulate este:


u dm K U ma cos

28

up

t
uma

t
ud

Figura 3.8.
Pe alternana pozitiv a lui Up, Ud corespunde cu Uma i pe alternana negativ este
inversul lui uma.
Valoarea medie:
U dm

U
1
2
U ma sin t dt ma cos t |0 U ma cos

Structura unui redresor sensibil la faz este:

K1
ud

up

uma

K2

Figura 3.9.
Structura de principiu a demodulatorului cu diode n inel este:
29

up

uma

D1 D2
D D3

ud

Figura 3.10.
Pe o semialternan sunt deschise D 1 i D2 i pe cealalt D3 i D4. Pentru ca
demodulatorul s funcioneze corect trebuie ca Up > Uma.
3.4. Amplificatoare de izolare
3.4.1. Structura de principiu a unui amplificator de izolare
Amplificatorul de izolare are caracteristicile unui amplificator de msurare
(instrumental) i reprezint, n plus, izolare galvanic ntre intrare, ieire i sursa de
alimentare. Izolarea galvanic asigur protecia componentelor sistemului de achiziie i
control i a personalului de exploatare fa de tensiunile ridicate n mod comun ce pot s
apar n cadrul procesului fizic unde sunt plasate traductoarele de intrare. De asemenea,
izolarea galvanic permite ntreruperea buclelor de mas din circuitul de cuplare a sursei
de semnal, cu consecina reducerii perturbaiilor.
n fig.3.6, izolarea galvanic ntre intrare, ieire i sursa de alimentare este pus n
eviden prin utilizarea de simboluri de conectare la mas diferite pentru cele trei
componente din structura de principiu a amplificatorului de izolare.
n scopul izolrii galvanice, transferul semnalului de la amplificatorul de intrare la
amplificatorul de ieire se poate realiza prin cuplaj inductiv sau optic. Pentru cuplajul
inductiv se utilizeaz transformatoare miniaturale cu rspuns constant ntr-o band de 10 2
106 Hz i cu izolarea nfurrilor pentru tensiuni de 1 5 kV. Pentru cuplajul optic se
utilizeaz ansambluri de diode electroluminiscente cu fotodiode, sau cu fototranzistoare,
cu tensiuni de izolare de 1 2,5 kV. Prin intercalarea unui cablu optic ntre cele dou

30

elemente ale foto - cuplorului se pot obine tensiuni de izolare de ordinul 10 2 103 kV.
Cuplajul optic prezint o band de frecvene de lucru mai larg dect cuplajul inductiv,
fiind utilizat pentru amplificatoare de izolare de band mai larg. Cuplajul inductiv
permite obinerea unor amplificatoare de izolare cu liniaritate mai bun dect n cazul
utilizrii cuplajului optic.

Fig. 3.11. Structura de principiu a unui amplificator de izolare


Pentru transferul semnalului de la amplificatorul de intrare la amplificatorul de
ieire se utilizeaz:
- modulaia de impulsuri n durat, n cazul cuplajului inductiv sau optic;
- modulaia n amplitudine a unei purttoare sinusoidale, n cazul cuplajului
inductiv;
- modulaia de intensitate luminoas, n cazul cuplajului optic.
Izolarea galvanic ntre sursa de alimentare i intrare, respectiv ieirea,
amplificatorului de izolare se poate realiza prin utilizarea unui convertor curent continuu
- curent continuu.
3.4.2. Amplificator de izolare cu cuplaj optic i modulaie n intensitate
luminoas
Structura amplificatorului de izolare cu cuplaj optic din fig.3.7, cuprinde dou
circuite fotocuploare FC1 i FC2. Fiecare circuit fotocuplor este construit dintr-o diod
electroluminiscent i un fototranzistor. Fotocuplorul FC2 este utilizat pentru izolarea

31

galvanic ntre intrarea i ieirea amplificatorului, iar fotocuplorul FC1 este inclus n
bucla de reacie negativ a amplificatorului de intrare.

Fig. 3.12. Structura amplificatorului de izolare cu cuplaj optic


i modulaie n intensitate luminoas
n cele ce urmeaz se va deduce funcia de transfer a amplificatorului de izolare pe
baza ipotezei privind identitatea caracteristicilor celor dou fotocuploare. Din structura
amplificatorului, fig. 3.12, rezult:
I1

ui E1

,
R1 R2

( 3.1)
I2

ue E2

,
R4 R3

unde I1 i I2 reprezint curenii de colector corespunztori celor dou


fototranzistoare. Deoarece diodele electroluminiscente ale celor dou fotocuploare sunt
conectate n serie, rezult:
I1 I 2

( 3.2)

Din relaiile (3.1) i (3.2) se obine funcia de transfer a amplificatorului de izolare:

32

ue

E E
R4
u i R4 1 2
R1
R2 R3

(3.3)

Se constat c aceast funcie de transfer este liniar i independent de


caracteristicile fotocuploarelor, cu condiia referitoare la identitatea caracteristicilor celor
dou fotocuploare.
Obs n cazul cuplajului prin transformator care utilizeaz modulaia de impulsuri
n durat pentru transferul semnalului se poate utiliza amplificatorul de izolare integrat
BB3656.
3.5. Convertoare tensiune frecven
Convertoarele tensiune frecven se utilizeaz pentru conversia semnalelor
analogice n semnale numerice, ca o variant simpl cu performane deosebite referitoare
la rejecia prin integrate a perturbaiilor i la monotonicitatea caracteristicii de transfer.
De asemenea, informaia de frecven poate fi transmis la distan prin semnale
numerice seriale (semnale dreptunghiulare), practic imune la perturbaii, care pot trece
prin izolatoare galvanice fr a fi afectate de erori de frecven.
Structura de principiu a unui convertor tensiune frecven fig. 3.8.a, conine un
integrator realizat cu AO, un comparator, un circuit basculant monostabil i un generator
de curent de referin I r .
Tensiunea de intrare ui de polaritate (ui 0) este aplicat n permanen la intrarea
circuitului integrator i se consider constant n intervalul corespunztor unei perioade,
Te 1 / f e ,

a tensiunii u e de la ieirea convertorului. Aceast consideraie este aplicabil

n msura n care frecvena maxim din spectrul semnalului de intrare u i este mult mai
mic dect frecvena f e corespunztoare semnalului de ieire. Astfel, integrarea tensiunii
de intrare are ca rezultat variaia liniar i cu pant negativ a tensiunii u i de ieirea
integratorului., fig. 3.8.b. Cnd tensiunea ui scade sub nivelul masei, circuitul comparator
COMP comut i se declaneaz circuitul basculant monostabil n stare cvasistabil. Pe
durata t r a acestei stri se comand cuplarea comutatorului K i deci integrarea
curentului de referin I r . Deoarece
Ir

ui max
,
R

(3.4)

unde u i max este valoarea maxim a tensiunii u i i R rezistena integratorului,


fig.3.8.a, se obine variaia liniar cu pant pozitiv a tensiunii u i n intervalul t r .
Rezult funcionarea convertorului tensiune frecven conform diagramelor de timp din
fig. 3.8.b.
33

3.13. Convertor tensiune frecven


a) structura de principiu

Fig. 3.13. Convertor tensiune frecven


b) diagrame de timp
Pentru a deduce funcia de transfer a convertorului tensiune frecven se aplic
principiul conservrii sarcinii electrice la bornele capacitii integratorului n intervalul
corespunztor unei perioade Te a tensiunii de ieire u e . Rezult succesiv:

34

ui
Te I r t r ,
R

fe

1
ui .
R I r tr

(3.5)

(3.6 )

Relaia (3.6) reprezint funcia de transfer a convertorului tensiune frecven cu


structura din fig. 3.13.a.

CAPITOLUL 4
CONVERTOARE NUMERIC ANALOGICE
4.1. Codificri binare ale numerelor
35

Semnalul numeric de la intrarea unui convertor numeric analogic (CNA) este o


secven de variabile binare b1, b2, , bN . Valoarea zecimal corespunztoare acestei
secvene n codificare fracionar (CF) este
N

DCF bk 2 k ,
k 1

(4.1)
cu valori n domeniul (0 1-2-N).
Valoarea zecimal corespunztoare aceleiai secvene bk, k =1, 2, , N n cod
binar natural (CBN) este
N

DCBN bk 2 N k ,

(4.2)

k 1

cu valori n domeniul (0 2N-1). Din relaiile (4.1) i (4.2) se obine


DCBN 2 N DCF .

(4.3)

Codurile fracionar i binar natural sunt unipolare n sensul c pot fi utilizate


pentru reprezentare semnalelor de o singur polaritate. Pentru reprezentare semnalelor
de ambele polariti se utilizeaz coduri bipolare. Astfel, valoarea zecimal
corespunztoare unei secvene binare n cod binar deplasat (CBD) este
N

DCBD bk 2 N k 2 N 1 ,
k 1

(4.4)
cu valori n domeniul (-2N-1 0 2N-1-1).
Din relaiile (4.2) i (4.4) se obine
DCBD DCBN 2 N 1 ,

(4.5)
Valoarea zecimal a unei secvene binare n cod complementul lui doi (CCD) se
obine din valoarea corespunztoare codului binar deplasat, relaia (4.5), prin inversarea
valorii bitului b1,de semnificaie maxim, conform relaiei
N

DCCD bk 2 N k 1 b1 2 N 1 2 N 1 .
k 2

(4.6)
Din relaia (4.6) se obine valoarea zecimal a unei secvene binare n codul
complementul lui doi
N

DCCD bk 2 N k b1 2 N ,
k 1

cu valori n domeniul (-2N-1 0 2N-1-1). Din relaia (4.7) rezult

36

(4.7)

DCCD DCBN b1 2 N .

(4.8)
Valoarea zecimal a unei secvene bs, b1, b2, , bk , ,bN corespunztoare codului
cu semn i amplitudine (CSA) este
DCSA 1

1bs

bk 2 N k ,
k 1

(4.9)
cu valori n domeniul ( -(2N-1) 0 (2N-1) ).
Se consider frecvena bM,1, bM,2, bM,3, bM,4; ; bj,1, bj,2, bj,3, bj,4; ; b1,1, b1,2, b1,3,
b1,4 n reprezentare zecimal codificat binar (BCD). Astfel, n codul BCD fiecare rang
zecimal al unui numr este reprezentat cu patru bii n cod binar natural. Valoarea
zecimal a unei secvene n codul BCD este
N

j 1

k 1

DBCD 10 j 1 b j ,k 2 4 k ,

(4.10)
cu valori n domeniul (0 10M-1).
4.2. Caracteristici ale convertoarelor numeric analogice
Un convertor numeric analogic are la intrare un semnal numeric si exprimat printro secven de variabile binare bk, k =1, 2, , N i d la ieire un semnal analogic se
(curent sau tensiune) funcie de valoarea numeric a semnalului de intrare, n concordan
cu codul utilizat. Structura unui CNA cuprinde: circuite pentru generarea tensiunii sau
curentului de referin, comutatoare electronice comandate de biii semnalului de intrare,
reea din rezistene de precizie cu valori ponderate i circuit de nsumare a curenilor
ponderai.
Principalele caracteristici ale convertoarelor numeric analogice, pe baza crora se
alege un CNA pentru o aplicaie, sunt: codul semnalului de intrare, rezoluia, precizia,
viteza, stabilitatea cu temperatura, natura i domeniul semnalului de ieire, cerinele
privind referina i consumul de putere.
Funcia de transfer a unui CNA liniar i unipolar este dat prin relaia
s e K U r DCF

(4.11)

unde K este o constant,Ur este tensiunea de referin i DCF este valoarea numeric a
secvenei de intrare bk, k = 1, 2, , N n codificare fracionar. Bitul b1 reprezint bitul
de semnificaie maxim (MSB, Most Significant Bit), iar bN reprezint bitul de
semnificaie minim (LSB, Least Significant Bit).
Din relaiile (4.3) i (4.11) se obine
37

se

K U r
DCBN ,
2N

(4.12)

unde DCBN este valoarea numeric a secvenei de intrare corespunztoare codului binar
natural.
Mrimea KUr din relaiile (4.11) i (4.12) reprezint intervalul de variaie a
semnalului de ieire (FSR, Full-Scale Range) i are valori tipice de: 2,5 V; 5 V;10 V sau 2
mA.
Se consider c semnalul de ieire al CNA este curent, situaie n care constanta K
are dimensiunea 1/. Rezult funcia de transfer a unui CNA sub forma dat de relaia
U
I e N r DCBN ,
(4.13)
2 R
unde Ie este curentul de ieire a CNA i R este o rezisten de referin.
Se pune problema de a obine, pe baza unui CNA unipolar cu funcia de transfer
conform relaiei (4.13), un CNA bipolar corespunztor codului binar deplasat. Pentru
deducerea matematic a soluiei acestei probleme se utilizeaz relaiile (4.5) i (4.13), din
care rezult succesiv
U
I e N r DCBD 2 N 1 ,
2 R
U
U
I e r N r DCBD .
(4.14)
2 R 2 R
Astfel, pentru a obine un CNA bipolar corespunztor codului binar deplasat, este
necesar utilizarea unui circuit, figura 4.1, care genereaz curentul de ieire Ie, conform
relaiei:
I 'e I e

Ur
,
2 R

(4.15)
R

CNA
UNIPOLAR

(CBD)

AO
+

/2R

R
2R
U

Fig.4.1. CNA bipolar corespunztor codului binar deplasat

38

n figura 4.1 s-a notat cu Ir curentul de referin, dat prin relaia


Ir

Ur
.
R

(4.16)

Tensiunea Ue de la ieirea CNA bipolar, figura 4.1, este


U e R1 I 'e

(4.17)

Din relaiile (4.14), (4.15) i (4.17) rezult funcia de transfer


R U
U e 1N r DCBD ,
(4.18)
2 R
care corespunde unui CNA bipolar cu semnal de intrare n cod binar deplasat.
Se pune problema de a obine, pe baza unui CNA unipolar cu funcia de transfer
conform relaiei (4.13), un CNA bipolar corespunztor codului complementul lui doi.
Pentru deducerea matematic a soluiei acestei probleme se utilizeaz relaiile (4.8) i
(4.13), din care rezult succesiv
U
I e N r DCCD b1 2 N ,
2 R
U
U
I e b1 r N r DCCD .
(4.19)
R 2 R
Astfel, pentru a obine un CNA bipolar corespunztor codului complementul lui
doi este necesar utilizarea unui circuit, figura 4.2, care genereaz curentul Ie, conform
relaiei
I "e

b
1

(4.20)
R

CNA
UNIPOLAR

(CCD)
I

AO
+

b1 Ur /R

b1

K
U

Fig.4.2. CNA bipolar corespunztor codului complementul lui doi

39

U r
R

Starea comutatorului K din figura 4.2 este comandat de bitul b1 al semnalului de


intrare. Tensiunea Ue de la ieirea CNA bipolar, figura 4.2, este

U e R1 I "e

(4.21)
Din relaiile (4.19), (4.20) i (4.21) rezult funcia de transfer
R U
U e 1N r DCCD ,
(4.22)
2 R
care corespunde unui CNA bipolar cu semnal de intrare n cod complementul lui doi.
Convertorul numeric analogic de tipul cu ieiri complementare (de curent) prezint
un curent de ieire Ie de valoare exprimat prin relaia (4.13) i un curent de ieire
complementar Iec de valoare exprimat prin relaia
U
I ec N r 2 N DCBN .
(4.23)
2 R
Acest convertor poate fi conectat, conform figurii 4.3, pentru a obine un CNA
bipolar corespunztor codului binar deplasat.
E
R

I
CNA CU IEIRI
COMPLEMENTARE

(CBD)

R
U

ec

Fig.4.3. Conectare unui CNA cu ieiri complementare n configuraie bipolar


Tensiunea de ieire Ue, figura 4.3, este
U e R1 I e I ec .

Din relaiile (4.13), (4.23) i (4.24) se obine


2 R U
U e N1 r D CBN 2 N 1 .
2 R
Avnd n vedere relaia (4.5), rezult
R U
U e N1 1 r DCBD .
2 R

40

(4.24)

(4.25)

(4.26)

Relaia (4.26) reprezint funcia de transfer a CNA bipolar corespunztor codului


binar deplasat.
Convertorul numeric analogic care i pstreaz caracteristicile referitoare la erori,
pentru un semnal de referin Ur variabil ntr-un anumit domeniu, se numete CNA
multiplicator, n sensul c semnalul de la ieirea convertorului este funcie liniar de
produsul ntre semnalul de referin Ur i semnalul numeric de intrare s1, cu valoarea
numeric D.
Rezoluia unui CNA este dat de numrul N de bii care compun secvena de
intrare. Se definete mrimea LSB ca variaia minim a valorii semnalului de ieire,
variaie care se obine pentru modificarea semnalului de intrare ntre dou valori
succesive corespunztoare secvenei (de exemplu: comutarea de la 0 la 1 numai a bitului
de semnificaie minim). Rezult
1LSB

FSR K U r

.
2N
2N

(4.27)

Pentru un CNA cu ieire de curent i funcie de transfer conform relaiei (4.13), se


obine
1LSB

Ur
.
2N R

(4.28)
Rezoluia unui CNA este dat de numrul N de bii care compun secvena de
intrare i poate fi exprimat prin valoarea mrimii 1LSB.
Precizia (eroarea) absolut a unui CNA este dat de diferena ntre valoarea real
(msurat) a semnalului de ieire corespunztoare unei secvene de intrare i valoarea
ideal, calculat pe baza funciei de transfer a CNA pentru aceeai secven de intrare.
Eroarea absolut include erorile de ctig, decalaj, neliniaritate, precum i derivele
acestora.
Neliniaritatea integral (INL) a unui CNA este dat de diferena maxim ntre
valorile corespunztoare rezultate din funcia de transfer liniar care trece prin punctele
extreme ale caracteristicii reale. Aceste puncte extreme se obin pentru secvenele de
intrare 00...0 i 111, n cazul codurilor binar natural deplasat. n cazul codului
complementul lui doi punctele extreme se obin pentru secvenele de intrare 1000 i
0111.
Neliniaritatea diferenial (DNL) a unui CNA este dat de diferena maxim fa
de variaiile de 1LSB ale semnalului de la ieire corespunztor variaiilor ntre dou valori
succesive ale secvenei de intrare. O neliniaritate diferenial mai mare de 1LSB
conduce la o comportare nemonoton a CNA (la creterea ntre dou valori succesive ale
41

secvenei de intrare se obine scderea valorii semnalului de ieire). Utilizarea unui CNA
nemonoton ntr-un sistem de achiziie i control poate conduce la instabilitate.
Pentru a exemplifica modul de definire a erorilor de neliniaritate integral i
diferenial, se consider un CNA de trei bii cu funcia de transfer prezentat n figura
4.4. n aceeai figur este indicat funcia de transfer liniar construit ntre punctele
extreme ale caracteristicii reale. Alturi de figur sunt precizate valorile erorilor de
neliniaritate integral i diferenial corespunztoare valorilor secvenei de intrare,
respectiv tranziiilor ntre aceste valori.
Erorile de decalaj, ctig i neliniaritate ale unui CNA trebuie precizate prin valori
maxime corespunztoare domeniului admis de temperaturi de lucru i domeniul de
variaie permis pentru tensiunea de alimentare.
Cel mai important parametru care caracterizeaz comportarea dinamic a unui
CNA este timpul de stabilizare definit ca intervalul ntre momentul modificrii secvenei
de intrare i momentul stabilizrii cu o eroare dat a ieirii CNA la nivelul corespunztor
intrrii. n mod uzual, timpul de stabilizare este precizat pentru o modificare maxim a
semnalului de la ieirea CNA i pentru o eroare de 0,5LSB fa de valoarea final
(stabilizat) a semnalului de ieire, figura 4.5. Timpul de stabilizare apare datorit
ntrzierii la acionare a comutatoarelor din structura CNA, datorit vitezei finite de
variaie a semnalului de ieire, precum i datorit procesului tranzitoriu cauzat de
capacitile i inductanele parazite din structura CNA. Valorile uzuale ale timpului de
stabilizare sunt cuprinse ntre 0,1 s i 10 s.
U [LSB]

S [b b b

(FSR) 8
FUNCIA DE TRANSFER
LINIAR

7
6
5
4
3
2

FUNCIA DE TRANSFER
REAL

1
0
000

001

010

011

100

101

110

111

S
i
[b b b ]
1 2 3

1 2 3

000
000001
001
001010
010
010011
011
011100
100
100101
101
101110
110
110111
111

eroare
(INL sau DNL)
INL = 0
DNL = 0
INL = 0
DNL = 0,5LSB
INL = 0,5LSB
DNL = +1LSB
INL = +0,5LSB
DNL = 1,5LSB
INL = 1LSB
DNL = +1,5LSB
INL = +0,5LSB
DNL = 1LSB
INL = 0,5LSB
DNL = +0,5LSB
INL = 0

Fig.4.4. Erori de neliniaritate integral i diferenial pentru un CNA de trei bii

42

(b

t
S

FSR1LSB
1LSB

t
TIMP DE STABILIZARE

Fig.4.5. Msurarea timpului de stabilizare pentru un CNA.


Pentru convertoarele numeric analogice de vitez mare, prezint o importan
deosebit impulsurile tranzitorii care apar la ieire (glitches), n special la tranziii majore
ale secvenei de intrare 0111 1000 . Aceste impulsuri caracteristice comportrii
dinamice a CNA sunt exprimate prin produsul Vns, pentru ieiri de tensiune i prin
produsul mAns, pentru ieiri de curent. Prezena impulsurilor tranzitorii reprezint un
fapt pronunat negativ n cazul utilizrii semnalului de la ieirea CNA pentru afiare pe
tub cinescop. Filtrarea semnalului de la ieirea CNA conduce la integrarea impulsurilor
tranzitorii i deci la distorsionarea mai multor trepte urmtoare ale ieirii. Reducerea
acestor impulsuri tranzitorii se poate obine prin comutarea sincron a biilor de la
intrarea CNA utiliznd un registru tampon. Efectul impulsurilor tranzitorii poate fi
eliminat prin conectarea la ieirea CNA a unui circuit de eantionare i memorare. Astfel,
comenzile de eantionare se dau numai dup anularea impulsurilor tranzitorii i
comenzile de memorare se dau nainte de comutarea biilor de intrare.
4.3. Principii de construcie a convertoarelor numeric - analogice
Problemele care apar n construcia unui CNA constau n generarea unor cureni cu
valori ponderate binar i nsumarea lor n funcie de secvena de intrare. Pentru generarea
curenilor se poate utiliza un set de rezistene cu valori ponderate binar sau o reea de
rezistene R 2R.

43

4.3.1. CNA cu reea de rezistene cu valori ponderate binar


Structura acestui convertor este prezentat n figura 4.6. de mai jos:

R1

21R

22R

AO
+

2NR

-Ur

Fig. 4.6. Structura de principiu a unui CNA cu reea de rezistene


Curenii Ik, k = 1, ... , N corespunztori biilor b k din secvena de intrare, conform
relaiei:
I k bk

Ur
2k R

(4.29)

Aceti cureni cu valori ponderate binar se nsumeaz pentru a se obine curentul


de la ieire:
N

I e I k bk
k 1

k 1

Ur
U
r
k
2 R R

b
k 1

2 k

(4.30)

Tensiunea de la ieirea amplificatorului operaional va fi:


Ue

R1 U r N
bk 2 k
R
k 1

(4.31)

Funcia de transfer a CNA este:


Ue

R1 U r
DCBN
R 2N

(4.32)

CNA cu reea de rezistene cu valori ponderate este o variant constructiv simpl


dar necesit o gam larg de valori de rezistene dificil de realizat cu precizie ridicat.

4.3.2. CNA cu reea de rezistene R 2R


44

Structura CNA cu reea R-2R, figura 4.7, cuprinde o reea de rezistene a cror
valori sunt R i 2R.
Rezistena echivalent a reelei R-2R, care ncarc sursa de tensiune de rezistena
Ur este egal cu R, figura 4.7.
Rezult curentul de referin:
Ur
,
R

Ir

(4.33)

Acest curent de referin se divide succesiv cu doi n nodurile reelei. Se obin


curenii Ik , k = 1, 2, , N, corespunztori comutatoarelor Kk , conform relaiei:
I
I k rk ,
(4.34)
2
Curentul de ieire Ie este funcie de biii bk din secvena de intrare i este dat de
relaia:
I e bk I k
b

(4.35)
R1

N-1

AO
+
K

2R

2R

R I2

2R
R
I

N-1

2R
R

2R

R IN

N-1

2R

N-1

Fig.4.7. Structura de principiu a unui CNA cu reea de rezistene de tipul R-2R


Din relaiile (4.33), (4.34) i (4.35) rezult :
U
U
I e bk k r r bk 2 k ,
2 R R
Din relaia (4.36) rezult funcia de transfer a CNA :

(4.36)

Ue

R1 U r
DCBN
2N R

(4.37)
Convertorul numeric analogic cu reea de rezistene de tipul R-2R nu prezint
dezavantajul variantei de convertor cu reea de rezistene cu valori ponderate. Astfel,
reeaua R-2R conine numai dou valori de rezistene care pot fi realizate n condiie de

45

precizie ridicat i care sunt mult mai mari dect rezistenele comutatoarelor n stare de
conducie.
4.4. Convertorul numeric analogic DAC 08
DAC 08 este un circuit integrat monolitic cu funcia de CNA de 8 bii, cu ieiri de
curent complementare i de tipul multiplicator. Astfel, la utilizarea circuitului DAC 08 n
aplicaii de CNA multiplicator, tensiunea de referin poate fi modificat n domeniul 1 la
40, cu respectarea condiiei de monotonie. Timpul de stabilizare are valoarea tipic de 0,1
s. Circuitul DAC 08 este alimentat cu dou tensiuni continue de valori n intervalul 4,5
V 18 V. Cele dou tensiuni de alimentare pot fi i nesimetrice.
Intrrile bk, k =1, 2, , 8 ale circuitului DAC 08 pot fi comandate cu semnale
logice corespunztoare diferitelor familii de circuite logice, prin stabilirea valorii
tensiunii de control prag logic aplicat la conexiunea VLC a convertorului. Aceast
tensiune de control se calculeaz cu relaia
VLC V

VH min VL max
1,4 ,
2

(4.38)
unde VHmin i VLmax sunt tensiunile de ieire minim pentru nivelul logic 1, respectiv
maxim pentru nivelul logic 0, corespunztoare unei familii de circuite logice. n cazul
semnalelor de intrare TTL se obine VLC = 0. Tensiunile de la cele dou ieiri
complementare Ie i Iec ale convertorului DAC 08 sunt limitate n domeniul
I r mA 10 3 2,5 V V U V 18 .

46

(4.39)

(MSB)
b

CIRCUIT
DE
POLARIZARE

(LSB)
b

INTERFA PENTRU COMANDA COMUTATOARELOR DE CURENT

ec

REF

REF +

+
V

AMPL.
REF.

2R

2R

R I2

COMP

2R
R

2R

2R

R I7

K
8

8
8

2R

Fig.4.8. Structura intern a convertorului numeric analogic DAC 08.


Convertorul numeric analogic DAC 08 necesit tensiune de referin extern Ur,
conectat printr-o rezisten Rr la intrarea VREF+ a circuitului, figura 4.8. De asemenea, se
conecteaz o rezisten de valoarea Rr ntre intrarea VREF a circuitului i mas. Astfel, se
reduc erorile datorate derivei curenilor de polarizare de la intrrile amplificatorului de
referin, erori care afecteaz curentul de referin Ir. Valoarea acestui curent se obine din
relaia
Ir

Ur
.
Rr

(4.40)

La bornele rezistenei R, conectat la emitorul tranzistorului T, rezult o tensiune


care alimenteaz reeaua R-2R. Deoarece potenialele emitoarelor tranzistoarelor T1, T2 ,
, T8 sunt egale cu potenialul emitorului tranzistorului T, rezult divizarea cu doi a
curenilor n nodurile reelei. Se obine
I
I k rk , k=1, 2, , 8 .
(4.41)
2
Rezult
8

k 1

k 1

I e bk I k I r bk 2 k ,

47

(4.42)

unde Ir este curentul de referin de valoare dat prin relaia (4.39). Valoarea curentului de
ieire complementar Iec se obine conform relaiilor
8

k 1

k 1

k 1

I ec I r 1 bk 2 k I r 2 k I r bk 2 k ,
I ec
S

255
Ir Ie .
256

(4.43)

R
+10 V
U

5k

V
5k
R

REF+

5k
C

0.1

REF

AO
+

DAC 08
COMP

I
LC

ec

R
5k

10n

0.1
15 V

+15 V

Fig.4.9. Conectarea convertorului numeric analogic DAC 08


n configuraie unipolar
n figura 4.9 se prezint conectarea convertorului numeric analogic DAC 08
pentru semnalele de intrare TTL (VLC = 0 V) i ieire de tensiune unipolar, prin utilizarea
amplificatorului operaional AO. Pe baza structurii circuitului de conectare i a relaiilor
prezentate mai sus, se obin mrimile caracteristice convertorului numeric analogic.
Astfel, curentul de referin este
Ir

Ur
2 mA .
Rr

Pentru ieirea de curent Ie se obine:


FSR I r 2 mA ;

1LSB
I e max

Ir
7,8 mA ;
28
255 I r
1,992 mA.
256

Pentru ieirea de tensiune Ue se obine:


FSR I r R 10 V ;

1LSB

Ir R
39 mV ;
28

U e max 9,961

48

V.

CAPITOLUL 5
CONVERTOARE ANALOG NUMERICE
5.1. Caracteristici ale convertoarelor analog numerice
Un convertor analog numeric are la intrare un semnal analogic si (curent sau
tensiune) i furnizeaz la ieire un semnal numeric se de valoare funcie de mrimea
semnalului analogic de intrare.
Astfel, funcia de transfer a unui CAN cu intrare de tensiune , ui este :
D

K
ui ,
Ur

( 5.1)

unde D este valoarea numeric a semnalului de ieire, Ur este tensiune de referin , iar K
este o constant adimensional. Pentru K = 1, funcia de transfer a unui CAN liniar i
unipolar este dat prin relaia :
N

DCF bk 2 k
k 1

1
ui ,
Ur

(5.2)

unde N este numrul de variabile binare care compun secvena de ieire (numrul de bii
cu ai convertorului analog numeric), b1 este bitul de semnificaie maxim (MSB) i bN
este bitul de semnificaie minim (LSB).
n relaia (5.2), tensiunea de referin Ur reprezint, de asemenea , intervalul de
variaie a semnalului de intrare (FSR,Full-Scale Range), cu valoare tipic de 10 V. Se
definete mrimea LSB ca variaia minim a valorii tensiunii de intrare ui care produce
dou tranziii succesive ale secvenei de ieire bk , k = 1,2,,N. Rezult :
1LSB

FSR
2N

(5.3)
Rezoluia unui CAN este dat de numrul N de bii care compun secvena de ieire
i poate fi exprimat prin valoarea mrimii 1LSB.
Deoarece semnalul de intrare ui este continual, iar semnalul de ieire are valori
discrete DCF, rezult c relaia de egalitate din (5.2) este exact pentru 2N valori
particulare ale tensiunii de intrare (decalate la intervale de 1LSB) i aproximativ pentru
toate celelalte valori ale tensiunii de intrare. Rezult erori de aproximare care se numesc
erori de cuantizare i au valorile cuprinse n intervalul 0,5 LSB , pentru caracteristica de
transfer a CAN conform figurii 5.1.
Erorile de cuantizare pot fi exprimate prin relaiile:

49

e u i U r bk 2 k [V ],
k 1

N
2N
u i bk 2 N k [ LSB ],
Ur
k 1

(5.4)
(5.5)

unde biii bk sunt corelai cu tensiunea de intrare ui , n concordan cu figura 5.1.

Fig.5.1. Caracteristica de transfer a unui CAN unipolar


Dac semnalul de intrare a unui CAN poate fi de ambele polariti , n intervalul
de variaie FSR (de la FSR /2 la + FSR/2, cu valori tipice de 5 V i 10 V ),
convertorul analog numeric se numete bipolar. Caracteristica de transfer a unui CAN
bipolar este prezentat n figura 5.2 i corespunde unei ieiri n cod binar deplasat. Pentru
ieire n codul complementul lui doi este necesar inversarea valorii logice a bitului de
semnificaie maxim b1.
n principiu, un CAN bipolar se poate obine dintr-un CAN unipolar prin
introducerea unui decalaj la intrare de FSR/2.

50

Se [bk]
11...111
11...110

-2N-1
-(FSR/2)

1-2N-1 2-2N-1

10...010
10...001
10...000
-2

ui

-1 0 1 2
01...111

2N-1-2 2N-1-1 2N-1 [LSB]

01...110

(FSR/2)

00...010
00...001
00...000

Fig.5.2. Caracteristica de transfer a unui CAN bipolar


Precizia (eroarea) total a unui CAN este dat de diferenele ntre caracteristica
real a convertorului i caracteristica ideal, fig.5.1 sau fig.5.2. Eroarea total include
erorile de ctig, decalaj, neliniaritate, precum i derivatele acestora. Etalonarea unui
CAN const n calibrarea decalajului , urmat de calibrarea ctigului. Pentru un CAN
unipolar, calibrarea decalajului se realizeaz astfel nct prima tranziie (00000 00
001) a secvenei de ieire s corespund unei tensiuni de intrare de 0,5 LSB. Calibrarea
ctigului se realizeaz astfel nct ultima tranziie (11110 11111) a secvenei de
ieire s corespund tensiunii de intrare de FSR 1,5 LSB , figura 5.1. Pentru un CAN
bipolar n cod binar deplasat , calibrarea decalajului se realizeaz astfel nct tranziia
(10000 10001) a secvenei de ieire s corespund unei tensiuni de intrare de 0,5
LSB. Calibrarea ctigului se realizeaz astfel nct tranziia (11110 11111) a
secvenei de ieire s corespund unei tensiuni de intrare de FSR/2 1,5 LSB.
Caracteristicile de neliniaritate integral i diferenial corespunztoare unui CAN se
definesc n mod similar cu cazul unui CNA. Erorile de neliniaritate se exprim n
procente din FSR sau n uniti LSB.
Timpul de apertur al unui CAN reprezint intervalul n care convertorul
eantioneaz (utilizeaz) semnalul de intrare pentru efectuarea unei conversii. Timpul de
conversie al unui CAN reprezint intervalul ntre momentul declanrii unui proces de
conversie i momentul stabilizrii secvenei de ieire coninnd rezultatul conversiei.

51

Timpul de apertur este egal cu timpul de conversie n cazul unui CAN cu aproximaii
succesive i este mai mic dect timpul de conversie n cazul unui CAN cu integrare.
O alt caracteristic a unui CAN este impedana de intrare.
5.2. Principii de construcie a convertoarelor analog numerice
5.2.1. Convertor analog numaric cu comparare de tip paralel
Se precizeaz c un circuit comparator este un CAN paralel de un bit. n acest
sens, la cele dou intrri ale comparatorului se aplic tensiunea de intrare i respectiv
tensiunea de referin (divizat). Ieirea comparatorului reprezint bitul bi corespunztor
semnalului numeric de ieire.
Pentru realizarea unui CAN paralel de N bii, este necesar utilizarea unui numr
de 2N-1 comparatoare care s detecteze poziia semnalului de intrare ui fa de valorile la
care apar tranziii n secvena de ieire, figura 5.1 i figura 5.2. Pentru un CAN unipolar,
aceste valori sunt :
1

U ci i LSB , i 1,2,...,2 N 1
2

(5.6)

Avnd n vedere funcia de transfer (5.2) a CAN i relaia (5.3) se obine :


FSR = U r

(5.7)

i :
1LSB

FSR U r
N
2N
2

(5.8)
Din relaiile (5.6) i (5.8) rezult valorile tensiunilor care se conecteaz la cte una
din intrrile celor 2N 1 comparatoare din structura CAN paralel unipolar :
1 U

U ci i Nr , i 1,2,...,2 N 1
2 2

(5.9)

Aceste tensiuni pot fi obinute prin divizarea tensiunii de referin, conform


structurii de CAN paralel din figura 5.3. La celelalte intrri ale comparatoarelor se
conecteaz tensiunea de intrare ui. Ieirile comparatoarelor se aplic la intrarea unui
decodificator pentru a obine cei N bii corespunztori secvenei de la ieirea CAN .

52

ui
Ir

Ur

1,5R

C2N -1

UC2N-1
R

C2N -2

b2

N
C2 -2

.
.
.
R

DECODIFICATOR

.
.
.

Ci

Uci

.
.
.
R

b1

bj

.
.
.
bN

C1

Uci
0,5R

Fig. 5.3. Structura de principiu a unui CAN cu comparare de tip paralel


Convertoarele analog numerice cu comparare de tip paralel se caracterizeaz prin
valori foarte reduse ale timpilor de conversie ( zeci de ns), ca urmare a structurii de
principiu de tip combinaional a unui astfel de convertor , dar necesit un numr mare de
componente electronice ( de exemplu : 255 de comparatoare pentru un CAN de 8 bii).

53

5.2.2. Convertor analog numaric cu comparare de tip serie


Principiul de funcionare a unui CAN cu aproximaii succesive (serie) rezult din
funcia de transfer
N

u i U r bk 2 k

(5.10)

k 1

care poate fi scris:

...U U
i

b1 2 1 U r b2 2 2 ... U r bN 2 N 0

(5.11)

n relaia (5.11) fiecare termen dintre dou paranteze corespunztoare reprezint


eroarea de cuantizare la conversia analog numeric cu 1 bit a tensiunilor:
u ik u i ,k 1 u r bk 1 2 k 1

cu

(5.12)
u i1 u i

Deoarece o conversie analog numeric cu 1 bit se face cu un comparator, rezult


posibilitatea realizrii unui convertor analog numeric de N bii prin utilizarea a N
comparatoare sau prin utilizarea succesiv a unui singur comparator pentru obinerea
celor N bii. Aceast ultim soluie constructiv st la baza realizrii convertorului cu
aproximaii succesive , figura 5.4.
Biii bk , k = 1,2,,N ai rezultatului unei conversii analog numerice se obin n
ordine succesiv, ncepnd cu bitul b1 de semnificaie maxim . Fiecare bit bk se obine
ntr-o perioad a semnalului u0 , figura 5.4 , ca urmare a unei comparri i este memorat
n registrul de aproximaii succesive. Acest registru comand intrrile bk , k = 1,2,,N
ale CNA pentru obinerea succesiv a tensiunilor de comparare :

k 1

u ck U r

b
j 1

2 j 2 k , k 1, 2, ... , N

(5.13)

Astfel, tensiunea de comparare uck, utilizat pentru stabilirea bitului k al


rezultatului conversiei analog numerice, se formeaz pe baza biilor bj , j = 1,2,,k-1
stabilii anterior.

54

ui

u0

REGISTRU DE
APROXIMAII SUCCESIVE

GENERATOR DE
IMPULSURI
b1

.
.
.
...

uc

...

CNA
a)

.
.
.

b2

bk

bN

Ur

u0N
t
b1
t
b2
t
bk

b)

Fig. 5.4. CAN cu aproximaii succesive :


a structura de principiu ; b funcionarea pe baz de diagrame de timp t.
Timpul de conversie al unui CAN cu aproximaii succesive este NT0 , unde T0 este
perioada
tensiunii u0 dat de generatorul de impulsuri. Acest timp de conversie este mai
bN
mare dect n cazul CAN paralel sau serie-paralel i are valori de ordinul a 10 s pentru
N = 12 bii. Principiul de conversie cu aproximaii succesive este utilizat n mod tdeosebit
la realizarea convertoarelor analog numerice, avnd n vedere structura relativ simpl i
valorile reduse ale timpilor de conversie n comparaie cu CAN de integrare.

55

5.2.3. Convertor analog numaric cu integrare n dou pante


Conversia analog numeric prin integrare n dou pante se bazeaz pe integrarea
tensiunii de intrare ui, un interval de timp constant tr, urmat de integrarea tensiunii de
referin Ur de polaritate opus tensiunii Ui, un interval de timp tx necesar anulrii
efectului de integrare a tensiunii de intrare de intrare ui .
Din diagrama de timp , figura 3.6.a, se constat c integrarea tensiunii de referin
dureaz pn cnd tensiunea u1 de la ieirea integratorului ajunge la valoarea din
momentul nceputului integrrii tensiunii de intrare ui . Din principiul conservrii sarcinii
electrice rezult succesiv :
ui
U
tR r tx ,
R
R

(5.14)

tr
ui .
Ur

(5.15)

tx

Relaia (5.15) indic obinerea unei conversii tensiune timp, pe baza unei funcii
de transfer liniare. Conversia analog numeric se realizeaz prin numrare de impulsuri
cu frecven fix f0 n intervalul de timp tx . Rezult :
tx D / f0 ,

(5.16)

unde D este valoarea numeric a rezultatului conversiei analog numerice , egal cu


numrul de impulsuri contorizate n intervalul tx . Din relaiile (5.15) i (5.16) se obine :
D

tr f 0
ui .
Ur

(5.17)

Mrimile tr i f0 care intervin n funcia de transfer, relaia (5.17), reprezint


mrimi de referin ale cror erori afecteaz nemijlocit rezultatul conversiei. Acest fapt
poate fi evitat dac intervalul de timp tr este generat prin contorizarea unui numr dat Nr
de impulsuri cu frecvena f0, conform relaiei:
tr

Nr
.
f0

(5.18)

Din relaiile (5.17) i (5.18) rezult funcia de transfer a CAN cu integrare n dou
pante :
D'

Nr
ui .
Ur

(5.19)

n relaiile (5.16) i (5.18) frecvena f0 est aceeai, considernd posibil generarea


de impulsuri cu frecven practic constant pe durata unui proces de conversie.
UI

tx

tr

Ui

56

Ur

a)
K2
ui
K

R
AO

UI
COMP

-Ur

NUMRTOR

DISPOZITIV
DE
COMAND

b)

U0
(f0)

GENERATOR
DE
IMPULSURI

Fig. 5.5. CAN cu integrare n dou pante :


a diagrama de timp a integrrii n dou pante ; b structura de principiu.
Structura CAN cu integrare n dou pante cu funcionare conform principiului
expus mai sus este prezentat n figura 5.5.b. Un proces de conversie analog numeric
este iniiat de dispozitivul de comand care trece comutatorul K n poziia tensiunii ui ,
anuleaz coninutul numrtorului i permite accesul de impulsuri cu frecvena f0 la
intrarea numrtorului. Coninutul numrtorului este incrementat, pentru fiecare impuls
cu frecvena f0 , pn la capacitatea maxim Nmax i apoi este anulat de urmtorul impuls.
Momentul anulrii coninutului numrtorului este transmis dispozitivului de comand
prin frontul de comutare din 1 n 0 logic al bitului de semnificaie maxim a
numrtorului. n acest moment, dispozitivul de comand trece comutatorul K n poziia
corespunztoare integrrii tensiunii de referin, - Ur. Astfel, intervalul de integrare a
tensiunii de intrare este :

57

tr

N max 1
f0

(5.20)

Din relaiile (5.18) i (5.20) rezult :


N r N max 1

(5.21)

Pe durata integrrii tensiunii de referin, dispozitivul de comand menine accesul


impulsurilor cu frecvena f0 la intrarea numrtorului. Integrarea tensiunii de referin se
desfoar pn cnd circuitul comparator sesizeaz la ieirea integratorului nivelul de
tensiune existent n momentul declanrii procesului de conversie (n aplicaii, acest nivel
este, de regul, nivelul masei 0 V, figura 5.5.b). Circuitul comparator transmite informaia
privind sfritul integrrii tensiunii de referin la dispozitivul de comand, care
blocheaz accesul impulsurilor de frecven f0 la numrtor. n acest moment, coninutul
numrtorului reprezint rezultatul conversiei analog numerice, conform relaiilor (5.17)
i (5.19):
D

N max 1
ui .
Ur

(5.22)

Se precizeaz c intervalul de timp tr se alege multiplu al perioadei reelei, pentru


rejecia prin integrare a tensiunilor perturbatoare cu frecvena reelei care afecteaz
tensiunea de intrare ui .

5.2.4. Convertor analog numaric cu integrare n trei pante


Reducerea timpului de conversie pentru un CAN cu integrare se poate obine
numai prin reducerea timpului de integrare a tensiunii de referin deoarece intervalul de
timp de integrare a tensiunii de intrare este limitat inferior la valoarea 20 ms, astfel nct
s se realizeze rejecia tensiunilor perturbatoare cu frecvena reelei.
n cazul unui CAN cu integrare n dou pante, reducerea intervalului de integrare a
tensiunii de referin se poate obine prin mrirea curentului de referin corespunztor
(de exemplu prin utilizarea unei rezistene R/K numai n intervalul de integrare a
tensiunii Ur). n acest caz aceast modificare este echivalent cu utilizarea unei tensiuni
de referin de valoare KUr.
58

tx

tr
ui
k U r

(5.23)

Nr
u i
k U r

(5.24)

Fapt care indic reducerea de k ori a intervalului t x respectiv a valorii numerice a


rezultatului conversiei.
Rezult c reducea timpului de conversie s-a obinut cu preul reducerii de k ori a
rezoluiei analog numerice. Integrarea n trei pante permite reducerea timpului de
conversie fa de cazul integrrii n dou pante i meninerea rezoluiei conversiei.
Un proces de conversie analog numeric cu integrare n trei pante se realizeaz
prin integrarea curentului ui / R un interval de timp constant t r, integrarea curentului
kU r
Ur
un interval de timp tx1 i integrarea curentului
un interval de timp tx2.
R
R

tx2

UI

tr

tx

tx2
t

Ui

Ur

kU r
R
R

59

ui

R
K1 K2
R/k

-Ur

A0
+

C1

UI

C2

-Uc

Numrtor

Disp. command

U0
f0

Generator de
impulsuri

Fig. 5.6. CAN cu integrare n trei pante :


a diagrama de timp a integrrii n trei pante ; b structura de principiu.
Din principiul conservrii sarcinii electrice, se obine:
ui
k U r
U
tr
t x1 r t x 2
R
R
R

(5.25)

Intervalul de timp tr este generat prin contorizarea unui numr dat N r de impulsuri
cu frecvena f0, conform relaiei:
tr

Nr
f0

(5.26)

n intervalul tx1 i tx2 se numr de asemenea impulsuri cu frecvena f0, obinnduse:


t x1

N x1
f0

(5.27)

t x2

N x2
f0

(5.28)

Dac rezultatul conversiei analog numerice cu integrare n trei pante se noteaz:


D k N x1 N x 2

se observ c funcia de transfer obinut este identic cu

funcia de transfer corespunztoare convertorului cu integrare n dou pante.


60

n cazul acestui convertor, intervalul corespunztor integrrii tensiunii de referin


este:
t x1 t x 2 N x1 N x 2

tx

1
mai mic dect
f0

D k N x1 N x 2

corespunztor integrrii n dou pante.


f0
f0

Pentru a se obine rezultatul conversiei conform relaiei precedente, se impune


multiplicarea cu k a numrului Nx1 de impulsuri cu frecvena f0 corespunztoare
intervalului tx1. De exemplu n cazul utilizrii unui numr zecimal (BCD) i a unei
constante 1e, impulsurile corespunztoare intervalului tx1 comand direct decada zecilor
din numrtor. Impulsurile corespunztoare intervalului tx2 comand decada unitilor din
numrtor.
Se pune problema de a stabili momentul comentrii rezistenei de integrare de la
valoarea R / k la valoaraea R. Pentru evitarea erorilor de conversie se impune comutarea
sincron a pantei de integrare cu impulsurile de frecven f 0. Aceast condiie este
echivalent cu faptul c intervalul t x1 s fie un multiplu ntreg al perioadei impulsurilor cu
frecvena f0.
Pentru obinerea unei valori minime a timpului de integrare a tensiunii de referin
se impune ca momentul comutrii rezistenei de integrare de la valoarea R / k la valoarea
R s se realizeze corespunztor ultimului moment de sincronizare pentru care nivelul de
tensiune de la ieirea integratorului nu a ajuns la nivelul 0V din momentul declanrii
procesului de conversie.
Aceast condiie poate fi realizat fizic prin utilizarea n structura de principiu a
convertorului a comparatorului C2 la intrrile cruia se conecteaz tensiunea U I i
1

tensiunea UC de valoare egal cu variaia tensiunii u I pe o durat T0 f din intervalul


0
Tx1.
Rezult U C

k U r
, unde C este condensatorul de integrare. Comutarea
R C f0

comparatorului C2 este sesizat de dispozitivul de comand care trece comutatorul K 2 n


poziia corespunztoare rezistenei de intrare R. Aceast trecere a comutatorului K 2 se
efectueaz dup comutarea comparatorului C2 la primul front activ (de numrare)
61

corespunztor impulsurilor cu frecvena f0. Sfritul unui proces de conversie este dat de
comutarea comparatorului C1, urmat de blocarea de ctre dispozitivul de comand a
accesului impulsurilor u0 (f0) la numrtor.

5.2.5. Convertor analog numeric cu integrare n patru pante


Integrarea n patru pante se utilizeaz pentru reducerea erorilor la conversia analog
numeric, n comparaie cu varianta integrrii n dou pante. Astfel, se reduc erorile
datorate derivelor tensiunii de decalaj i curentului de polarizare de intrare,
corespunztoare amplificatorului operaional utilizat n circuitul integrator, precum i
erorile datorate curentului de pierderi al condensatorului din integrator. n principiu,
procesul de conversie prin integrare n patru pante este constituit din dou procese de
integrare n dou pante, figura 5.7.a. Primul proces (intervalul t2 t4 ) const n integrarea
unor tensiuni de referin . Al doilea proces de integrare n dou pante (intervalul t4 t6 )
este echivalent cu o conversie analog numeric cu integrare n dou pante. n cazul
conversiei cu integrare n patru pante, intervalul (t4 t5) de integrare a tensiunii de intrare
ui nu este constant, fiind funcie de rezultatul primului proces de integrare n dou pante
(intervalul t2 t4 ). Modificarea intervalului de integrare a tensiunii de intrare este n
sensul reducerii erorilor la conversia analog numeric, aa cum rezult din demonstraia
matematic de la sfritul acestui paragraf.

UI
(Ur1)

K2
cuplat

(Ur1)

( )

(Ur1)

(ui)

K2
cuplat

(-uimax)
Ur2
(+uimax)
t1

t2

t4

t3

t5

N1T0

NT0
4N1T0

t6

t7
DT0

62
N3T0

a)

K2
Ui
Ur1

K1

C
-

AO

COMP

GENERATOR
DE
IMPULSURI

u0

Ur2

(f0)
DISPOZITIV DE COMAND

NUMRTOR
1
( N1 )

NUMRTOR
2
(4 N1 )

NUMRTOR
3
( N3 )

NUMRTOR
4
( D)

b)
Fig.5.7.CAN cu integrare n patru pante : a - diagrama de timp a integrrii n patru pante;
b structura de principiu .
n cele ce urmeaz se prezint funcionarea unui CAN cu integrare n patru pante ,
la nivel de structur de principiu, figura 5.7.b. Aceast structur corespunde unui CAN
bipolar i utilizeaz dou tensiuni de referin, Ur1 i Ur2, care satisfac condiiile :

63

ui

(5.30)

U r2 ,

U r1 = 2 Ur2 .
(5.31)
Un proces de conversie analog numeric este declanat la momentul t1 , figura
5.7.a, prin decuplarea comutatorului K2 i comanda comutatorului K1 n poziia
corespunztoare Ur1, figura 5.7.b. Sensul de variaie a tensiunii uI de la ieirea
integratorului rezult din relaia (5.31). Momentul t2, din diagrama de timp a tensiunii uI,
este determinat de comutarea comparatorului COMP. La momentul t2, dispozitivul de
comand impune trecerea comutatorului K1 n poziia corespunztoare integrrii masei i
permite accesul impulsurilor u0 la intrrile numrtoarelor 1 i 2. Se precizeaz c la
momentul t2 fiecare numrtor din structura de principiu a convertorului prezint coninut
nul. Numrtoarele 1, 2 i 3 sunt utilizate pentru obinerea intervalelor de timp N1 T0 ,
4 N1 T0 i, respectiv, N3 T0, prin numrare pn la capacitatea maxim i anulare.
Momentul t3 este impus de numrtorul 1. La acest moment se comand comutatorul K1
n poziia corespunztoare integrrii tensiunii Ur1. Momentul t4 este determinat de
comutarea comparatorului. La acest moment se comand comutatorul K1 n poziia
corespunztoare integrrii tensiunii de intrare ui i se permite accesul impulsurilor u0 la
intrarea numrtorului 3. Momentul t5 este impus de numrtorul 2. La acest moment se
comand comutatorul K1 n poziia corespunztoare integrrii tensiunii Ur1. Momentul t6
este determinat de comutarea comparatorului. La acest moment se permite accesul
impulsurilor u0 la intrarea numrtorului i se cupleaz comutatorul K2. Se precizeaz c
n acest numrtor se formeaz rezultatul D al conversiei analog numerice. Momentul t7
este impus de numrtorul 3 i reprezint sfritul procesului de conversie. La acest
moment se blocheaz accesul impulsurilor u0 la intrarea numrtorului 4. Rezultatul
conversiei analog numerice este dat de coninutul numrtorului 4.
n cele ce urmeaz se deduce funcia de transfer a CAN cu integrare n patru pante,
figura 5.7. Astfel, din principiul conservrii sarcinii electrice, aplicat intervalelor de
integrare t2 t4 i, respectiv , t4 t6 , figura 5.7.b, se obin relaiile :
U r2
U U r2
N 1 T0 r1
3N 1 N ' T0 ,
R
R
U r 2 ui
U U r2
N ' T0 r1
N 3 N ' D T0 ,
R
R

(5.32)

unde N este o mrime (numr) utilizat pentru calcul, iar NT0 reprezint intervalul de
integrare a tensiunii de intrare ui . Pentru efectuarea simplificrilor, relaiile (5.32), devin:
(Ur1 Ur2) N = (3Ur1 4Ur2) N1 ,

64

(5.33)

(Ur1 ui) N = (Ur1 Ur2) (N3 D).


(5.34)
Din sistemul (5.33), (5.34) rezult funcia de transfer a CAN cu integrare n patru
pante:
D u i U r1

3 U r1 4 U r 2

U r1 U r 2 2

N1 N 3 .

(5.35)

Avnd n vedere relaia (5.31), funcia de transfer a convertorului devine :


D

2 N1
ui N 3 4 N1.
U r2

(5.36)

Rezult c valoarea numeric D a rezultatului conversiei analog numerice este


funcie liniar de tensiunea de intrare ui .
Se pune problema de a determina mrimile constante N1 , N3 i f0 caracteristice
funcionrii unui CAN cu integrare n patru pante bipolar corespunztor codului binar
deplasat, cu caracteristicile: domeniul de variaie a tensiunii de intrare uimax+ uimax ,
uimax = 5 V i numrul de bii N = 13. Se d valoarea tensiunii de referin Ur2 = 10 V.
Din funcia de transfer, relaia (5.36), se obine :
DCBD D 2 N 1

2 N1
u i N 3 4 N 1 2 N 1.
U r2

(5.37)

Pentru funcionarea convertorului, conform codului binar deplasat, se impune ca :


N3 4N1 - 2N-1 = 0
(5.38)
i rezult funcia de transfer :
DCBD

2 N1
ui .
U r2

(5.39)
Pentru ui = 5V i N = 13 , conform codului binar deplasat rezult D CBD = 212. Din
relaia (5.39) se obine N1 = 212 i apoi, din relaia (5.38), se obine N3 = 5 212 .
Pentru rejecia perturbaiilor cu frecvena reelei se impune ca intervalul de
integrare a tensiunii de intrare ui s fie egal cu perioada reelei. n absena erorilor, acest
interval este egal cu 2N1T0 avnd n vedere simetria procesului de integrare ntre t2 i t4
,figura 5.7, pentru Ur1=2Ur2 . Rezult :
f0 = 2 N1 freea = 409,6 kHz .
(5.40)
n cele ce urmeaz se demonstreaz matematic reducerea erorilor de conversie prin
integrare n patru pante. Astfel, erorile datorate derivelor tensiunii de decalaj i curentului
de polarizare de intrare ale amplificatorului operaional integrator, precum i erorile
datorate curentului de pierderi al condensatorului integrator , se echivaleaz cu o tensiune
de decalaj Ud care afecteaz tensiunea de referin Ur2, deoarece aceast tensiune se
integreaz pe toat durata procesului de conversie (la fel ca i mrimile factori de eroare
65

prezentate mai sus). Astfel, n relaia (5.35), se face substituia tensiunii Ur2 cu Ur2 + Ud .
Se obine :
D u i U r1

3 U r1 4 (U r 2 U d )

U r1 U r 2 U d 2

N1 N 3 .

(5.41)

Deoarece Ur1 = 2 Ur2 , rezult succesiv :


D ui 2 U r 2

2 (U r 2 2 U d )

U r 2 U d 2

D ui 2 U r 2

N1 N 3 ,

2 U r 2 2 U d
2

U r 2 U d 2 U r 2 U d

N1 N 3 .

(5.42)

Considernd cazul unor erori pentru care Ud2 << Ur22, n relaia (5.42) se
neglijeaz termenul Ud2 i, dup simplificare, se obine :
U ui 2 U r 2

2
N1 N 3 .
U r2

(5.43)

Astfel, funcia de transfer a CAN nu conine termenul de eroare Ud, adic funcia
de transfer dedus n prezena erorilor echivalente cu Ud, relaia (5.43) , este identic cu
funcia de transfer dedus n absena erorilor , relaia (5.36) .

CAPITOLUL 6
CIRCUITE DE EANTIONARE I MEMORARE

66

6.1. Caracteristici ale CEM


Un circuit de eantionare i memorare realizeaz prelevarea valorii, de la un
moment dat, a unui semnal analogic (tensiune electric) i memorarea acestei valori,
figura 6.1.
E/M
ui

CEM
E/M

ue

t
ue

ui

ue
t

a)

b) a-reprezentare funcional ;
Fig. 6.1. Circuit de eantionare i memorare:
b-funcionare de principiu pe baz de diagrame de timp.

n stare de eantionare, impus prin nivelul logic 1 al semnalului de comand E/M


circuitul de eantionare i memorare (CEM) funcioneaz ca repetor. Frontul de coborre
al semnalului de comand E/M determin memorarea valorii tensiunii de intrare ui de la
momentul corespunztor frontului. Aceast valoare a tensiunii de intrare este meninut la
ieirea circuitului de eantionare i memorare n intervalul corespunztor strii de
memorare impus prin nivelul logic 0 al semnalului de comand E/M.
Circuitele de eantionare i memorare se utilizeaz n sisteme de achiziie i
distribuie de date. Astfel, ntr-un sistem de achiziie de date ieirea CEM este conectat
la intrarea CAN. n intervalul corespunztor efecturii unei conversii analog numerice,
circuitul de eantionare i memorare este comandat n stare de memorare pentru a
menine constant tensiunea la intrarea convertorului analog numeric. Astfel, se obine
mrimea valorii limitei superioare a domeniului de frecvene ale semnalului de intrare la
care CAN este utilizat la rezoluia maxim dat de numrul de bii ai acestuia. Se
precizeaz c acest deziderat este atins dac tensiunea de la intrarea CAN nu se modific
n intervalul efecturii conversiei cu mai mult de 1/2 LSB. n sistemele de distribuie a
datelor, circuitele de eantionare i memorare sunt utilizate pentru reconstituirea
semnalelor multiplexate n timp.

67

n cele ce urmeaz se prezint caracteristicile unui circuit de eantionare i


memorare, n corelaie cu procesul de achiziie de date, pe baza caracteristicii de
funcionare, figura 6.2.
E/M

MEMORARE

EANTIONARE
t

ui
ALTERARE
DIAFONIE

ue

Ud

tiap
tap
tac
ts
Fig.6.2. Caracteristica de funcionare a unui circuit de eantionare i memorare
Erorile de decalaj i ctig ale CEM n stare de eantionare trebuie apreciate n
raport cu rezoluia conversiei analog numerice, exprimate prin mrimea LSB.
Timpul de apertur tap, figura 6.2, reprezint intervalul ntre frontul de comand a
strii de memorare pentru CEM i comutarea efectiv a circuitului n stare de memorare.
Rezult c, n procesul de achiziie, fronturile de comand a strii de memorare trebuie s
fie decalate cu tap nainte fa de momentele impuse de prelevare a eantioanelor.
Instabilitatea timpului de apertur tiap, figura 6.2, reprezint limita maxim a variaiilor
aleatoare ale timpului de apertur. Rezult c valorile memorate ale eantioanelor sunt
afectate de erori cu limita maxim :
max p max t iap

(6.1)

unde pmax reprezint panta maxim a semnalului de intrare ui. n procesul de achiziie
eroarea max trebuie s satisfac relaia :
1
max p max t iap LSB ,
2

(6.2)

68

unde mrimea LSB este caracteristic convertorului analog numeric conectat la ieirea
CEM. Rezult c instabilitatea timpului de apertur limiteaz superior domeniul de
frecvene ale semnalului de intrare ui la care circuitul de eantionare i memorare permite
utilizarea unui convertor analog numeric la rezoluia maxim. Pentru o apreciere
cantitativ a acestei limitri se consider semnalul de intrare :
u i U max sin 2 f t

(6.3)

unde valorile Umax corespund domeniilor de variaie a tensiunii de la intrarea i


ieirea CEM, precum i la intrarea CAN . Rezult :
1 LSB

2 U max U max
N 1 ,
2N
2

(6.4)

unde N este numrul de bii ai convertorului analog numeric. Panta maxim a semnalului
de intrare ui este :
p max 2fU max

(6.5)
Din relaiile (6.2) , (6.4) i (6.5) rezult succesiv :
1 U
2 f U max t iap Nmax
,
2 2 1
f

N 1

t iap

(6.6)
(6.7)

Se precizeaz c n cazul achiziiei fr CEM, pentru utilizarea unui CAN la


rezoluia maxim, se deduce o relaie similar cu (6.7) n care tiap se nlocuiete cu timpul
de conversie Tc al convertorului analog numeric. Avantajul utilizrii circuitului de
eantionare i memorare rezult din aceea c tiap<<Tc.
Timpul de stabilizare la comutarea CEM n starea de memorare tS, figura 6.2,
reprezint intervalul ntre momentul de sfrit al timpului de apertur i momentul
reducerii amplitudinii oscilaiilor de la ieirea CEM sub valoarea LSB. Un proces de
conversie analog numeric se declaneaz numai dup stabilizarea ieirii CEM n stare de
memorare, adic dup sfritul timpului de stabilizare ts .
Modificarea tensiunii ue de la ieirea CEM n stare de memorare este caracterizat
prin panta de variaie a acesteia ue/t, numit vitez de alterare. Alterarea tensiunii de la
ieirea CEM n stare de memorare pn n momentul terminrii conversiei analog
numerice trebuie s fie mai mic dect LSB.
Diafonia, figura 6.2,caracterizeaz variaiile tensiunii ue de la ieirea CEM n
starea de memorare datorate variaiilor tensiunii de intrare ui. Diafonia se determin
pentru o tensiune de intrare sinusoidal de amplitudine i frecven maxime de
funcionare pentru CEM i trebuie s fie mai mic dect LSB.
Timpul de achiziie tac, figura 6.2, reprezint intervalul de timp ntre frontul de
comand a strii de eantionare i momentul cnd ieirea CEM urmrete intrarea cu o
69

precizie dat ( eroare mai mic de LSB ). Timpul de achiziie apare datorit ntrzierii
la comanda de comutare n stare de eantionare, datorit vitezei limitate a tensiunii de la
ieirea CEM, precum i datorit procesului oscilatoriu premergtor stabilizrii tensiunii
de la ieirea CEM. Timpul de achiziie reprezint o caracteristic important a CEM care
limiteaz, n procesul de achiziie, frecvena de eantionare (frecvena de achiziie a
eantioanelor ).
6.2. Ansamblul CEM - CAN
n acest paragraf se prezint modul de comand al ansamblului CEM-CAN, figura
6.3, n corelaie cu caracteristicile celor dou componente ale ansamblului.
b1, b2,,bN

ui

CEM

CAN
START
CONVERSIE

E/M

STARE
CONVERSIE

a)
E/M
t
START
CONV.
t
STARE
CONV.
t
b1,,bN

t1
tap+ts

t2

t4

t3
Tc

tac
b)

Fig. 6.3. Ansamblul CEM-CAN : a-structura de principiu ;


b-diagramele de timp ale semnalelor de control.
Semnalele de control ale CAN , figura 6.3.a, sunt : START conversie, care permite
declanarea proceselor de conversie analog-numeric prin fronturile de ridicare
70

corespunztoare acestui semnal i STARE conversie, care indic prin nivelul logic 1
efectuarea de ctre CAN a unei conversii i deci prin frontul de coborre indic sfritul
conversiei analog numerice.
n scopul achiziiei unui eantion (realizrii unei conversii analog numerice),
circuitul de eantionare i memorare este comandat n stare de memorare la momentul t1,
figura 6.3.b. Declanarea conversiei analog-numerice se realizeaz la momentul t2, dup
stabilizarea ieirii CEM , adic :
t 2 t1 t ap t s .

(6.8)

Momentul t3 reprezint sfritul conversiei analog numerice i este precizat de


comutarea la nivel logic 0 a semnalului STARE conversie. Rezult :
t3 t2 = Tc,
(6.9)
unde Tc este timpul de conversie al CAN . La momentul t3, CAN ncarc liniile de ieire
b1,b2,,bN cu rezultatul conversiei i se comand circuitul de eantionare i memorare n
stare de eantionare. Aceast stare este meninut pn la momentul t4,astfel nct :
t4 t3 tac ,
(6.10)
unde tac este timpul de achiziie al CEM.
Perioada de achiziie minim caracteristic ansamblului CEM-CAN, Tacmin,
reprezint intervalul de timp minim ntre momentele de prelevare a dou eantioane
consecutive. Din relaiile (6.8), (6.9) i (6.10) rezult :
Tacmin = (t4 - t1)min = tap + ts +Tc + tac.
(6.11)

6.3. Principii de construcie a CEM


Pentru construcia circuitelor de eantionare i memorare se utilizeaz
amplificatoare operaionale, condensatoare, ca elemente de memorare i comutatoare prin
care se realizeaz comanda n strile de eantionare i, respectiv, memorare, figura 6.4.
Amplificatorul operaional de intrare AO1, figura 6.4,asigur o impedan mare de
intrare a CEM i impedan mic pentru ncrcarea condensatorului C n starea de
eantionare, ceea ce conduce la un timp de achiziie redus. Amplificatorul operaional de
ieire AO2 este cu tranzistoare cu efect de cmp n circuitul de intrare, ceea ce conduce la
descrcarea lent a condensatorului C n starea de memorare i deci la o vitez de alterare
redus. Valoarea capacitii condensatorului de memorare C se alege n funcie de
caracteristicile aplicaiei n care se utilizeaz circuitul de eantionare i memorare. Astfel,
creterea valorii capacitii condensatorului de memorare conduce la creterea timpului
de achiziie al CEM i la scderea vitezei de alterare a tensiunii de ieire n starea de
memorare.
Reducerea erorilor de decalaj ale CEM se poate obine prin includerea celor dou
amplificatoare operaionale AO1 i AO2 ntr-o bucl de reacie global, figura 6.5.

71

AO1

AO2

ue

ui

E/M

Fig. 6.4. Structura de principiu a unui circuit de eantionare i memorare

R
R

M
AO1

AO2

+
ui

ue

Cd

Fig. 6.5. Structura de principiu a unui circuit de eantionare i memorare


cu bucl de reacie global.
Efectul principal al utilizrii reaciei globale const practic n eliminarea erorilor
de decalaj corespunztoare amplificatorului operaional de ieire AO 2.Rezult c, n cazul
structurii de principiu din figura 6.5, erorile de decalaj ale CEM sunt date de
amplificatorul operaional de intrare AO1, care trebuie ales cu deriv redus de decalaj. Se
precizeaz c n starea de memorare cele dou amplificatoare operaionale lucreaz
separat n configuraii de repetoare.
mbuntirea performanelor circuitului de eantionare i memorare se obine prin
dezvoltarea structurii de principiu din figura 6.5 conform structurii din figura 6.6.
72

Utilizarea comutatoarelor Kc i Ka, figura 6.6, conduce la reducerea pronunat a diafoniei


n starea de memorare a CEM. n cazul structurii din figura 6.5,diafonia rezult ca urmare
a transmiterii tensiunii de la ieirea amplificatorului operaional de intrare AO 1 prin
capacitatea parazit Cd a comutatorului K n poziia corespunztoare strii de memorare.
Diafonia este cu att mai pronunat cu ct raportul Cd/C este mai mare. n cazul
structurii de principiu din figura 6.6, tensiunea de la ieirea amplificatorului AO 1 este
redus cu divizorul format din capacitile parazite Cd (Kc) i Cp . Diafonia se reduce
substanial deoarece n cazurile practice Cd<< Cp. Acelai efect de reducere a diafoniei l
are aducerea potenialului de la ieirea CEM, n starea de memorare, n punctul comun al
comutatoarelor Ka i Kc .
R1

Kb
Ri

+
ui

AO1

Kc

M
E

C
-

M
Ka

+
C

uc

AO2
ue

Cd

Cd
Cp

R2

Fig. 6.6. Structura de principiu a unui circuit de eantionare i memorare cu trei


comutatoare
n cazul structurii de principiu din figura 6.5, injecia de sarcin din circuitul de
comand al comutatorului K, la comutarea CEM n starea de memorare, conduce la un
salt de tensiune la bornele condensatorului de memorare C, care se transmite la ieirea
circuitului. Acest fenomen este compensat, n cazul structurii din figura 6.6, deoarece
injecia de sarcin care apare la comanda comutatoarelor Ka i Kb n starea
corespunztoare memorrii produce, din motive de simetrie acelai salt de tensiune la
bornele condensatorului de memorare ct i la bornele condensatorului C cuplat n bucla
de reacie negativ a amplificatorului operaional de ieire AO 2. Rezult c la ieirea
circuitului de eantionare i memorare nu apare salt de tensiune.
Prezena, pe durata memorrii, a condensatorului C n bucla de reacie negativ a
amplificatorului AO2 conduce la reducerea vitezei de alterare a tensiunii de la ieirea
CEM deoarece acesta se descarc simultan cu descrcarea condensatorului de memorare.
Reducerea vitezei de alterare este funcie de simetria caracteristicilor componentelor din
structura circuitului de eantionare i memorare: curenii de polarizare de la intrrile
amplificatorului AO2, curenii de pierderi corespunztori celor dou condensatoare C i
curenii de scurgere ai comutatoarelor Ka i Kb n stare blocat.

73

Rezistena R2, conectat n serie cu condensatorul de memorare C, are rolul de a


reduce timpul de stabilizare al CEM la comutarea n stare de eantionare. Rezult
reducerea corespunztoare a timpului de achiziie al circuitului de eantionare i
memorare. n plus, rezistena R2 realizeaz defazarea n urm a tensiunii uc de la bornele
condensatorului de memorare C fa de tensiunea ui de la intrarea circuitului. Acest
defazaj este funcie liniar de frecven , ceea ce indic o ntrziere a tensiunii uc fa de
ui, fr distorsionarea semnalului. Deoarece tensiunea uc apare la ieirea CEM n starea
de memorare, rezult c aceast ntrziere are efect contrar fa de ntrzierea la comutare
a circuitului dup comanda de memorare. Astfel, prin alegerea corespunztoare a
rezistenei R2, cele dou ntrzieri se pot compensa, ceea ce conduce la realizarea unui
circuit de eantionare i memorare cu timp de apertur nul.
Pentru exemplificare, se prezint schema electronic a unui circuit de eantionare
i memorare care respect structura de principiu din fig. 6.6.
n schema electronic a CEM, se utilizeaz amplificatorul operaional ROB 201A,
ca amplificator de intrare, respectiv, amplificatorul operaional cu tranzistoare JFET la
intrare ROB 74, ca amplificator de ieire. Pentru comanda CEM n strile de eantionare,
respectiv de memorare, se utilizeaz circuitul multiplexor/demultiplexor analogic triplu
cu dou canale, MMC 4053.Acest circuit conine comutatoarele Ka , Kb i Kc, cu care se
realizeaz conexiunile corespunztoare strii de eantionare n poziiile y ale acestora,
respectiv cele corespunztoare strii de memorare n poziiile x. Deoarece tensiunea de
intrare ui, n domeniul de funcionare al CEM, este cuprins n intervalul 5V +5V,se
stabilete alimentarea circuitului MMC 4053 cu tensiunile : VDD = +6,8V , VEE = -6,8V i
VSS = 0V. Intrarea de comand E/M a CEM este conectat la intrrile A,B i C ale
circuitului MMC 4053. Semnalul de comand poate fi generat de la o ieire de tipul
colector n gol cu alimentare de la o surs cu tensiune de + 5 V. Principalele caracteristici
ale CEM realizat conform schemei electronice sunt :
- domeniul de variaie a tensiunii de intrare ui i a tensiunii de ieire ue este 5V
+5V (circuitul are ctig unitar);
- neliniaritatea circuitului msurat n stare de eantionare pentru tensiune de
intrare continu i sinusoidal cu frecvena de 1 kHz este 0,015% din 10V
(FSR);
- frecvena la care ctigul circuitului n starea de eantionare scade cu 0,01%
din 10 V este de 10 kHz ;
- instabilitatea timpului de apertur tiap < 5 ns;
- timpul de stabilizare la comutarea n stare de memorare msurat pn la
scderea amplitudinii oscilaiilor sub 1 mV este ts = 1s;
- diafonia este de 0,01% din variaia tensiunii de intrare;
- viteza de alterare a tensiunii memorate ue/t<1 mV/ms;
- timpul de achiziie msurat de la comanda de eantionare pn la scderea
amplitudinii oscilaiilor sub 1 mV este tac< 40 s.
Circuitul de eantionare i memorare cu caracteristicile de mai sus poate fi utilizat
pentru achiziia de date n ansamblu cu convertoare analog numerice de cel mult 12 bii.

74

CAPITOLUL 7
SISTEME DE ACHIZIIE DE DATE
7.1. INTRODUCERE

75

Pentru controlul unui proces fizic este necesar extragerea informaiilor despre
desfurarea procesului, prin utilizarea traductoarelor. Semnalele de la ieire
traductoarele sunt transformate n tensiuni electrice (semnale analogice) cu circuite de
condiionare a semnalelor. Pentru controlul numeric al procesului fizic se impune
realizarea conversiei semnalelor analogice n semnale numerice acceptate de sistemul de
prelucrare numeric (calculator, microcalculator, sistem cu microprocesor sau
microcontroler). Semnalele numerice se obin prin prelevarea la momente de timp date, a
valorilor semnalelor analogice i conversia acestor valori sub form numeric cu achiziie
de date, SAD. Semnalele numerice rezultatele prin prelucrare se utilizeaz pentru
comanda elementelor de execuie de control (dispozitive de afiare numeric i
alfanumeric, relee, electrovalve etc.). Pentru comanda cu semnale analogice a unor
elemente de execuie de control (motoare, nregistratoare etc.) este necesar conversia
semnalelor numerice prelucrate n semnale analogice cu sisteme de distribuie de date,
SDD.
7.2. Structura i funcionarea unui SAD cu un semnal analogic de intrare
Structura unui sistem de achiziie de date cuprinde circuite analogice cu funcii de
prelucrare necesare pentru conversia datelor, circuite pentru conversia analog numeric i
circuite de interfa pentru transferul numeric rezultat din achiziie la sistemul de
prelucrare numeric, SPN. De asemenea, prin circuitele de interfa se poate realiza
controlul funcionrii SAD de ctre SPN.

ui

FILTRU
DE
INTRARE

b1, b2, ... , bN

AMPLIFICATOR
CU CTIG
PROGRAMABIL

CEM

E/M

CAN
START
CONV.

STARE
CONV.

SISTEM DE PRELUCRARE NUMERIC

Fig.7.1. Structura unui sistem de achiziie de date cu un semnal analogic de


intrare
Structura unui SAD cu un semnal analogic de intrare, figura 7.1, cuprinde un
filtru de intrare de tipul trece jos, cu funcia de eliminare a erorilor de aliere care pot
rezulta n urma reprezentrii numerice analogice. Amplificatorul cu ctig programabil
din structura SAD permite mrirea gamei dinamice corespunztoare semnalului analogic
de intrare, pentru care se poate utiliza un sistem de achiziie de date. Gama dinamic
(GD) a semnalului de intrare ui este exprimat n funcie de valoarea maxim a
semnalului de intrare uimax i de valoarea minim impus a se detecta uimin.det. Astfel, relaia
de definire a gamei dinamice este
Tabelul7.1
GD 20 log

76

ui max
.
ui min . det

(7.1)

De exemplu, un CAN de 12 bii (N = 12) permite reprezentarea numeric a unui


semnal de intrare pentru care uimax=FSR i uimin.det=1LSB. Rezult gama dinamic a
semnalului de intrare
ui [V]

GD 20 log

FSR
20 log 2 N 72 dB
1LSB

(7.2)

Pentru convertorul analogic numeric cu FSR = 10 V i N = 12


bii, se obine valoarea minim detectabil a semnalului de intrare
egal cu 1LSB = 2,44 mV. Dac semnalul de intrare cu valori ui < 10
mV este amplificat cu 103, rezult valoarea minim detectabil de
2,44 V i deci mrirea gamei dinamice. Astfel, se impune
programarea ctigului G al amplificatorului, figura 7.1, funcie de valoarea semnalului
de intrare ui .
n cele ce urmeaz se prezint un alt exemplu cu scopul de a preciza funcia
amplificatorului cu ctig programabil n cadrul unui sistem de achiziie de date. Astfel,
se consider un semnal de intrare ui cu gama dinamic (22 212) V, adic uimax= 4V i
uimin.det .= 0,244mV. La reprezentarea numeric a semnalului de intrare se impun erori mai
mici dect = 0,25% . Din cele prezentate precedent rezult c pentru acoperirea gamei
dinamice a semnalului de intrare este necesar utilizarea unui CAN de 14 bii. Din
punctul de vedere al erorilor la reprezentarea numeric a semnalului de intrare, se
impune ca eroarea de cuantizare a CNA s fie mai mic dect = 0,25% . Se obine,
pentru eroarea de cuantizare, relaia
22 20
20 2-2
2-2 2-4
2-4 2-12

20
22
24
26

1
LSB
1
2
N 1 .
FSR
2

(7.3)

Pentru = 0,25% , din relaia (7.3), rezult N 8, adic posibilitatea utilizrii unui
CAN de 8 bii. Pentru acoperirea gamei dinamice a semnalului se utilizeaz un
amplificator cu ctig programat conform tabelului 7.1.
Rezult c, n locul unui CAN de 14 bii, se poate utiliza un CAN de 8 bii i un
amplificator cu patru valori programabile ale ctigului. n acest caz, fiecare eantion este
reprezentat prin 8 bii corespunztori rezultatului conversiei rezultatului conversiei
analog numerice i prin 2 bii care codific valoarea programat a ctigului.
Din exemplul prezentat mai sus rezult c utilizarea amplificatorului cu ctig
programabil n structura unui sistem de achiziie de date permite reprezentarea numeric
a semnalului de intrare, pentru toat gama dinamic a acestuia, cu un CAN cu numr de
bii redus (8 bii, n loc de 14 bii) i cu codificarea eantioanelor cu un numr de bii
redus (10 bii, n loc de 14 bii).
Structura sistemului de achiziie de date, figura 7.1, cuprinde un ansamblu CEMCAN.
Controlul funcionrii sistemului de achiziie de date este realizat de sistemul de
prelucrare numeric (calculator, microcalculator, sistem cu microprocesor sau
microcontroler).

7.3. Structura i funcionarea SAD cu mai multe semnale analogice


7.3.1. SAD cu multiplexarea semnalelor analogice de intrare

77

Pentru achiziia cu un sistem a mai multor semnale analogice de intrare se impune


utilizarea unui circuit multiplexor. Acest multiplexor poate fi conectat n structura
sistemului de achiziie de date n mod diferit, obinndu-se variantele de SAD care se
prezint n cele ce urmeaz, n ordinea creterii complexitii structurii ca numr de
componente utilizate i cu consecina creterii frecvenei de achiziie.
Structura sistemului de achiziie de date cu multiplexarea semnalelor analogice de
intrare, figura 7.2.a, cuprinde un ansamblu CEM-CAM la intrrile cruia se conecteaz
succesiv semnalele analogice de intrare, prin multiplexare n timp.
Achiziia datelor corespunztoare celor K semnale analogice de intrare se
realizeaz prin controlul SAD conform diagramelor de timp din figura 7.2.b. Astfel, se
consider c naintea declanrii procesului de achiziie, este comandat cu semnalul M
pentru conectarea semnalului ui1 la intrarea circuitului de eantionare i memorare, aflat
n stare de eantionare. Declanarea procesului de achiziie se realizeaz la momentul t1
prin frontul de comand a strii de memorare pentru CEM. Conversia analog numeric a
eantionului prelevat din semnalul ui1 este declanat la momentul t2 cu fontul de ridicare
al semnalului START conversie, astfel nct
t 2 t1 t ap t s ,
(7.4)
unde tap este timpul de apertur al CEM iar ts este timpul de stabilizare al CEM la
comutarea n starea de memorare. De asemenea, la momentul t2 se comut semnalul M de
comand a multiplexorului pentru conectarea semnalului ui2 la intrarea CEM. Sfritul
conversiei numerice a eantionului prelevat din semnalul ui1 corespunde momentului t3
dat de frontul de coborre al semnalului STARE conversie. Rezult
t 3 t 2 Tc ,
(7.5)
unde Tc este timpul de conversie al CAN. Comanda de prelevare a unui eantion din
semnalul ui2 este dat la momentul t4 prin frontul de comand a strii de memorare pentru
CEM, astfel nct
t 4 t 3 t ac ,
(7.6)
unde tac este timpul de achiziie al CEM. La momentul t5, ales astfel nct t4 t5 s
respecte relaia (7.4), se comand declanarea conversiei analog numerice
corespunztoare eantionului prelevat din semnalul ui2. n intervalul t3 t5, la ieirile b1,
b2, , bN ale CNA este ncrcat rezultatul conversiei numerice a eantionului prelevat
din semnalul ui1. Acest rezultat este preluat de sistemul de prelucrare numeric, figura
7.2.a. Rezultatul conversiei corespunztoare eantionului prelevat din semnalul ui2 este
disponibil ncepnd de la momentul t6, figura 7.2.b. Procesul de achiziie nceput conform
diagramelor de timp din figura 7.2.b se desfoar periodic pentru prelevarea i conversia
numeric a eantioanelor din cele K semnale analogice de intrare. Intervalul de timp
corespunztor achiziiei a cte unui eantion din cele K semnale de intrare se numete
perioad de achiziie Tac i este dat de relaia
Tac K t 4 t1 .
(7.7)
Valoarea minim a perioadei de achiziie se obine din (7.4), (7.5), (7.6), i (7.7),
conform relaiei
Tac min K t ap t s Tc t ac .
(7.8)

78

Se apreciaz c n relaia (7.8) nu intervine timpul de stabilizare tsm a ieirii


multiplexorului dup comanda de comutare a semnalului de intrare deoarece acest timp
este, n general, mult mai mic dect timpul de conversie al CAN, care este disponibil
pentru stabilizare, figura 7.2.b.
Sistemul de achiziie de date cu multiplexarea semnalelor de intrare este cea mai
simpl variant constructiv, n sensul c utilizeaz pentru achiziia mai multor semnale
analogice de intrare un singur CEM i un singur CAN.
ui1
ui2

MPX

CEM

uiK

b1, b2, ... , bN

CAN
START
CONV.

E/M

STARE
CONV.

SISTEM DE PRELUCRARE NUMERIC

a)
M

(u 1)

(u 2)

(u 3)

E/M

START
CONV.

STARE
CONV.

b ,b2,,bN
1

(tap + ts) t1

bk (ui1)
t2

t3

tac

bk (ui2)
t4

t5

t6

b)
Fig.3.2. Sistem de achiziie de date cu multiplexarea semnalelor analogice de intrare:
a structura de principiu;
b diagramele de timp ale semnalelor de control.
Din punctul de vedere al frecvenei (perioadei) de achiziie, acest SAD este cel
mai puin rapid n comparaie cu variantele care se prezint n urmtoarele dou
paragrafe. Astfel, perioada de achiziie minim a SAD cu multiplexarea a K semnale
analogice de intrare se obine prin multiplicarea cu K a perioadei de achiziie minime
caracteristice ansamblului CEM-CAN.
7.3.2. SAD cu multiplexarea ieirilor CEM
79

Structura sistemului de achiziie de date cu multiplexarea ieirilor circuitelor de


eantionare i memorare, figura 7.3.a, cuprinde cte un CEM pentru fiecare din cele K
semnale analogice de intrare i un singur CAN.
Achiziia datelor pentru dou semnale analogice de intrare (K = 2) se realizeaz
prin controlul SAD conform diagramelor de timp din figura 7.3.b. Astfel, procesul de
achiziie este declanat la momentul t1 prin frontul de comand a strii de memorare
pentru CEM 1. La momentul t2 se comand multiplexorul pentru conectarea ieirii
circuitului CEM 1 la intrarea CAN. Procesul de conversie analog numeric a eantionului
prelevat din semnalul de intrare ui1 este declanat la momentul t3 cu frontul de ridicare al
semnalului START conversie, astfel nct
t 3 t 2 t sm ,
(7.9)
unde tsm este timpul de stabilizare al multiplexorului. Sfritul acestei conversii analog
numerice corespunde momentului t5, dat de frontul de coborre al semnalului STARE
conversie. Rezult
t 5 t 3 Tc .
(7.10)
Pentru obinerea unei perioade de achiziie minim se comand stare de memorare
pentru circuitul CEM 2 la momentul t4, nainte de sfritul conversiei, astfel nct
t 5 t 4 t ap t s .
(7.11)
Se precizeaz c respectarea la limit a relaiei (7.11) corespunde unor erori
minime datorate alterrii tensiunii de la ieirea CEM 2 n starea de memorare. La
momentul t5 se comand CEM 1 n starea de eantionare i se comut multiplexorul
pentru conectarea ieirii circuitului CEM 2 la intrarea CAN. Astfel, la momentul
t6 t6 t5 t sm , se declaneaz conversia numeric a eantionului prelevat din semnalul de
intrare ui2. n intervalul t5 t6 , la ieirile b1, b2, , bN ale CAN este ncrcat rezultatul
conversiei numerice a eantionului prelevat din semnalul de intrare ui1. Procesul de
achiziie se desfoar periodic pentru prelevarea i conversia numeric succesiv a
eantioanelor din cele dou semnale de intrare. Se precizeaz c la construcia
diagramelor de tensiune din figura 7.3.b s-a considerat c timpul de achiziie tac
caracteristic circuitelor CEM este mai mic dect timpul de conversie Tc al CAN. De
asemenea, se precizeaz c achiziia pentru K semnale analogice de intrare este controlat
n mod similar cu cazul prezentat n figura 7.3.b pentru dou semnale analogice de
intrare. Astfel, intervalul de timp corespunztor achiziiei a cte unui eantion din cele K
semnale de intrare este
Tac K t 5 t 2 K t 6 t 3 , K 2 .
(7.12)
Valoarea minim a perioadei de achiziie se obine din (7.9), (7.10), i (7.11),
conform relaiei
Tac min K t sm Tc
(7.13)
Din punctul de vedere al complexitii structurii, se precizeaz c SAD cu
multiplexarea ieirilor CEM conine K circuite de eantionare i memorare, n comparaie
cu SAD cu multiplexarea semnalelor de intrare care conine unul singur. Pentru
compararea celor dou variante constructive de sisteme de achiziie de date din punctul
de vedere al vitezei de achiziie este necesar analiza relaiilor (7.8) i (7.13). Deoarece,
n cazurile practice, tsm << tac , rezult c SAD cu multiplexarea ieirilor CEM permite
obinerea unei perioade de achiziie mai mic, adic a unei viteze de achiziie mai mare.

80

ui1

CEM 1
E / M1

ui2

CEM 2
MPX

E / M2

CAN
START
CONV.

uiK

CEM K

b1, b2, ... , bN

STARE
CONV.

E / MK
SISTEM DE PRELUCRARE NUMERIC

a)
E/M

t
E/M

t
M

(u 1)

( u 2)

(u 1)

START
CONV.

t
t

STARE
CONV.

b ,b2,,bN

bk (ui1)

t1

t2

t3

t4

t5

t6

bk (ui2)
t7

t8

(t + t )
tsm

ap

tsm

ac

b)
Fig.7.3. Sisteme de achiziie de date cu multiplexarea ieirilor CEM :
a structura de principiu;
b diagramele de timp ale semnalelor de control pentru
dou semnale analogice de intrare.
Din structura SAD cu multiplexarea ieirilor CEM rezult posibilitatea prelevrii
de eantioane la aceleai momente de timp din cele K semnale analogice de intrare.
Aceast posibilitate se obine prin comanda cu acelai semnal a intrrilor E/M ale
circuitelor de eantionare i memorare i este util pentru analiza numeric a relaiilor de
faz dintre semnalele analogice de intrare. n figura 7.4, se prezint diagramele de timp
ale semnalelor de control corespunztoare achiziiei de eantionare la aceleai momente
de timp din dou semnale de intrare.

81

E/M

1,2

t
M

(u 1)

( u 2)

(u 1)

START
CONV.

t
t

STARE
CONV.

b1,b2,,bN

bk (ui1)
t1

(tap + ts)

t2

t3
T

t4

tsm

t5
T

bk (ui2)

t6

tac

Fig.7.4. Diagramele de timp ale semnalelor de control pentru SAD cu multiplexarea


ieirilor CEM, cu dou semnale analogice de intrare i comand comun E/M.
Procesul de achiziie este declanat la momentul t1 prin frontul de comand a
strii de memorare aplicat tuturor celor K circuite de eantionare i memorare (K = 2 n
cazul exemplificrii din figura 3.4). La momentul t2, ales astfel nct
t 2 t1 t ap t s ,
(7.14)
se declaneaz conversia analog numeric a eantionului prelevat din semnalul de intrare
ui1. Aceast conversie se termin la momentul t3
t 3 t 2 Tc ,
(7.15)
la care se comut multiplexorul. Astfel, la momentul t4
t 4 t 3 t sm ,
(3.16)
se declaneaz conversia analog numeric a eantionului prelevat din semnalul de intrare
ui2. Aceast a doua conversie se termin la momentul t5
t 5 t 4 Tc ,
(7.17)
la care se comand stare de eantionare pentru circuitele de eantionare i memorare din
sistem. Declanarea prelevrii unui al doilea set de eantioane din semnalele de intrare se
realizeaz la momentul t6
t 6 t 5 t ac ,
(7.18)
prin comanda strii de memorare pentru circuitele de eantionare i memorare.
Se precizeaz c achiziia de eantionare la acelai moment de timp din K
semnale analogice de intrare este controlat n mod similar cu cazul prezentat n figura
7.4 pentru dou semnale analogice de intrare. Astfel, valoarea minim a perioadei de
achiziie se obine din (7.14), (7.15), (7.16), (7.17) i (7.18), conform relaiei
Tac min t ap t s K Tc K 1 t sm t ac .
(7.19)

82

n cazul achiziie de eantionare la aceleai momente de timp, erorile datorate


alterrii tensiunilor de la ieirile CEM n starea de memorare sunt maxime pentru
semnalul de intrare uiK . Astfel, se impune respectarea relaiei
1
u c

t ap t s K 1 t sm K Tc LSB ,
2
t

(7.20)

unde ue / t reprezint viteza de alterare a tensiunii de la ieirea CEM n starea de


memorare.
7.3.3. SAD cu multiplexarea ieirilor CAN
Structura sistemului de achiziie de date cu multiplexarea ieirilor convertoarelor
analog numerice, figura 7.5, cuprinde cte un ansamblu CEM CAN pentru fiecare din
cele K semnale analogice de intrare. Rezult c procesele de eantionare i conversie
analog numeric se pot desfura independent i simultan pentru toate semnalele de
intrare.
Transferul rezultatelor conversiilor analog numerice la echipamentul de prelucrare
numeric se realizeaz n intervalele corespunztoare strilor de eantionare ale CEM,
prin comanda multiplexorului. Astfel, intervalul de timp corespunztor achiziiei a cte
unui eantion din cele K semnale de intrare reprezint perioada de achiziie minim
Tac min t ap t s Tc t ac .
(7.21)
Din analiza relaiilor (7.8), (7.13) i (7.21), referitoare la valorile minime ale
perioadelor de achiziie pentru variantele de SAD prezentate, rezult c sistemul de
achiziie de date cu multiplexarea ieirilor convertoarelor analog numerice permite
obinerea unei viteze de achiziie maxime.

ui1

CEM 1
E/M

ui2

START
CONV.

CEM 2
E/M

uiK

CAN 1

CAN 2
START
CONV.

MPX

b1, b2, ... , bN

STARE
CONV.

CAN K

CEM K
E/M

STARE
CONV.

START
CONV.

STARE
CONV.

SISTEM DE PRELUCRARE NUMERIC

Fig.7.5. Structura de principiu a unui SAD cu multiplexarea ieirilor CAN.

83

7.3.4. SAD conectat la un microsistem M80UC


Posibilitile de control ale unui SAD cu multiplexarea semnalelor analogice de
intrare de ctre un microsistem M80UC cu microprocesor 780 sunt prezentate n
urmtoarea figur:

A0 A3
ui1

ui8

Pi02
. .
. .
. .

A4 A7
CEM

MPX

E/M

CAM

START
CONV.

84

b8 b11
b1 b7 A0 A7
STARE
B5
CONV.
B4
B3
B0 B2

Pi01
Pi02
3

S-ar putea să vă placă și