Sunteți pe pagina 1din 392

1

CUPRINS
PREFA ........................................................................................................ 8
INTRODUCERE ............................................................................................ 10
1. DISPOZITIVE SEMICONDUCTOARE ............................................... 13
1.1. Conducia electric la semiconductoare ......................................... 13
1.2. Procese n jonciunea p-n ............................................................... 16
1.3. Diode semiconductoare .................................................................. 19
1.4. Tranzistoare bipolare ...................................................................... 21
1.5. Caracteristicile i parametrii tranzistoarelor bipolare .................... 25
1.6. Tranzistoare cu efect de cmp ........................................................ 28
1.6.1.
Tranzistoare cu efect de cmp cu jonciune p-n (TEC-J) ....... 28
1.6.2.
Tranzistoare cu efect de cmp cu poart izolat (TEC-MOS)30
1.7. Tiristoare ........................................................................................ 32
1.8. Caracteristicile funcionale ale tiristoarelor ................................... 35
1.9. Circuite integrate ............................................................................ 37
1.10. Dispozitive semiconductoare optoelectronice ................................ 41
2. REDRESOARE DE MIC PUTERE PENTRU CURENT
MONOFAZAT ............................................................................................... 44
2.1. Schema bloc a redresorului ............................................................ 44
2.2. Redresoare monofazate cu sarcin activ....................................... 45
2.3. Redresoare monofazate cu sarcin inductiv ................................. 48
2.4. Filtre pentru redresoare de mic putere .......................................... 51
2.5. Funcionarea i calculul redresorului cu filtru capacitiv ................ 53
2.6. Caracteristicile externe ale redresoarelor de mic putere ............... 56
2.7. Stabilizatoare de tensiune ............................................................... 58
2.8. Surse de alimentare cu transformarea multipl a energiei ............. 62
3. CONVERTOARE DE MEDIE I MARE PUTERE ............................. 64
3.1. Utilizarea convertoarelor n energetic i electrotehnic ............... 64
3.2. Redresorul monofazat comandat .................................................... 65
3.2.1.
Funcionarea redresorului comandat monofazat n sarcin
activ (LS = 0) ......................................................................................... 65
3.2.2.
Regimul de curent intermitent la funcionarea n sarcin
activ-inductiv ........................................................................................ 67
3.2.3.
Regimul de curent fr ntrerupere la funcionarea n sarcin
activ-inductiv ........................................................................................ 68
3.2.4.
Comutarea curentului la redresoarele comandate monofazate
69
3.3. Invertorul dependent monofazat..................................................... 71
3.4. Redresorul trifazat cu nul ............................................................... 75
3.5. Redresorul trifazat n punte ............................................................ 79
3

3.5.1.
Funcionarea redresorului necomandat .................................. 79
3.5.2.
Funcionarea redresorului comandat ...................................... 82
3.5.3.
Procese de comutaie i caracteristici ale redresorului
trifazat n punte....................................................................................... 83
3.6. Scheme de redresare multifazate .................................................... 85
3.7. Redresoare reversibile i convertoare directe de frecven ............ 91
3.8. Convertoare reglabile de tensiune alternativ ................................ 95
3.9. Influena convertoarelor asupra reelei de alimentare .................... 99
3.9.1.
Factorul de putere al convertoarelor....................................... 99
3.9.2.
Convertoare cu tiristoare cu coeficient sporit de putere ....... 107
3.9.3.
Surse de putere reactiv........................................................ 113
3.10. Sisteme de comand pentru convertoare cu tiristoare .................. 117
3.10.1. Funciile i structura sistemelor de comand ....................... 117
3.10.2. Dispozitive de defazare (DDF) ............................................ 120
3.10.3. Sisteme de comand multicanal ........................................... 128
3.10.4. Sisteme de comand monocanal .......................................... 131
3.11. Convertoare autonome ................................................................. 135
3.11.1. Metode de reglare a tensiunii continue ................................ 135
3.11.2. Blocuri de comutaie a tiristoarelor monooperaionale ........ 138
3.11.3. Invertoare de tensiune .......................................................... 142
3.11.4. Invertoare de curent .............................................................. 146
3.11.5. Invertoare de rezonan ........................................................ 151
4. AMPLIFICARE CU TRANZISTOARE ............................................. 154
4.1. Caracteristica de transfer a etajului de amplificare ...................... 154
4.2. Regimul de repaus la amplificatorul cu tranzistor n montaj cu emitor
comun 156
4.3. Reacia negativ i stabilizarea regimului de repaus .................... 160
4.4. Schema echivalent i parametrii principali ai etajului amplificator
cu tranzistor n montaj emitor comun ...................................................... 162
4.5. Tipuri de conexiuni i deriva nulului n amplificatoarele de curent
continuu .................................................................................................... 166
4.6. Amplificatorul diferenial ............................................................. 168
4.7. Etajul amplificator cu tranzistor n montaj colector comun ......... 173
4.8. Etajul amplificator cu tranzistor cu efect de cmp n montaj
surs comun ........................................................................................... 175
4.9. Amplificatorul operaional ........................................................... 177
4.10. Amplificatorul operaional neinversor cu reacie ......................... 179
4.11. Amplificatorul operaional inversor cu reacie............................. 182
4.12. Scheme operaionale .................................................................... 184
4.13. Compensarea curenilor de intrare i a tensiunii de deplasare
a nulului .................................................................................................. 188
4

4.14. Caracteristicile de frecven ale amplificatoarelor i autoexcitaia


190
4.15. Amplificatoare selective i generatoare de oscilaii sinusoidale
193
4.16. Amplificatoare cuplate capacitiv .................................................. 194
4.17. Etaje amplificatoare de putere ...................................................... 198
5. CIRCUITE DE IMPULSURI............................................................... 204
5.1. Avantajele transmiterii informaiei sub forma impulsurilor ........ 204
5.2. Regimul de comutaie al tranzistorului ........................................ 206
5.3. Regimul neliniar de funcionare a amplificatorului operaional.
Comparatoare ........................................................................................... 209
5.4. Circuite RC formatoare de impulsuri ........................................... 212
5.4.1.
Circuite de difereniere (derivare) ........................................ 212
5.4.2.
Circuite de integrare ............................................................. 213
5.5. Circuitul basculant astabil (multivibrator) cu amplificator
operaional ................................................................................................ 214
5.6. Circuitul basculant monostabil cu amplificator operaional ........ 217
5.7. Generatoare de tensiune liniar variabil (GTLV) ........................ 219
5.8. Generatoare blocking ................................................................... 223
6. ELECTRONICA DIGITAL .............................................................. 226
6.1. Sisteme de numeraie n electronica digital. Elemente de logic
boolean (binar) i circuite logice .......................................................... 226
6.1.1.
Sisteme de numeraie ........................................................... 226
6.1.2.
Operaii i circuite logice elementare ................................... 229
6.1.3.
Alte circuite logice mai des folosite ..................................... 231
6.1.4.
Circuite logice cu mai mult de dou intrri .......................... 234
6.1.5.
Utilizarea
porii
inversoare
pentru
transformarea
circuitelor logice .................................................................................. 236
6.2. Utilizarea circuitelor logice binare pentru obinerea funciilor
logice 237
6.3. Coduri. Codificare i decodificare ............................................... 245
6.3.1.
Coduri ................................................................................... 245
6.3.2.
Codificatoare ........................................................................ 248
6.3.3.
Dispozitive de afiare cu apte segmente ............................. 248
6.3.4.
Decodificatoare .................................................................... 249
6.3.5.
Afioare cu cristale lichide ................................................... 252
6.4. Circuite basculante utilizate ca circuite logice ............................. 254
6.4.1.
Circuite basculante bistabile (triggeri) ................................. 254
6.4.2.
Utilizarea circuitelor basculante logice ca circuite de memorie
262
6.4.3.
Comanda circuitelor basculante bistabile ............................. 263
6.5. Numrtoare ................................................................................. 265
5

6.5.1.
Numrtoare asincrone ......................................................... 265
6.5.2.
Numrtoare sincrone .......................................................... 267
6.5.3.
Numrtoare inverse ............................................................ 268
6.5.4.
Numrtoare cu autooprire ................................................... 269
6.6. Registre de deplasare .................................................................... 270
6.7. Dispozitive aritmetice .................................................................. 272
6.7.1.
Adunarea binar ................................................................... 272
6.7.2.
Semisumatoare ..................................................................... 273
6.7.3.
Sumatoare ............................................................................. 274
6.7.4.
Scderea binar; semiscztoare; scztoare ....................... 275
6.7.5.
Utilizarea sumatoarelor pentru scdere ................................ 276
6.7.6.
Sumatoare cu aciune succesiv ........................................... 278
6.7.7.
nmulirea binar .................................................................. 279
6.7.8.
nmulitoare binare ............................................................... 280
6.7.9.
Scrierea, adunarea i scderea numerelor prezentate n cod
complementar ....................................................................................... 282
6.8. Dispozitive de memorare ............................................................. 283
6.8.1.
Memorii RAM ...................................................................... 283
6.8.2.
Memorii ROM; memorii programabile ................................ 284
6.9. Memorii externe ........................................................................... 284
6.10. Calculatoare .................................................................................. 286
6.10.1. Calculatoare personale ......................................................... 287
6.10.2. Microprocesoare ................................................................... 289
6.10.3. Scurt istorie a calculatoarelor electronice........................... 296
6.11. Conjugarea instalaiilor numerice i analogice ............................ 298
7. MSURAREA ELECTRIC A MRIMILOR NEELECTRICE ...... 306
7.1. Convertoare. Amplificatoare ........................................................ 309
7.2. Instalaii de adaptare..................................................................... 311
7.3. Aparate de ieire ........................................................................... 323
7.4. Msurarea amplitudinii ................................................................ 325
7.5. Elementele circuitelor de msur i perturbaiile ......................... 327
7.5.1.
Adaptarea elementelor circuitelor de msur ....................... 327
7.5.2.
Perturbaiile n circuitele de msur ..................................... 329
7.6. Scheme rezistive de msur ......................................................... 336
7.6.1.
Scheme de msur cu divizoare de tensiune ....................... 336
7.6.2.
Scheme n punte ................................................................... 339
7.6.3.
Schema de msur cu elemente sensibile ............................. 346
7.6.4.
Puni cu msurarea deviaiei ................................................ 348
7.6.5.
Msurarea rezistenelor traductoarelor cu amplificatoare
operaionale .......................................................................................... 350
7.6.6.
Msurarea rezistenei traductoarelor prin metoda analogic n
punte cu conversie n frecven ............................................................ 351
6

7.6.7.
Msurarea numeric a rezistenei cu convertor n trepte ...... 352
7.7. Elemente sensibile reactive .......................................................... 352
7.7.1.
Elemente inductive ............................................................... 352
7.7.2.
Elemente sensibile cu transformator .................................... 354
7.7.3.
Puni de curent alternativ pentru msurarea inductanei ...... 355
7.7.4.
Traductoare capacitive ......................................................... 356
7.7.5.
Scheme de msur cu traductoare capacitive ....................... 359
7.8. Traductoare active electrodinamice ............................................. 361
7.9. Elemente sensibile piezoelectrice................................................. 363
8. TRANSMITEREA DATELOR ........................................................... 365
8.1. Instalaii pentru obinerea i memorarea rezultatelor msurtorilor
365
8.2. Msurtori la distan i telemetrie .............................................. 368
8.2.1.
Instalaii pentru msurtori la distan ................................. 368
8.2.2.
Transmiterea semnalelor n curent constant ......................... 369
8.2.3.
Procedee analogice de msurare la distan cu transformarea
informaiei de tipul frecven structur ............................................. 370
8.2.4.
Multiplexoare de frecven................................................... 372
8.2.5.
Multiplexoare n timp ........................................................... 373
9. PRELUCRAREA
ELECTRONIC
A
REZULTATELOR
MSURTORILOR ................................................................................... 379
9.1. Aparate de calcul .......................................................................... 379
9.1.1.
Aparate de legtur............................................................... 379
9.1.2.
Aparate funcionale .............................................................. 382
9.2. Analiza spectral a semnalelor de msur.................................... 385
9.3. Analiza de corelaie a semnalelor de msur ............................... 387
BIBLIOGRAFIE .......................................................................................... 390

PREFA

Aceast lucrare a fost conceput drept suport al unui curs de Electronic


Industrial, destinat studenilor seciilor de inginerie electric. Cum un astfel
de curs este unul dintre cursurile aplicative ale domeniului electronicii, s-a
urmrit n primul rnd o prezentare clar a aspectelor legate de problemele
practice i aplicaiile din domeniu, astfel ca acestea s poat fi nelese i
nsuite n modul cel mai simplu posibil. Din aceast cauz, este posibil ca,
uneori, rigurozitatea expunerii s lase de dorit, dar acest lucru a fost fcut n
scopul simplificrii ei.
De altfel, acest curs i propune s se constituie drept o expunere a
bazelor electronicii industriale; pentru cei care doresc s aprofundeze
domeniul, exist numeroase lucrri care prezint fenomenele n tratarea lor cea
mai riguroas, unele dintre ele fiind indicate n bibliografia de la sfritul
lucrrii.
Domeniul electronicii industriale este un domeniu foarte vast i el se lrgete
pe zi ce trece. Din aceast cauz, este practic imposibil abordarea exhaustiv
a problematicii acestei discipline, motiv pentru care, orice lucrare care
abordeaz acest subiect, i propune tratarea numai a anumitor aspecte, n
funcie de obiectivele urmrite. n acest sens, lucrarea de fa este structurat
pornind de la o prezentare succint a aspectelor generale legate de dispozitivele
electronice semiconductoare i continund cu principalele domenii de aplicaii
industriale ale electronicii: electronica energetic, electronica digital,
msurarea electric a mrimilor neelectrice.
Prin coninutul ei, cartea poate fi util i altor categorii de cititori (studeni ai
altor secii inginereti, ingineri, profesori, etc.).
ntr-un domeniu care se dezvolt foarte rapid, cum este cel al electronicii, este
practic imposibil s cuprinzi ntr-o lucrare general aspectele cele mai moderne
ale problematicii studiate. Este necesar ns ca ea s ofere o informaie clar i
bine structurat privind aspectele deja complet lmurite, care s permit, prin
extrapolare, abordarea celor mai noi probleme ale domeniului. Sperm c
aceast lucrare satisface, mcar ntr-o anumit msur, aceast condiie.
Celor care vor avea prilejul s consulte aceast lucrare le adresm
rugmintea de a ne semnala, pe adresa editurii, diferitele aspecte constatate,
observaii, propuneri, etc. Pentru aceasta, le mulumim cu anticipaie.
8

Unul din scopurile principale ale studiului teoretic n orice domeniu de


cunoatere const n gsirea acelui punct de vedere, din care obiectul de studiu
se reliefeaz n simplitatea sa extrem.
,,Trebuie s mulumim Creatorului pentru c a fcut Universul astfel
nct tot ce este simplu s fie adevrat i tot ce este complicat s fie fals. Cutai
simplitatea i ndoii-v mereu de ea.
Autorii

INTRODUCERE
Unul din domeniile importante ale tiinei i tehnicii este electronica,
ce se ocup cu studiul bazelor fizice, cu cercetarea, elaborarea i utilizarea
aparatelor a cror funcionare se bazeaz pe dispozitive electronice. O
caracteristic general a acestor dispozitive const n faptul c ele sunt
elemente neliniare, aceast neliniaritate determinndu-le utilizrile.
Electronica industrial reprezint acea parte a electronicii care se ocup
cu utilizarea n industrie a dispozitivelor electronice de diferite tipuri i cu
principiile generale de realizare a schemelor electronice funcionale. Ea se
mparte n dou domenii generale i anume:
1. Electronica informaional, care se ocup cu studiul sistemelor de
reprezentare, prelucrare, transmitere i recepie a informaiei.
Caracteristica electronicii informaionale const n complexitatea i
diversitatea problemelor de rezolvat, n viteza mare de lucru i n necesitatea
unei nalte sigurane n funcionare. Ea este nemijlocit legat de utilizarea
microschemelor integrate, a cror dezvoltare i perfecionare determin n mod
esenial nivelul de dezvoltare al domeniului n ansamblul su.
2. Electronica energetic se refer n principal la tehnica redresoarelor i
convertoarelor i se ocup cu transformarea energiei electrice dintr-o form
ntr-alta, avnd n vedere c aproape jumtate din energia electric este
consumat sub form de curent continuu sau de frecven nestandardizat.
Inginerilor energeticieni i electrotehniti le este util pregtirea n
domeniul electronicii industriale n scopul formulrii corecte a condiiilor
tehnice pentru elaborarea soluiilor electronice, al exploatrii corecte a
acestora, al proiectrii instalaiilor de transport energetic de mare putere, al
utilizrii documentaiilor specifice n domeniul electronicii.
Electronica se dezvolt continuu; rezolvarea problemelor locale se
poate face cu ajutorul diferitelor variante schematice, care n final sunt
determinate de analiza economic. Inginerul influeneaz deci prin activitatea
sa, asupra politicii tehnice din domeniul su de specializare.
Electronica fizic se ocup cu studiul fenomenelor i proceselor
electronice, legate de modificarea concentraiei i deplasarea particulelor
ncrcate electric n medii i n condiii diferite. Electronica tehnic se refer
la elaborarea i exploatarea aparatelor electronice i instalaiilor cu diferite
destinaii.
Eficacitatea aparaturii electronice este determinat de rapiditatea,
precizia i sensibilitatea elementelor sale componente. Cu ajutorul
dispozitivelor electronice se poate transforma, relativ uor i cu eficien mare
n majoritatea cazurilor, energia electric n ceea ce privete forma acesteia,
10

mrimea i frecvena curentului sau tensiunii. Cu ajutorul dispozitivelor


electronice se poate transforma energia neelectric n electric i invers.
Diversele traductoare i aparate de msur permit msurarea, nregistrarea i
reglarea cu mare precizie a mrimilor neelectrice temperatur, presiune,
deformaii elastice, transparen, etc.
Procesele de transformare a energiei n aparatele electronice au loc cu
mare vitez. Acest lucru este asigurat de ineria mic, ce permite utilizarea
acestora ntr-un domeniu larg de frecven, de la zero pn la sute de GHz. n
aceste condiii, se asigur i o sensibilitate mare, care nu poate fi obinut n
aparate de alt tip. Astfel, cu aparate electronice de msur se pot msura cureni
de ordinul 1017 A i tensiuni de ordinul 1014 V.
Aparatele electronice pot detecta erori n execuia instrumentelor
mecanice de msur de ordine foarte mici. Microscoapele electronice, care pot
mri de milioane de ori, permit ptrunderea n structurile atomice, iar instalaii
speciale de radioastronomie sunt utilizate pentru cunoaterea universului. Un
rol important l ocup electronica i n biologie, pentru studierea proceselor n
sistemul activitii superioare nervoase, a problemelor codului genetic, etc.
Una din caracteristicile progresului tehnic i tiinific este
automatizarea i robotizarea activitilor productive, pe baza tehnicii
electronice.
O dezvoltare puternic n ultimul timp a cptat microelectronica,
domeniul electronicii care se ocup de micro-miniaturizarea aparaturii
electronice, n scopul reducerii volumului, greutii, preului de cost, al
creterii fiabilitii, pe baza unui complex de metode. Dezvoltarea electronicii
tehnice a cunoscut trei etape importante: electronica cu tuburi electronice,
electronica semiconductorilor i microelectronica. n anii `70 au aprut primele
microscheme integrate mari, care conin cteva mii de componente ntr-un
singur cristal semiconductor, cu posibilitii funcionale multiple. Eficiena
utilizrii acestor circuite este legat de apariia microprocesoarelor, care
reprezint dispozitive comandate prin programare, pentru prelucrarea
informaiei numerice i care sunt realizate pe una sau mai multe microscheme
integrate mari.
Progresul n domeniul tehnologiei de realizare a circuitelor integrate
continu n nanoelectronic, la care dimensiunile unui element separat din
schema integrat sunt de ordinul nm. Astfel, o plcu de siliciu cu suprafaa
de civa milimetri ptrai, permite realizarea a zeci de milioane de elemente,
cu dimensiuni de circa 0,2 m. Calculatoarele electronice sunt principalul
beneficiar al circuitelor integrate pe scar larg.
Domeniile de dezvoltare a electronicii n perspectiva imediat se refer
la:
scheme integrate pe scar foarte larg (VLSI very large scale integrated)
dispozitive optoelectronice
11

dispozitive bazate pe laseri


dispozitive holografice
dispozitive criogenice
dispozitive pe pelicule magnetice
dispozitive acustoelectronice
dispozitive bioelectronice
Este util ca, la baza studierii mijloacelor tehnice electronice, s se aeze
principiul analizei soluiilor tipice, care selecteaz particularitile
caracteristice ale unei anumite clase tipice de scheme, acordnd atenie
sensului fizic i aspectelor teoretice funcionale ale acestora. O asemenea
metod de studiu are n vedere asimilarea creatoare a materialului. Materialul
trebuie de asemenea studiat sistematic n sfera schemelor logice, astfel nct
informaia nou s se bazeze pe cea anterioar.
Esenialul se refer la nelegerea sensului fizic al proceselor care au
loc n aparatura electronic. Scopul principal al studiului, al colii, const n a
nva pe student s lucreze independent i creator, s recepioneze activ
informaia primit, s participe la rezolvarea i anticiparea problemelor
tematicii.

12

1.

DISPOZITIVE SEMICONDUCTOARE

1.1. Conducia electric la semiconductoare


Semiconductoarele sunt materiale a cror rezistivitate electric se
gsete n limitele 103 104 cm i care, din punct de vedere al conductivitii
electrice, ocup o poziie intermediar, ntre metale i dielectrici.
La formarea unui cristal, nivelurile energetice discrete ale atomilor
izolai se lrgesc, prin formarea unor benzi constituite din subniveluri
energetice infinit apropiate. n figura 1.1 este prezentat diagrama energetic
a unui semiconductor: banda de valen V, are toate nivelurile ocupate cu
electroni la temperatura de zero absolut. Banda de conducie C reprezint
banda electronilor liberi, pe nivelurile energetice ale creia pot s se transfere
electronii prin excitare. Banda interzis I, de lrgime W, este aceea cu valori
ale energiei pe care electronii nu le pot avea. Semnificaia zonei interzise se
refer la faptul c transferul electronului n banda de conducie se poate face
numai dac acestuia i se transmite o energie mai mare dect W.

Fig. 1. 1 Diagrama energetic i procesele de formare a purttorilor


de sarcin liberi n semiconductorul pur (a), de tip n (b) i de tip p (c)

13

Metalele se caracterizeaz prin faptul c banda interzis lipsete,


benzile de valen i de conducie fiind nvecinate. Ca urmare, electronii de
valen pot trece n stri energetice superioare, adic n stare de conducie, fr
a avea nevoie practic de energie. Astfel, numrul de electroni liberi este mare
la metale; aceast situaie explic nivelul nalt de conductivitate electric i
termic. La materialele izolatoare, limea benzii interzise este mare (W > 3
eV), ceea ce face ca electronii de conducie s fie practic abseni.
La semiconductoarele utilizate frecvent n prezent, limea benzii
interzise este de 0,72 eV la germaniu i de 1,12 eV la siliciu. Acestea se gsesc
n grupa a IV-a a sistemului periodic al elementelor i au cte 4 electroni de
valen. n figura 1.1, legtura covalent dintre atomii reelei cristaline a
acestor semiconductoare este marcat cu 2 linii.
Pentru c banda interzis la aceste semiconductoare este relativ ngust,
unii dintre electroni pot obine, chiar la temperatura mediului ambiant (T 300
K), energie suficient pentru a traversa banda interzis i a trece n banda de
conducie. n acest caz, n banda de valen apare un nivel energetic neocupat
golul. Astfel, n reeaua cristalin se produce ruperea legturii covalente, iar
electronii liberi care apar se pot deplasa liber n cristal. Golurile, care reprezint
sarcinile electrice pozitive din nodurile reelei, sunt lipsite de legturile
electronice respective.
Procesul de refacere a legturilor, datorit deplasrii electronilor legai
de la un atom al reelei la altul, adic n banda de valen, se poate reprezenta
(nlocui) prin deplasarea n sens invers a golurilor, a cror sarcin este pozitiv.
n acest fel, n cristal este posibil deplasarea liber a electronilor i a golurilor.
Procesul de formare n cristalul pur a perechilor de electroni n banda de
conducie i de goluri n banda de valen se numete generare intrinsec a
purttorilor de sarcin electric liberi.
n acelai timp, are loc i efectul de recombinare a electronilor cu
golurile, adic ntoarcerea electronului din banda de conducie n banda de
valen i, n acest fel, de dispariie a purttorilor de sarcin electric liberi. De
obicei, recombinarea se produce datorit defectelor din reeaua cristalin,
numite centre de recombinare.
Durata medie de timp ntre momentele de generare i de recombinare
determin timpul de via mediu al purttorilor de sarcin.
Concentraia purttorilor de sarcin n semiconductorul pur este egal
pentru cele dou tipuri de purttori: n = p = ni. La temperatura mediului
ambiant, aceast concentraie este mic; semiconductorul pur se apropie de
dielectrici din punct de vedere al proprietilor electrice.
Introducerea unei cantiti infime de impuriti conduce la schimbarea
radical a caracteristicilor de conductibilitate electric. Proporia de impuriti
este de ordinul a un atom de impuritate la un milion de atomi de semiconductor.
Impuritile care dispun de un electron suplimentar de valen, se numesc
14

donori i aparin grupei a V-a din sistemul periodic al elementelor. Arseniul i


fosforul au pe stratul de valen cte 5 electroni. n cazul semiconductorului cu
impuriti de acest tip, unul din electronii de valen ai atomilor de impuritate
devine liber, pentru c nu particip la legtura covalent cu atomii nvecinai
ai semiconductorului. Acestui electron i corespunde, pe diagrama energetic,
un nivel energetic local, numit nivel energetic donor, dispus n partea
superioar a benzii interzise, n apropierea benzii de conducie, i care, la
temperatura de zero absolut, este complet. Atomii de impuritate, prin nclzire
uoar se ionizeaz, pentru c electronul care se gsete pe nivelul donor trece
n banda de conducie, mrind numrul existent de electroni liberi. n acest fel,
n reeaua cristalin a semiconductorului apar ioni de impuriti cu sarcin
pozitiv i electroni liberi. Schimbul de electroni dintre atomii de impuritate
este imposibil, pentru c, la temperatura ambiant, toi acetia sunt ionizai.
Ionizarea atomilor de impuriti nu duce astfel la creterea concentraiei
golurilor, care apar numai la ruperea legturilor dintre atomii
semiconductorului. Datorit acestui fapt, concentraia electronilor liberi n
semiconductorul cu impuriti donoare este mai mare dect concentraia
golurilor iar conductivitatea electric este determinat de electroni. n acest caz
electronii sunt purttori majoritari, iar golurile sunt purttori minoritari.
Semiconductorul se numete semiconductor de tip n, cu toate c, din punct de
vedere electric, el rmne n ansamblu neutru, pentru c sarcina suplimentar
a electronilor liberi este compensat de sarcina ionilor de impuriti. Astfel:
nn = pn + Nd
unde nn este concentraia purttorilor majoritari (electroni liberi), pn este
concentraia purttorilor minoritari (goluri) i Nd este concentraia de
impuriti donoare. Pentru c pn este mic, nn Nd.
n domeniul temperaturilor de lucru, concentraia nn nu depinde de
temperatur, pentru c toi atomii de impuriti sunt ionizai.
n cazul cnd n semiconductor se introduc impuriti de tip acceptor,
din grupa a III-a a sistemului periodic al elementelor (aluminiu, bor sau indiu),
n banda interzis apare un nivel energetic suplimentar, neocupat la
temperatura zero absolut, situat n apropierea zonei de valen, numit nivel
energetic acceptor. Atomul de impuritate dispune numai de trei electroni de
valen, deci el are tendina de a capta nc un electron, pentru a-i completa
octetul i a realiza toate legturile covalente cu atomii vecini. Astfel, prin
preluarea unui electron, se formeaz un ion negativ de impuritate, iar n locul
electronului captat, la atomul cruia i-a aparinut, apare o sarcin pozitiv
golul. Nivelul energetic acceptor este dispus n apropierea benzii de valen i
preia uor electronii din aceast band, procesul conducnd la formarea
golurilor. Purttorii de sarcin electric liberi majoritari sunt n acest caz
golurile, iar purttorii minoritari sunt electronii. Sarcina suplimentar a
golurilor este echilibrat de sarcina ionilor negativi, astfel nct se menine
15

neutralitatea semiconductorului. Semiconductorul cu impuriti de tipul


acceptor se numete semiconductor de tip p, iar:
pp = np + Na Na
unde Na este concentraia impuritilor acceptoare.
Conductivitatea electric a semiconductorului este egal cu:
= enn epp
unde: e este sarcina electric elementar, n i p sunt concentraiile electronilor,
respectiv golurilor i n i p sunt mobilitile electronilor, respectiv golurilor.
Mobilitatea purttorilor este o mrime numeric egal cu raportul dintre
viteza medie de micare dirijat (viteza de drift) a purttorilor de sarcin i
intensitatea cmpului electric.
La semiconductorul de tip n, nn pn i, din aceast cauz, n = ennn.
La semiconductorul de tip p, pp np i, deci p = enpp.
Prin creterea temperaturii, se mrete amplitudinea oscilaiilor termice
ale reelei cristaline, din care cauz se micoreaz mobilitatea purttorilor de
sarcin. Deoarece concentraia purttorilor majoritari la semiconductorii cu
impuriti este constant la temperaturile obinuite de lucru, conductivitatea
lor electric scade lent prin creterea temperaturii, pentru c se micoreaz
mobilitatea.

1.2. Procese n jonciunea p-n


n dispozitivele semiconductoare se utilizeaz cristale semiconductoare
cu dou sau mai multe straturi care se deosebesc prin natura tipului de
conducie (n sau p). De obicei, la structura cu dou straturi de tip n i de tip p,
concentraia impuritilor este asimetric n straturi, adic Na Nd sau Nd
Na. Ca urmare, unul din straturi are concentraia mai mare a purttorilor
majoritari i, ca urmare i conductivitatea electric mai mare. n figura 1.2 este
prezentat schematic structura cu dou straturi, n care Na Nd, pp nn.
Zona semiconductorului dispus n apropierea suprafeei de separare
dintre straturile p i n, n care are loc o schimbare brusc de conducie, se
numete jonciune p-n.
n absena unui cmp electric exterior, n jonciunea p-n, datorit
diferenei de concentraie a purttorilor majoritari n straturile p i n, se produce
procesul de difuzie prin jonciune a purttorilor de sarcin din zona cu
concentraie superioar n zona cu concentraie inferioar. Purttorii majoritari
din zona p, adic golurile, vor difuza n zona n, iar purttorii majoritari din
zona n, adic electronii, vor difuza n zona p. Curentul de difuzie prin jonciune
va fi egal cu: Id = Idif-p + Idif-n Idif-p, pentru c pp nn.

16

Fig. 1. 2 Jonciunea p-n n lipsa cmpului electric exterior (a), la aplicarea unei
tensiuni directe (b) i respectiv inverse (c)

Datorit forelor de difuzie, purttorii de sarcin majoritari trec dintr-o


zon n alta i se recombin cu purttorii majoritari din cealalt zon. Prin
plecarea purttorilor majoritari dintr-o zon i recombinarea acestora n
cealalt, n apropierea suprafeei de separare dintre zona n i zona p apare o
zon srcit n purttori majoritari, care are deci o rezisten mare, constituind
astfel un strat de baraj (de blocare). n acest strat de baraj se stric echilibrul
dintre sarcinile pozitive i negative, datorit faptului c, prin micorarea
concentraiei purttorilor liberi, sarcina de volum a ionilor de impuriti stabili
(negativi n zona p i pozitivi n zona n) devine necompensat. Acest strat
electric dublu produce un cmp electric E0, care provoac apariia pe curba de
distribuie a potenialului din semiconductor a unei bariere de potenial, 0.
Cmpul electric care apare n interiorul stratului de baraj provoac deplasarea
dirijat a purttorilor prin jonciune (curent de drift), n sens invers fa de
componenta de difuzie a curentului prin jonciune. Curentul de drift prin
jonciune este egal cu Idr = Idr-p + Idr-n.
Difuzia purttorilor conduce la creterea cmpului electric i a barierei
de potenial, ceea ce provoac creterea curentului de drift. Creterea stratului
electric dublu nceteaz atunci cnd curentul nsumat prin jonciune se
anuleaz, adic atunci cnd Idif = Idr.
Regimul stabilizat corespunde strii de echilibru a jonciunii p-n n
lipsa unui cmp electric extern. n acest caz, curentul prin jonciune devine:
Ia = Idif Idr = Idif-p + Idif-n Idr-p Idr-n = 0
(1. 1)
Lrgimea stratului de baraj n zonele p i n depinde de concentraia
ionilor de impuriti din aceste straturi i este cu att mai mic cu ct
concentraia este mai mare. Dac Na Nd, jonciunea se compune dintr-un
strat electric stabil, a crui lime n zona n este mai mare (figura 1.2.a).
17

Dac pe jonciunea p-n se aplic o tensiune Ua direct (figura 1.2.b),


adic plusul pe zona p i minusul pe zona n, ntreaga tensiune se aplic pe
stratul de baraj, care are rezisten mare. Sensurile cmpurilor electrice,
exterior Ea i interior E0 sunt opuse, cmpul electric rezultant n stratul de baraj
se micoreaz i bariera de potenial devine egal cu = 0 Ua.
Din aceast cauz, se mrete componenta de difuzie a curentului Idif
prin jonciune, pentru c o cantitate mai mare de purttori de sarcin care au
energie suficient pot depi bariera de potenial.
Componenta de drift a curentului nu se modific ns, pentru c ea este
determinat numai de cantitatea purttorilor minoritari care ajung la stratul de
baraj n procesul de difuzie, iar aceti purttori vor fi n continuare atrai de
cmpul jonciunii. n acest fel, curentul de drift Idr nu depinde de valoarea
tensiunii externe aplicate pe semiconductor. Curentul total prin jonciune este
curentul direct Ia = Idif Idr 0.
Bariera de potenial 0 se msoar n zecimi de volt. Micorarea
acesteia face ca sarcina spaial s se reduc, ca i limea stratului de baraj.
n cazul aplicrii unei tensiuni inverse pe jonciune (figura 1.2.c), se
mrete cmpul rezultant din stratul de baraj i se mrete valoarea barierei de
potenial = 0 + Ua.
Curentul prin semiconductor este determinat de curentul de drift, pentru
c difuzia purttorilor prin stratul de baraj este blocat:
Ia = Idif Idr = Idr.
n acest caz, cmpul n jonciunea p-n atrage toi purttorii minoritari
de sarcin, indiferent de valoarea barierei de potenial, astfel nct prin
jonciune trece practic numai curentul purttorilor minoritari, adic un curent
de goluri din zona n n zona p i de electroni din zona p n zona n.
Acest curent invers este ns mult mai mic dect curentul direct prin
jonciunea p-n, cnd tensiunea extern aplicat are acelai sens (plus la stratul
p i minus la stratul n), deoarece numrul purttorilor minoritari n
semiconductor este mic.
Raportul dintre valorile curentului direct i invers n jonciunea p-n
arat c dispozitivul are conducie direcional (unilateral), adic poate
funciona ca redresor. Curentul invers a purttorilor minoritari Iinv = Idr se
numete curent de saturaie, sau de origine termic, pentru c el depinde de
temperatur datorit faptului c prin nclzirea semiconductorului se amplific
procesul de generare a purttorilor minoritari. Astfel, curentul termic se
dubleaz prin nclzire cu 8 C a dispozitivelor cu germaniu i cu 10 C a
dispozitivelor cu siliciu.
n cazul polarizrii inverse a jonciunii p-n, intensitatea cmpului
electric rezultant din jonciune crete, din care motiv crete i sarcina stratului
electric dublu i limea stratului de baraj.
18

Variaia curentului prin jonciunea p-n n funcie de tensiunea aplicat,


Ia = f(Ua) se numete caracteristica jonciunii p-n.
1

I
II

III

Fig. 1. 3 Caracteristica jonciunii p-n ideale (a) i reale (b)

La aplicarea unei tensiuni directe Ua de mic valoare, prin dispozitiv


trece un curent mare. La tensiuni inverse mari, curentul de origine termic este
mic. Proprietile jonciunii p-n sunt apropiate de cele ideale ale diodei, adic
pe dispozitiv cderea de tensiune este practic nul n cazul polarizrii directe
i curentul este nul n cazul aplicrii tensiunii inverse. n caz real situaia este
prezentat n figura 1.3.b.

1.3. Diode semiconductoare


Dioda semiconductoare const dintr-un cristal semiconductor cu dou
straturi cu tip de conducie diferit, montat ntr-o capsul prevzut cu dou
borne de ieire pentru cuplarea n circuitul exterior. Caracteristica diodei reale
se deosebete de caracteristica ideal a jonciunii p-n. Astfel, cderea de
tensiune direct pe diod este mai mare dect tensiunea pe jonciunea p-n cu o
valoare egal cu cderea de tensiune pe straturile p i n ale semiconductorului,
rolul principal fiind jucat de cderea de tensiune n stratul n pentru cazul
analizat n paragraful anterior (figura 1.3.b, curba 2).
Ramura invers a caracteristicii diodei este caracterizat de trei zone i
anume: n zona I diferenierea fa de caracteristica jonciunii p-n este datorat
existenei unui curent superficial la suprafaa cristalului. n zona a II-a se
remarc existena strpungerii electrice a jonciunii cnd, la schimbarea lent
a tensiunii, curentul electric crete vertiginos. n cazul diodelor redresoare, este
caracteristic procesul de strpungere n avalan, care const n faptul c, sub
influena cmpului electric puternic, purttorii minoritari care ajung n
jonciune, pe durata de timp corespunztoare timpului mediu dintre dou
ciocniri consecutive cu nodurile reelei cristaline, capt o energie suficient
19

pentru ionizarea prin ciocnire a atomilor. Se formeaz astfel o pereche de


purttori de sarcin electric liberi, care, la rndul lor, accelerai n cmpul dat,
pot provoca alte ionizri. Procesul de strpungere n avalan este, n anumite
condiii, reversibil.
O alt form a procesului de strpungere reversibil n zona a II-a este
efectul Zener (tunel). Acest proces apare n jonciunile subiri, la intensiti
mari de cmp electric, cnd energia necesar pentru ruperea legturilor din
reeaua cristalin se micoreaz, ceea ce face s sporeasc generarea
purttorilor minoritari de sarcin i deci s creasc rapid curentul invers.
n zona a III-a are loc strpungerea termic, atunci cnd, prin creterea
intensitii cmpului electric invers, crete curentul prin diod precum i
puterea disipat n jonciunea p-n. Creterea temperaturii cristalului amplific
generarea purttorilor minoritari, ceea ce face s creasc curentul invers,
datorit cruia crete puterea, temperatura jonciunii la rndul ei crete i ea,
ceea ce n final face ca jonciunea p-n s se distrug.
Alegerea dispozitivelor se face pe baza cataloagelor de firm n funcie
de parametrii necesari diodei pentru cazurile concrete de utilizare. Parametrii
de baz ai diodelor redresoare sunt:
valoarea medie a curentului maxim admis, determinat de nclzirea admis
a dispozitivului la aplicarea tensiunii directe;
valoarea tensiunii inverse sub forma impulsurilor repetabile, care este egal
cu aproximativ 0,7 din valoarea tensiunii de strpungere i care limiteaz
valorile admise de tensiune invers pe diod;
valoarea impulsului de tensiune direct, ce caracterizeaz diferenierea fa
de situaia real a curbei directe a caracteristicii i se determin pentru cazul
valorii maxime admise a curentului mediu direct;
curentul maxim invers, ce caracterizeaz existena zonei a III-a pe curba
invers a caracteristicii:
De regul, n cataloage se mai indic i ali parametri, necesari pentru
realizarea funcionrii la suprasarcini de scurt durat, specifici situaiilor de
avarie.
Diodele redresoare sunt de dou tipuri: cu germaniu i cu siliciu; ultimele
au cptat o larg rspndire deoarece temperatura lor de lucru admis este de
120C (fa de 55C la germaniu), au cureni inveri mai mici i admit tensiuni
inverse mai mari. De remarcat faptul diodele cu siliciu au o cdere de tensiune
direct mai mare, de circa 1 V, fa de 0,3 V la germaniu, pentru c parametrii
diodelor cu siliciu sunt determinai de lrgimea mai mare a benzii interzise, n
comparaie cu diodele cu germaniu.
Din punct de vedere al puterii disipate, diodele redresoare se mpart n
diode de mic putere, la care curentul direct este pn la 0,3 A, diode de putere
medie, cnd curentul direct poate lua valori ntre 0,3 i 10 A i diode de mare
20

putere, curentul direct putnd lua valori de peste 1000 A. Valoarea maxim a
tensiunii inverse poate atinge cteva mii de voli n cazul diodelor de siliciu.
Diodele cu avalan de mare putere au cptat utilizri frecvente datorit
faptului c, prin execuie tehnologic deosebit, se obine o jonciune p-n
omogen, n care sunt eliminate scurgerile de curent de suprafa pe marginile
structurii semiconductorului, n condiiile meninerii constante a densitii de
curent prin toat seciunea jonciunii. Se obine astfel reducerea nclzirii
dispozitivului i reducerea probabilitii de strpungere.
O variant special a diodelor semiconductoare o reprezint diodele de
frecven nalt de impulsuri. Datorit construciei speciale, se asigur valori
mici pentru capacitile interne i durate mici de timp pentru comutarea ntre
starea de conducie i de blocare.
Diodele Zener sunt diode cu siliciu, special destinate pentru stabilizarea
tensiunii. Intervalul de lucru pe caracteristica acestor diode l reprezint zona
a II-a (fig 1.3), care se caracterizeaz prin tensiunea
de stabilizare i este limitat de valorile minim i
maxim ale curentului. La valori mici a tensiunilor
de strpungere electric, puterea care se disip n
dispozitiv n zona a II-a a caracteristicii nu este mare
i, din aceast cauz, este posibil funcionarea
ndelungat a dispozitivului. Modificarea tensiunii
de stabilizare cu valoarea U, la variaia curentului,
I, prin dispozitiv este caracterizat prin rezistena Fig. 1. 4 Simbolul de
reprezentare n scheme a
dinamic a diodei:
diodei redresoare (a) i a
U
rst =
diodei Zener (b)
I
Ideal, rst = 0. n afara limitelor intervalului al II-lea, dioda Zener poate fi
considerat ca o diod obinuit.
Diodele Zener se fabric la tensiuni de stabilizare n domeniul de la 4 la
200 V, pentru cureni maximi de 0,01 10 A.
n figura 1.4 se prezint simbolul diodei redresoare i al diodei Zener.
Electrodul care se leag la zona p se numete anod, iar electrodul legat la zona
n catod.

1.4. Tranzistoare bipolare


Aceste dispozitive sunt destinate pentru comanda prin curent i
amplificarea semnalelor. Tranzistorul bipolar const dintr-un cristal de
semiconductor, compus din trei straturi cu conducie care alterneaz, cuplate

21

la trei borne pentru legarea la schema electric exterioar. n figura 1.5.a, b


sunt prezentate simbolurile tranzistoarelor de
tipul p-n-p i n-p-n. Straturile de laterale se
numesc emitor i colector, ntre care se afl
stratul numit baz. n aceast tripl structur
exist dou jonciuni: jonciunea emitor-baz
(E-B) i jonciunea colector-baz (C-B).
Materialul pentru realizarea tranzistoarelor este
germaniul sau siliciul. La construcia
Fig. 1. 5 Simbolul de
tranzistoarelor se respect urmtoarele dou
reprezentare n scheme a
condiii:
tranzistorului bipolar p-n-p (a)
- grosimea bazei, adic distana dintre
i n-p-n (b)
jonciunile E-B i C-B trebuie s fie mic n
comparaie cu lungimea de difuzie a purttorilor de sarcin;
- concentraia impuritilor i deci a purttorilor majoritari n emitor trebuie
s fie mult mai mare dect cea din baz, adic Na Nd n tranzistorul de
tip p-n-p.
Tranzistorul se cupleaz n serie cu rezistena de sarcin RC n circuitul
sursei de alimentare a colectorului EC. La intrarea tranzistorului se aplic
tensiunea de comand, EB (figura 1.6.a).

Fig. 1. 6 Distribuia curenilor (a) i a potenialelor (b) n tranzistorul


bipolar de tip p-n-p

22

Schema cu emitor comun este acea schem n care circuitele de intrare


compus din EB i RB i de ieire, compus din EC si RC au un punct comun
emitorul. n situaia cnd EB i EC sunt egale cu zero, jonciunile E-B i C-B se
gsesc n stare de echilibru iar curenii prin aceste jonciuni sunt nuli. Ambele
jonciuni au cte un strat dublu, compus din ioni de impuritate i barier
potenial 0, diferit ca valoare pentru fiecare din ele.
n figura 1.6.b, cu linie punctat se arat distribuia potenialelor n
tranzistor n lipsa tensiunilor.
Polaritatea surselor externe EB i EC face ca jonciunea E-B s fie
polarizat direct, adic minusul sursei EB se aplic pe baz, iar plusul pe emitor,
iar jonciunea C-B s fie polarizat invers, adic minusul sursei EC se aplic pe
colector iar plusul pe emitor, astfel nct UCEUBE.
Distribuia potenialelor n tranzistorul polarizat este prezentat prin linie
continu n fig 1.6.b. Bariera de potenial din jonciunea E-B se micoreaz iar
bariera de potenial la jonciunea de colector se mrete.
Datorit aplicrii pe jonciunea E-B a unei tensiuni directe, se produce
difuzia puternic i injecia golurilor din emitor n baz. Componena
electronic a curentului de difuzie prin jonciunea E-B este stabilit anterior de
Na Nd. Astfel, curentul de emitor este egal cu IE IE dif p.
Sub influena forelor de difuzie, ca rezultat al scderii concentraiei dea lungul bazei, golurile se deplaseaz de la emitor la colector. Cea mai mare
parte a golurilor injectate de ctre emitor ajung la jonciunea de colector, fr
s ptrund n centrele de recombinare, datorit faptului c baza tranzistorului
este foarte subire. Aceste goluri sunt captate de cmpul jonciunii C-B,
polarizat invers, astfel nct acest cmp este accelerator pentru purttorii
minoritari, adic golurile n baza de tip n. Curentul de goluri, care ajunge din
emitor n colector, se nchide prin circuitul extern la sursa EC. n acest fel, prin
creterea curentului emitorului cu mrimea IE, curentul colectorului crete cu
valoarea IC = IE.
Datorit probabilitii mici de recombinare n baza subire a
tranzistorului, factorul de transfer al curentului emitorului, numit factor de
amplificare n curent n montaj baz comun este:
I
= C = 0,9 0,99
I E
O mic parte din golurile injectate din emitor, se recombin totui n baz
i, n scopul refacerii neutralitii de sarcin a bazei, n aceasta sunt preluai
electroni din circuitul extern al sursei EB. Din aceast cauz, curentul bazei
este curentul de recombinare Irec = IE(1 ). Pe lng aceste componente ale
curentului prin tranzistor, datorate purttorilor majoritari, exist posibilitatea
transferului purttorilor minoritari care apar n baz i n colector ca rezultat al
generrii de purttori, prin jonciunea C-B, pe care se aplic tensiune invers.
23

Acest mic curent, datorat transferului de goluri din baz n colector i al


electronilor din colector n baz, analog curentului invers prin jonciunea p-n,
se numete curent invers al jonciunii de colector sau curent termic i se
noteaz cu ICB0 (figura 1.6.a).
Curentul total de colector este astfel determinat de deplasarea tuturor
purttorilor de sarcin prin jonciunea de colector:
IC = IE + ICB0
(1. 2)
Pentru c IE = IB + IC, expresia (1.2) se transform n:
IB = (1 )IE ICB0
(1. 3)
care arat c n tranzistor curenii sunt n relaie liniar.
I I
Din expresia (1.3) se obine: IE = B CB0 i, avnd n vedere expresia
1
(1.2), rezult:

IB
I CB0 I CB0
IC =
1
1
I C

Dac se noteaz =
(numit factor de amplificare n curent
I B 1
n montaj emitor comun) i ICE0 = ICB0(1 + ), atunci:
IC = IB + ICE0
(1. 4)
I C I C
. Atunci, expresia (1.4) se
Se consider c ICE0este mic iar
I B I B
transform n:
IC = h21EIB
unde h21E reprezint este factorul de transfer n curent n montaj emitor
comun.
Prin modificarea curentului de intrare din baz cu valoarea IB, se
modific curentul de ieire IC i cderea de tensiune pe sarcin, cu valoarea
ICRC, precum i puterea care se disip pe rezistena RC. n acest fel, prin
modificarea unui curent mic, IB, n circuitul sursei de tensiune mic, EB, se
modific puterea preluat din sursa EC de ctre RC, n condiiile cnd IC
IB i ICRC UBE.
n cazul cuplrii tranzistorului n schema cu baz comun, curentul de
intrare este curentul emitorului, iar prin sarcin trece curentul de colector, n
condiia cnd IC IE.. Prin modificarea unei tensiuni mici pe jonciunea E-B,
se poate modifica curentul n circuitul sursei EC i, astfel, s se obin creterea
tensiunii pe sarcin, ICRC UEB, adic se amplific semnalul n tensiune.
Absena amplificrii n curent reprezint cauza pentru care schemele cu baz
comun sunt puin utilizate n practica industrial.

24

Modul de funcionare a tranzistorului n-p-n este similar, deosebirea


constnd n inversarea sensului curenilor purttorilor de sarcin i a polaritii
tensiunilor aplicate.

1.5. Caracteristicile i parametrii tranzistoarelor


bipolare
Funcionarea tranzistorului cuplat n schema cu emitor comun este
determinat de familiile caracteristicilor de intrare i ieire.
Variaia curentului de colector n funcie de tensiunea colector-emitor, IC
= f(UCE), cnd curentul n baz este constant, IB = ct. se numete caracteristic
de ieire sau de colector. Aceast caracteristic este neliniar. Cnd UCE
UCES, curentul de colector nu depinde practic de tensiunea UCE. Tranzistorul
lucreaz n regimul n care pe jonciunea E-B se aplic tensiune direct iar pe
jonciunea C-B tensiune invers. El funcioneaz ca surs comandat de curent:
sursa de curent IC, a crei valoare este comandat de curentul din baz, IB.
Variaia curentului din baz se face prin modificarea tensiunii EB; prin
creterea, de exemplu, a acesteia, crete tensiunea direct pe jonciunea E-B i
injecia purttorilor de sarcin din emitor n baz iar curentul emitorului IE
crete cu valoarea IE.

Fig. 1. 7 Caracteristicile de ieire (a) i de intrare (b) la tranzistorul bipolar

Creterea curentului n baz se datoreaz mririi numrului de


recombinri ale golurilor n baza de grosime mic IB = Irec =IE(1 ).
Partea principal din creterea curentului de emitor, E provoac creterea
curentului de colector IC = IE = IB. Valoarea lui este n limitele de la
10 la 1000 pentru diferite tipuri de tranzistoare. nclinarea uoar a curbei
caracteristicii de ieire se explic prin faptul c, datorit creterii tensiunii UCE,
25

crete tensiunea pe jonciunea C-B i astfel se lrgete stratul de baraj al acestei


jonciuni, ceea ce face ca grosimea bazei s se micoreze. Reducerea grosimii
bazei, la rndul ei face ca probabilitatea de recombinare a purttorilor s scad
i astfel s creasc puin valorile factorilor de transfer a curentului, i . Din
relaia (1.4) se obine c, prin creterea lui , curentul de colector se mrete.
n cazul cnd UCE UCES, caracteristica de ieire are o pant mare de
variaie. Prin micorarea lui UCE, se micoreaz i tensiunea UCB i, atunci cnd
UCE = UCES = UBE, tensiunea UCB = UCE UBE i schimb semnul. Prin
micorarea n continuare a lui UCE pn la zero, pe jonciunea C-B se aplic
tensiune direct. Din aceast cauz, apare deplasarea purttorilor majoritari,
adic a golurilor, din colector n baz, mpotriva sensului de deplasare a
curentului de goluri din emitor n colector. La aceste valori mici ale lui UCE,
curentul de colector scade vertiginos. Poriunea caracteristicii de ieire care are
panta rapid arat c tranzistorul nu mai este capabil s funcioneze ca element
de amplificare. Acest regim de lucru se folosete ns n tehnica impulsurilor.
Creterea rapid a curentului de colector IC cnd pe tranzistor se aplic
valori mari de tensiune UCE, se produce datorit nmulirii n avalan a
purttorilor n jonciunea B-C i n continuare a strpungerii electrice a
acesteia. n vederea prentmpinrii strpungerii ireversibile a tranzistorului se
iau msuri de limitare a tensiunii pe colector i a puterii care se disip pe
jonciunea B-C. n cataloage se indic valoarea limit a curentului de colector,
peste care factorul de amplificare se micoreaz. Variaia curentului din baz
n funcie de tensiunea baz-emitor, IB = f(UBE) n condiia meninerii constante
a tensiunii de colector, UCE, se numete caracteristica de intrare a
tranzistorului.

Fig. 1. 8 Dependena modulului factorului de amplificare n


funcie de frecven

Cnd UCE = 0, ambele jonciuni ale tranzistorului lucreaz la tensiuni


directe i curenii de colector i de emitor se nsumeaz n baz. n acest caz,
caracteristica de intrare reprezint caracteristica a dou jonciuni p-n cuplate n
26

paralel (figura 1.7.b). La UCE > UCES pe jonciunea B-C apare o tensiune
invers, iar pe jonciunea B-E se pstreaz tensiunea direct. Curentul bazei,
determinat de procesul de recombinare a purttorilor minoritari, este egal cu
diferena dintre curenii de emitor i de colector. Caracteristica de intrare a
tranzistorului este determinat de una dintre caracteristicile jonciunii B-E, dar
valoarea curentului se micoreaz cu coeficientul (1 ), care arat c curentul
bazei reprezint doar componenta de recombinare a curentului de emitor.
Curenii prin tranzistori sunt puternic influenai de temperatura
mediului de lucru. Odat cu creterea temperaturii, crete curentul ICB0, pentru
c se mrete concentraia purttorilor minoritari n straturi. De asemenea,
factorul de amplificare se mrete prin creterea temperaturii, datorat
faptului c centrele de recombinare localizate pe defectele reelei cristaline se
completeaz, ceea ce face s scad probabilitatea de recombinare a purttorilor

n baz i s creasc astfel factorii de amplificare i respectiv =


.
1
Modificarea lui IC poate ajunge la cteva zeci de procente prin creterea
temperaturii cu 20 30 C. Factorii i depind de asemenea de frecvena de
lucru a tranzistorului, pentru c procesele care au loc n tranzistor prin trecerea
purttorilor de sarcin prin stratul bazei precum i schimbarea concentraiei
purttorilor n baz prin difuzia purttorilor minoritari spre colector sunt
caracterizate de inerie. Datorit acestei inerii, variaia curentului de ieire
sufer o ntrziere de faz n raport cu variaia curentului de intrare. La
frecven mare de repetiie a impulsurilor, pe durata impulsului curentul de
colector nu reuete s ajung la valoarea sa maxim i, prin creterea
frecvenei, amplitudinea impulsurilor scade.
Factorul se exprim form complex care depinde de frecven, sub
forma:
0
=
(1. 5)
f
1 i
f
unde 0 este valoarea coeficientului n domeniul frecvenelor joase i medii

i f este frecvena pentru care 0 .


2
n cataloage este indicat frecvena limit a factorului de amplificare n
curent, flim, pentru care = 1. Prin introducerea valorii f = flim n relaia (1.5),
se determin , avnd n vedere c flim/f >> 1 i se obine:
flim = 0f
(1. 6)
Valoarea lui f, care arat capacitatea tranzistorului de a amplifica
semnale de nalt frecven, este la tranzistorele moderne de f = 106 107 Hz.
n cazul cnd este necesar amplificarea semnalelor la frecvene mai mari dect
27

f, se folosete schema de cuplare a tranzistorului cu baza comun, ceea ce


permite obinerea amplificrii pn la valoarea maxim posibil, de flim.
Tranzistoarele bipolare sunt comandate n curent i deci consum
putere din circuitul de intrare, motiv pentru care nu pot fi utilizate pentru
amplificarea semnalelor de putere mic.

1.6. Tranzistoare cu efect de cmp


Tranzistoarele cu efect de cmp sunt dispozitive semiconductoare care
practic nu consum curent din circuitul de intrare i se mpart n dou tipuri,
deosebite din punct de vedere al principiului de funcionare: cu jonciune p-n
i metal dielectric semiconductor.
1.6.1. Tranzistoare cu efect de cmp cu jonciune p-n (TEC-J)
Acestea au structura constructiv prezentat n figura 1.9. Stratul cu
conducie de tip p se numete canal i este prevzut cu dou borne pentru
cuplare n circuitul extern i anume: drena (D) i sursa (S). Straturile cu
conducie de tip n care mrginesc canalul de ambele pri sunt unite la o
singur born denumit gril sau poart (G) pentru cuplarea n circuitul
exterior. Exist i tranzistoare cu efect de cmp la care canalul este de tip n;
reprezentarea schematic a acestora se prezint n figura 1.9.c. Principiul de
funcionare la tipurile n i p este acelai; deosebirea const n aceea c direcia
curenilor i polaritatea tensiunilor aplicate sunt opuse.

IG

EGS +

n
S

CANAL

IS

p D
R
n UDS D
+

a)

D
G

ID IS

b)

S
c)
UDS = 0
UDS = 0,4 V
UDS = 0,8 V
UDS = 1,2 V
UGS

ED
d)

Fig. 1. 9 Structura (a), reprezentarea n schem (b canal p, c canal n) i


caracteristicile de dren (d) ale tranzistorului cu efect de cmp cu jonciune p-n

n figura 1.9.d este prezentat familia caracteristicilor de dren (de


ieire) a TEC-J, ID = f(UDS), n condiia cnd UGS = ct.
Funcionarea tranzistorului cu efect de cmp este determinat de
procesele care au loc la jonciunea dintre canal i straturile vecine.
28

Astfel, cnd tensiunea de comand UGS = 0 i se cupleaz o surs de


tensiune ntre dren i surs, UDS, prin canal trece un curent a crui valoare este
determinat de rezistena canalului.

CANAL

a)

b)

Fig. 1. 10 ngustarea canalului tranzistorului cu efect de cmp la aplicarea


tensiunii UDS

Tensiunea UDS se distribuie uniform pe lungimea canalului, astfel nct


tensiunea invers mai mare pe jonciune se gsete n zona apropiat de dren,
iar n apropierea sursei jonciunea pn se afl n stare de echilibru. Aceast
tensiune provoac lrgirea stratului de baraj al jonciunii pn i ngustarea
canalului (figura 1.10.a). n mod deosebit, lrgirea jonciunii apare n
apropierea drenei, unde exist tensiune invers mai mare pe jonciune. Lrgirea
jonciunii p-n determin ngustarea canalului conductor de curent al
tranzistorului i astfel rezistena acestuia crete; datorit creterii rezistenei
canalului la creterea UDS, caracteristica de dren a tranzistorului cu efect de
cmp este neliniar (figura 1.9.d). Pentru o anumit valoare a lui UDS, canalul
se nchide complet, iar creterea curentului ID nceteaz prin mrirea valorii lui
UDS (figura 1.10.b).
Cnd se aplic o tensiune pozitiv pe gril (UGS > 0), jonciunea pn se
lrgete i mai mult n zona tensiunii inverse, ca rezultat canalul conductor de
curent se ngusteaz mai pronunat i curentul de dren ID se micoreaz n
mod corespunztor (figura 1.9.d). n acest fel, rezistena canalului i deci
curentul de dren pot fi comandate de tensiunea UGS aplicat pe poart. Pentru
o anumit valoare a lui UGS, care se numete tensiune de blocare, curentul de
dren practic se anuleaz, ca urmare a nchiderii complete a canalului.
I D
Se definete panta caracteristicii de dren: S =
U GS U ct .
DS

Spre deosebire de tranzistoarele bipolare, cele cu efect de cmp sunt


comandate n tensiune, iar n circuitul de gril trece numai un mic curent, de
origine termic IG, al jonciunii pn, care este polarizat invers. Caracteristicile
de dren au dou sectoare distincte ca i caracteristicile de colector de la
tranzistoarele bipolare, unul de cretere rapid i altul de cretere lent.
29

Sectorul de cretere rapid al caracteristicii se folosete n schemele de


comutaie, iar sectorul al doilea pentru amplificarea semnalelor.
Curentul de dren al tranzistorului cu efect de cmp este puternic
influenat de temperatura de funcionare, pentru c, pe msura creterii
temperaturii, conductivitatea electric a semiconductoarelor cu impuriti n
gama temperaturilor de lucru se micoreaz. De asemenea, prin nclzire,
limea jonciunii pn se micoreaz, iar canalul se lrgete. Ca rezultat al
aciunii combinate a acestor doi factori, prin nclzirea tranzistorului, la UGS =
ct., curentul de dren se poate modifica n ambele sensuri, adic poate s
creasc sau s se micoreze.
Frecvenele de lucru sunt mari, de ordinul MHz, valoarea acestora fiind
limitat de capacitatea jonciunii pn, a crei suprafa este relativ mare.
1.6.2. Tranzistoare cu efect de cmp cu poart izolat (TEC-MOS)
Aceste tranzistoare se numesc astfel pentru c sunt constituite din
suprapunerea a trei straturi: metal, oxid (dielectric) i semiconductor MOS.
La suprafaa cristalului semiconductor care reprezint suportul (baza) cu
conducie de tip p sunt formate dou zone cu conductivitate de tip n, legate
ntre ele printr-o punte subire care reprezint canalul. Zonele de tipul n sunt
prevzute cu borne pentru cuplarea n circuitul electric exterior (drena i sursa).
Cristalul semiconductor este acoperit de o pelicul de oxid dielectric, pe care
se dispune grila metalic G, legat n circuitul exterior. n acest fel grila este
izolat din punct de vedere electric de circuitul dren surs (figura 1.11.a).
Suportul (baza) se leag cu sursa, legtura fcndu-se fie n interiorul
dispozitivului, fie n exteriorul acestuia. Caracteristicile de dren (de ieire), ID
= f(UDS) pentru UGS = ct. sunt prezentate n figura 1.11.b. n lipsa tensiunii de
comand, cnd UGS = 0, prin canal ntre zonele de tipul n trece curentul ID. Prin
creterea tensiunii sursei, UDS, jonciunea pn dintre baz i canal se lrgete
n sens invers, astfel nct tensiunea invers mai mare pe jonciune se
realizeaz n apropierea drenei i astfel se ngusteaz canalul care conduce
curent. Pe msura creterii UDS, rezistena canalului se mrete, creterea
curentului de dren se ncetinete i, atunci cnd jonciunea acoper seciunea
canalului prin creterea lui UDS, curentul ID se satureaz. n acest timp de
funcionare, procesele care au loc n tranzistorul TEC-MOS sunt similare
proceselor care au loc n tranzistorul TEC-J.
Cnd se aplic o tensiune pozitiv pe gril, se produce o atragere a
electronilor din baz, care se acumuleaz n zona canalului, rezistena acestuia
se micoreaz iar curentul de dren crete (regimul de mbogire, pe
caracteristica din figura 1.11.b, pentru UDS > 0). n cazul cnd pe gril se aplic
o tensiune negativ, cmpul electric respinge electronii din canal n suport,
rezistena canalului crete, iar curentul ID scade (regimul de srcire).

30

METAL

OXID
D

CANAL n

ID

SUPORT

UDS

p
+

a)
ID

RD

EDS

ID
UGS = 1 V
UGS = 0,5 V
UGS = 0
UGS = - 0,5 V
UGS = - 1 V
UDS
b)

UGS = 3 V
UGS = 3,5 V
UGS = 2,5V
UGS = 2 V
UDS
c)

Fig. 1. 11 Structura (a) i caracteristicile de dren (b cu canal iniial, c cu


canal indus) la tranzistoarele MOS

n acest fel, prin modificarea tensiunii de comand UGS, se modific


curentul de ieire al tranzistorului, ID. Se definete panta caracteristicii de
dren, la fel ca la TEC-J. Datorit faptului c grila este izolat de restul
circuitului, curentul acesteia, IG, este foarte mic, fiind determinat numai de
rezistena izolaiei, motiv pentru care puterea necesar pentru comanda
tranzistoarelor MOS este practic nul. n mod analog funcioneaz i
tranzistoarele MOS cu canal de tip p, la care suportul este de tip n, sensul
curenilor i polaritatea tensiunilor fiind ns inverse fa de cazul analizat
anterior (TEC-MOS de tip p). Simbolurile folosite n scheme pentru TECMOS de tip n i p sunt prezentate n figura 1.12.a i respectiv 1.12.b. Ambele
tipuri de tranzistoare au canalul ncorporat.
O variant constructiv a tranzistoarelor MOS se este cea prezentat n
figurile 1.12.c i 1.12.d, cu canal indus de tip n sau p. n construcia acestor
dispozitive nu exist un canal special ntre zonele de dren i surs, astfel c,
pentru UGS = 0, curentul de dren este nul.

31

D
Sp G
S

G
a)

D
Sp G
S
b)

D
Sp G
S
c)

D
Sp
S
d)

Fig. 1. 12 Reprezentarea n schem a tranzistoarelor MOS

Acest tip de dispozitiv poate funciona numai n regim de mbogire,


cnd cmpul grilei atrage purttorii de sarcin corespunztori, ce realizeaz
canalul conductor dintre zonele sursei i drenei. Familia caracteristicilor de
dren ale tranzistoarelor MOS cu canal indus de tipul n este prezentat n figura
1.10.c. n cazul cnd tensiunea pe gril este mai mic dect tensiunea de
deschidere, curentul ID este practic nul.

1.7. Tiristoare
Tiristoarele sunt dispozitive semiconductoare comandate, realizate din
patru sau mai multe straturi de semiconductor cu tip de conducie diferit,
aezate alternant, care sunt capabile, sub influena semnalelor de comand, s
comute din starea de blocare n starea de conducie. Se realizeaz mai mult
variantele constructive de tiristoare compuse din patru straturi, avnd structura
pnpn. Caracteristicile acestora sunt prezentate n figura 1.13.a, iar n figura
1.13.b este prezentat simbolul folosit n scheme pentru tiristor, care are trei
electrozi: A anodul, K catodul i EC electrodul de comand (poarta).
Schema de cuplare a tiristorului se prezint n fig 1.13c.

Fig. 1. 13 Caracteristica (a), reprezentarea n schem (b) i schema de


cuplare (c) a tiristorului monooperaional

32

n situaia cnd pe electrodul de comand semnalul este zero, curentul


n circuitul dispozitivului este nul. Acest lucru este datorat faptului c
rezistena limitorului este foarte mare n starea iniial blocate.
Dac pe electrodul de comand al tiristorului se aplic un impuls de
deblocare cu polaritate pozitiv, atunci tiristorul se deschide i prin rezistena
de sarcin RS apare un curent. Datorit faptului c pe tiristor cade o tensiune
foarte mic (punctul 2 pe sectorul II al caracteristicii din figura 1.13.b),
curentul anodic dup cuplare se determin astfel:
E
Ia = a
(1. 7)
RS
Deschiderea tiristorului se poate produce i n lipsa semnalului de
comand, dac tensiunea de alimentare Ea depete valoarea corespunztoare
deschiderii tiristorului, Ud, aa cum se observ din figura 1.13.a. n acest caz,
punctul de lucru din domeniul I al caracteristicii se deplaseaz n domeniul II,
evitnd domeniul III de cdere. O asemenea cuplare a tiristorului trebuie
evitat n practic, pentru c ea poate duce la distrugerea dispozitivului.
Particularitatea funcionrii tiristorului const n aceea c, dup
cuplare, el rmne deschis, indiferent dac mai exist sau nu tensiune de
comand pe electrodul de comand. Decuplarea tiristorului se poate face numai
prin reducerea tensiunii Ua pn la zero i chiar pentru valori negative ale
acesteia (Ua 0) sau prin ntreruperea curentului anodic. Circuitul de comand
al tiristorului servete deci numai pentru deschiderea acestuia. Aceste tipuri de
tiristoare se numesc monooperaionale. Soluia constructiv a acestui tiristor
este prezentat n figura 1.14.a.

Fig. 1. 14 Repartiia curenilor n tiristor (a) i schema echivalent cu doi


tranzistori a tiristorului (b)

La aplicarea pe tiristor a unei tensiuni directe, adic plusul la anod i


minusul la catod, aceast tensiune va polariza direct jonciunile p1-n1 i p2-n2
i invers jonciunea n1-p2. O asemenea structur poate fi considerat ca fiind
compus din dou tranzistoare de tipul p1-n1-p2 i respectiv n1-p2-n2 (figura
1.14.b). La ambele tranzistoare, jonciunea n1-p2 este jonciunea baz-colector,
iar jonciunile p1-n1, respectiv n2-p2 sunt jonciuni emitor-baz. n domeniul I
al caracteristicii din figura 1.13.a, jonciunea p1-n1 este polarizat direct, iar
33

jonciunea n1-p2 invers, motiv pentru care tranzistorul p1-n1-p2 lucreaz n


regimul prezentat n paragraful 1.4, iar curenii sunt determinai de relaia (1.2).
Prin jonciunea polarizat direct, din emitorul p1 n baza n1 difuzeaz
golurile, din care o parte se recombin n baz, formnd curentul (1 p)Ia,
restul fiind atrase de cmpul electric al jonciunii n1-p2, ajungnd astfel la
colectorul p2, unde formeaz curentul pIa (figura 1.14.a). n acelai regim
funcioneaz i cel de al doilea tranzistor. Jonciunea p2-n2 a tranzistorului n1p2-n2 este de asemenea polarizat direct, iar jonciunea p2-n2 invers. Prin
jonciunea p2-n2 trece curentul total Ia + IC, unde IC este curentul electrodului
de comand (porii). O parte din electronii ce formeaz acest curent se
recombin n baz, formnd curentul (1 n)(Ia + IC), iar cealalt parte ajunge
pn la jonciunea n1-p2, unde sunt captai de cmpul acesteia i ptrund la
colectorul din stratul n1. Pe lng aceste componente de tranzistor ale
curentului jonciunii n1-p2, determinate de curenii jonciunilor p1-n1 i p2-n2,
prin jonciunea n1-p2 trece i curentul purttorilor minoritari din straturile n1 i
p2, adic ICB0 = IC0p + IC0n. Astfel, Ia = IK, unde IK este curentul total prin
jonciunea n1-p2, adic curentul nsumat de colector al ambelor tranzistoare:
IK = Ia = pIa + n(IC + Ia) + IC0p + IC0n
(1. 8)
de unde:
I n IC
IK = Ia = CB0
(1. 9)
1 p n
Factorii de transfer n curent ai ambelor tranzistoare, p i n, depind
de probabilitatea de recombinare a purttorilor n bazele n1 i p2. Prin creterea
curentului Ia, procesul de recombinare ncetinete pentru c centrele de
recombinare se ncarc complet n fiecare act de recombinare, ceea ce
provoac creterea factorilor p i n. Jonciunea n1-p2 este blocat pn cnd
p + n 1, adic tiristorul rmne n stare blocat, prezentnd o foarte mare
rezisten pentru curentul Ia, corespunztor regiunii I a caracteristicii din figura
1.13.a. Prin creterea curentului Ia datorit creterii curentului de comand Icom
sau a tensiunii Ua, crete valoarea sumei p + n i, cnd p + n 1, Ia
, aa cum rezult i din relaia (1.9). n acest fel, se produce deschiderea
tiristorului, rezistena lui se micoreaz brusc iar tensiunea pe tiristor, Ua se
micoreaz. Practic, tiristorul se deschide, curentul stabilizndu-se n
conformitate cu relaia (1.7) pe seciunea a II-a a caracteristicii din figura 1.13
a. Procesul de deschidere a tiristorului este determinat de existena reaciei
pozitive interne i se desfoar n avalan (proces regenerator). Cnd se
aplic curentul de comand IC, se produce creterea curentului prin jonciunea
p2-n2 precum i a componentei acestuia, n(Ia + IC), care, pentru tranzistorul de
tip p-n-p, reprezint curentul de baz i, din aceast cauz, se mrete
contribuia curentului de colector, pIa. Curentul total, IK crete, astfel nct, n
baza tranzistorului n-p-n ptrunde un curent mare din stratul n1, care la rndul
34

su produce din nou creterea curentului de colector al tranzistorului n-p-n.


Prin creterea curentului Ia, valorile factorilor de transfer p i n se mresc i
numitorul n expresia (1.9) devine zero. Datorit creterii rapide a curentului
Ia, crete cderea de tensiune pe rezistorul RS (figura 1.13.c), iar cderea de
tensiune pe tiristor se micoreaz. Dac, dup deschiderea tiristorului, se
micoreaz curentul de comand IC pn la zero, dispozitivul rmne totui n
stare deschis, de conducie, pentru c, datorit curentului Ia mare, se menine
valoarea nul a numitorului din expresia (1.9). Tiristorul se poate bloca
(nchide) numai prin aplicarea unei tensiuni Ua inverse sau prin ntreruperea
circuitului curentului sursei Ea. Prin aplicarea tensiunii inverse, tiristorul se
menine n stare blocat, pentru c jonciunile p1-n1 i n1-p2 sunt polarizate
invers. Ramura invers a caracteristicii tiristorului, n regiunea tensiunilor
negative din figura 1.13.a este asemntoare ramurii tensiunilor inverse a
caracteristicii diodei semiconductoare.

1.8. Caracteristicile funcionale ale tiristoarelor


Parametrii de baz ai tiristoarelor sunt tensiunea de cuplare (de
deschidere), Ud i tensiunea de strpungere, Us de pe ramura tensiunilor inverse
a caracteristicii. Dac tensiunea de alimentare are valori n limitele celor doi
parametri, atunci, pentru IC = 0, tiristorul va fi ntotdeauna blocat. Respectarea
acestei condiii se face de regul n practic prin meninerea valorii tensiunii la
nivelul de maxim 70 % din valoarea celei mai mici dintre tensiunile Ud i Us.
Pentru diferite tipuri de tiristoare, aceast valoare este indicat n cataloage i
se gsete n limitele 100 4000 V.
Tiristoarele sunt caracterizate de asemenea i de urmtorii parametri de
catalog: valoarea maxim admis a curentului, tensiunea direct n impuls i
curentul invers maxim, care au de fapt acelai sens ca i la diode. Exist
tiristoare de putere la care curentul mediu direct admis ajunge la 2 000 A. Prin
micorarea curentului anodic pn la valoarea curentului de meninere,
tiristorul poate trece singur n regim de blocare. Aceast valoare a curentului
de meninere a tiristorului cnd curentul de comand IC = 0, este de asemenea
indicat n fia de catalog. Determinarea parametrilor semnalului necesar
pentru funcionarea tiristorului se face pe baza valorilor curentului i tensiunii
de comand necesare pentru deschiderea ferm a acestuia chiar pentru tensiuni
mici (5 10 V), ale tensiunii Ua, precum i pe baza valorii temperaturii celei
mai sczute, care reprezint condiiile cele mai nefavorabile pentru
deschiderea tiristorului. Un parametru dinamic important pentru funcionarea
di
tiristoarelor l reprezint viteza critic de cretere a curentului anodic,
dt max
la cuplarea tiristorului. Depirea acestei valori poate provoca supranclzirea
local a structurii semiconductoare i topirea jonciunii. La tiristoarele
35

di
di
obinuite, = 10 100 A/s, iar la cele rapide, de impulsuri, =
dt max
dt max
500 1000 A/s. Un alt parametru este timpul de decuplare, ce reprezint
intervalul de timp minim de la ntreruperea curentului anodic pn la aplicarea
tensiunii directe, fr ca, prin aceasta, s se redeschid tiristorul. Timpul de
decuplare la tiristoare de joas tensiune este de 100 500 s iar pentru cele
du
rapide de 10 100 s. Parametrul , care reprezint viteza de cretere
dt max
a tensiunii anodice directe maxim admis, constituie o limitare determinat de
existena capacitilor jonciunilor, deoarece trecerea curentului prin aceste
capaciti la creterea rapid a tensiunii anodice poate provoca autodeschiderea
tiristorului. Valoarea acestui parametru la tiristoarele obinuite este de 20
100 V/s, iar la tiristoarele rapide de 200 500 V/s. Domeniul de utilizare a
tiristoarelor monooperaionale este electronica energetic unde, pentru puteri
mari, tiristorul reprezint dispozitivul de for comandat cel mai important.
Tiristoarele de putere mic se folosesc i n schemele de impulsuri din
electronica informaional.
Variantele constructive ale tiristoarelor sunt urmtoarele:
1. Dinistorul este tiristorul fr electrod de comand. Dispozitivul este similar
tiristorului obinuit dar la care nu se aplic semnal pe electrodul de
comand. Deschiderea dinistorului se face cnd tensiunea aplicat
depete valoarea tensiunii de deschidere. Prin aplicarea unei tensiuni
inverse, dinistorul este ntotdeauna nchis.
2. Triacul este dispozitivul de comutare compus din mai multe staturi, la care
caracteristica este simetric pentru tensiune direct i invers (figura
1.15.a). El este capabil s comute curentul indiferent de sens i poate
nlocui circuitul compus din dou tiristoare obinuite cuplate n paralel i
n sens opus (figura 1.15.b; simbolul este cel din figura 1.15.c).
3. Tiristorul bioperaional, este un dispozitiv care se poate bloca prin
aplicarea unui impuls negativ pe electrodul de comand. Puterea necesar
pentru blocarea curentului anodic este mult mai mare n comparaie cu
puterea impulsului de deschidere. Exist tiristoare bioperaionale pentru
cureni pn la 1000 2000 A i tensiuni pn la 1000 2000 V. Utilizarea
lor este n domeniul electronicii energetice pentru puteri mici i medii.

36

Fig. 1. 15 Caracteristica triacului (a), cuplarea n paralel a dou


tiristoare pentru obinerea unui triac (b) i simbolul triacului (c)

1.9. Circuite integrate


Circuitul integrat reprezint dispozitivul electronic ce poate realiza o
anume funcie de transformare i prelucrare a semnalelor i care are o densitate
mare de cuprindere a elementelor de circuit, putnd fi considerat ca un tot
unitar, i fiind construit ntr-un singur proces tehnologic i ncorporat ntr-o
capsul nchis ermetic. Aparatura electronic elaborat cu circuite integrate
are urmtoarele caliti importante:
- siguran mare n funcionare pentru c, datorit procesului tehnologic
automatizat, se reduce numrul lipiturilor, care reprezint pentru aparatura
electronic unul din elementele cele mai nesigure; circuitele integrate sunt
mai sigure dect schemele cu elemente discrete pentru c se reduc de
asemenea i erorile de montaj; de fapt, circuitele integrate au permis
realizarea sistemelor mari de calcul;
- aparatura realizat cu circuite integrate are gabarit mic;
- la realizarea aparaturii cu circuite integrate se reduce substanial timpul
necesar pentru elaborarea produselor, pentru c se folosesc subansamble i
blocuri deja existente, care simplific i procesul de introducere n
fabricaie.
Reducerea preului de cost se refer nu numai la preul unui circuit
integrat n comparaie cu schema similar realizat cu circuite discrete, dar i
la preul produsului n ansamblul su, pentru c acesta se realizeaz cu o
tehnologie mai eficient din punct de vedere productiv.
Realizarea aparaturii cu circuite integrate simplific organizarea
produciei prin micorarea numrului de operaii i reducerea numrului de
37

subansamble de completare. Se poate considera c aparatura electronic


informaional se realizeaz n prezent numai cu circuite integrate. De
asemenea, se evideniaz tendina de introducere a microelectronicii tot mai
mult n electronica energetic.
Microschemele integrate se mpart n dou clase de baz: integrate cu
semiconductoare i integrate hibride.
Circuitul integrat pe baz de semiconductor const dintr-un cristal
semiconductor (cip), n grosimea cruia sunt realizate toate componentele
schemei, cum sunt diode, tranzistoare, rezistoare, etc. Suprafaa
semiconductorului se acoper cu strat izolant de oxid, pe care, n locuri
determinate, se depune stratul de metal care asigur legturile dintre
elementele schemei.
n fig 1.16.a este reprezentat un fragment dintr-un circuit integrat
semiconductor, compus dintr-un rezistor, o diod i un tranzistor, iar n figura
1.16.b seciunea prin cristalul semiconductor, n adncimea cruia sunt
realizate elementele schemei respective. Izolarea elementelor ntre ele se
realizeaz cu ajutorul jonciunilor p-n polarizate invers. n acest scop, pe
suportul de tip p, se aplic un potenial mai negativ. Dup realizarea stratului
de oxid pe suprafa i depunerea contactelor, cristalele de semiconductor se
introduc ntr-o capsul ermetic, prevzut cu ieirile necesare n circuitul
exterior.

Fig. 1. 16 Un segment de schem i realizarea acesteia sub forma integratului


semiconductor

38

Circuitele integrate semiconductoare au urmtoarele particulariti:


n cristalul semiconductor pot fi realizate dispozitive cum sunt: diode,
tranzistoare bipolare, tranzistoare cu efect de cmp precum i rezistoare;
condensatoarele cu capacitatea de pn la 200 400 pF se realizeaz pe
baza jonciunilor p-n polarizate invers. Pentru microschemele integrate
sunt preferate dispozitivele care ocup o suprafa mic pe cristal, n primul
rnd de tip TEC-MOS. n componena circuitelor integrate nu se includ

condensatoare de capacitate mare, elemente magnetice cum sunt


transformatoare, etc.
- reproductibilitatea parametrilor la circuitele integrate nu este mare, ns
parametrii acelorai elemente realizate pe acelai cristal sunt practic
identici.
- deoarece cheltuielile de introducere n fabricaie a circuitelor integrate sunt
relativ mari, sunt justificate serii de peste 104 de exemplare.
- gabaritul circuitelor integrate este foarte mic; astfel, pe un cristal de siliciu
cu dimensiunea de civa mm2 se pot dispune zeci i chiar sute de mii de
elemente discrete ale schemei electronice.
Circuitele integrate hibride se realizeaz prin procedeul pelicular, fiind
compuse dintr-o plcu de dielectric pe suprafaa creia se depun
componentele schemei i conexiunile corespunztoare, sub form de pelicul
cu grosimea de ordinul 1 m. Prin aceast metod se realizeaz uor
conexiunile, rezistoarele i condensatoarele peliculare. Rezistoarele cu valori
de pn la 105 se realizeaz sub form de meandre (figura 1.17.a).

Fig. 1. 17 Componentele integratelor peliculare: a rezistor; b condensator;


c - inductan

Condensatoarele se realizeaz din trei straturi peliculare (figura


1.17.b): metal-dielectric-metal. Datorit stratului dielectric pelicular foarte
subire, capacitatea condensatoarelor poate depi 10000 pF. Bobinele
(inductanele) se realizeaz sub form de spiral (figura 1.17.c); se pot obine
inductane relativ mici, de ordinul 100 H. Dispozitivele semiconductoare fr
carcas, condensatoarele cu capaciti de valori mari i elementele magnetice
se ncorporeaz n circuitele hibride prin suspendare; aceste elemente se lipesc
pe plac n locuri determinate; ele sunt conectate la elementele schemei
peliculare, dup care placa cu schema pelicular i cu elementele spaiale este
ncapsulat ermetic, avnd bornele de ieire necesare.
Circuitele hibride au urmtoarele caracteristici:
39

ncorporeaz componente pasive, rezistoare i condensatoare; numrul


acestora n construcia spaial este totui mic; realizarea lor necesit
manoper mult;
precizia reproducerii parametrilor la circuitele hibride este mult mai mare
n comparaie cu circuitele integrate semiconductoare; ajustarea valorilor
la realizare se face cu precizie prin decuparea corespunztoare a peliculei
rezistive;
tehnologia de realizare a circuitelor integrate hibride este mult mai simpl
n comparaie cu tehnologia circuitelor integrate semiconductoare;
circuitele hibride se mpart n circuite cu pelicule subiri la care peliculele
se realizeaz prin metoda pulverizrii termice n vid i n circuite cu
pelicule groase, la care peliculele se realizeaz prin depunerea prin ablon
cu coacerea ulterioar; tehnologia circuitelor integrate hibride cu pelicule
groase este relativ simpl i poate fi realizat n condiii de laborator;
pregtirea de fabricaie a circuitelor hibride este mai puin costisitoare n
comparaie cu cea a circuitelor integrate semiconductoare, motiv pentru
care organizarea produciei pentru serii mici, de ordinul sutelor i chiar
zecilor de exemplare, poate fi rentabil;
numrul de elemente care pot fi ncorporate n circuitele integrate hibride
nu depete de regul cteva zeci.

Fig. 1. 18 Dependena costului relativ, C/N n funcie de nivelul de


integrare pentru diferite generaii de circuite integrate

Circuitele integrate semiconductoare se fabric sub forma unor elemente


de uz general, cu utilizri n domenii diferite, principala lor calitate fiind
40

destinaia cu caracter foarte general. Circuitele integrate hibride sunt de


preferat numai pentru scopuri dedicate.
Numrul componentelor ncorporate n circuitele integrate hibride, adic
nivelul N de integrare al acestora, determin preul lor de cost C. n figura 1.18
se prezint cantitativ variaia C/N = f(N) pentru diferite generaii de circuite
integrate.
Curbele evideniaz existena unui minim optim din punct de vedere al
eficienei economice. Creterea lui N peste valoarea optim este costisitoare
pentru c se mresc cheltuielile legate n principal de ncapsulare i conexiunile
interioare. Pe de alt parte, prin creterea complexitii circuitelor integrate
semiconductoare, ele devin mai specializate, ceea ce reduce cantitatea
fabricat. De asemenea, odat cu creterea lui N se mrete substanial i
suprafaa plachetei de semiconductor.

1.10. Dispozitive semiconductoare optoelectronice


Optoelectronica reprezint partea electronicii n care sunt studiate
problemele de generare, prelucrare, transmitere i memorare a informaiei pe
baza folosirii n comun a fenomenelor electrice i optice. Dispozitivele
optoelectronice folosesc radiaia electromagnetic din domeniul optic
(infrarou, vizibil i ultraviolet). Utilizarea canalelor optice de comunicaie
permite asigurarea izolaiei electrice ferme la oricare sistem, eliminarea
componentelor reactive i de conexiune mari i costisitoare, mrirea siguranei
n funcionare, creterea capacitii de transmisie a canalului, etc.

Fig. 1. 19 Simbolurile folosite n schemele electronice pentru dioda


luminescent (a), fotodiod (b), fototranzistor (c), fototiristor (d) i optocuplor (e)

Elementele de baz n optoelectronic sunt:


1) Sursele optice, care transform un semnal electric n semnal optic
2) Fotoreceptorii, care transform semnalul optic n semnal electric
3) Dispozitivele pentru izolaie electric la transmiterea informaiei prin
canale optice (optocuploare)
41

4) Conductorii optici (cablurile)


Cele mai obinuite surse semiconductoare de lumin sunt diodele
luminescente. Emisia fotonilor (cuante de energie) se produce datorit
recombinrii purttorilor de sarcin prin revenirea electronilor din banda de
conducie n banda de valen. Recombinarea cea mai puternic se produce n
apropierea jonciunii p-n, cnd purttorii majoritari, depind bariera de
potenial, pierd energia cinetic i probabilitatea de recombinare crete.
Construcia diodelor luminescente se face pe baza materialelor
semiconductoare complexe, la care emisia cuantei de energie are loc n
domeniul optic vizibil sau infrarou. Asemenea materiale sunt GaP, GaAs,
SiC, etc. Emisia se produce datorit trecerii prin jonciune a curentului electric
n sens direct. Construcia dispozitivului asigur transmiterea luminii de la
jonciunea p-n fr pierderi semnificative n adncimea semiconductorului.
Caracteristica diodelor luminescente este similar caracteristicilor diodelor cu
siliciu i germaniu. Diodele luminescente sunt realizate sub forma elementelor
discrete sau matriciale, n scopul reprezentrii informaiei sub forma literelor,
cifrelor i simbolurilor. De asemenea, ele intr n compunerea optocuploarelor.
Diodele luminescente se reprezint n scheme ca n figura 1.19.a.
Grupa fotoreceptoarelor se compune din fotodiode, fototranzistoare,
fototiristoare i alte dispozitive. Prin aciunea luminii asupra stratului de
semiconductor se produce generarea optic a purttorilor de sarcin electric.
Ca rezultat al creterii numrului purttorilor minoritari se mrete
conductivitatea materialului; apare astfel efectul de fotoconducie. Prin
iluminarea jonciunii p-n, se mrete curentul purttorilor minoritari, adic se
mrete curentul invers al acestei jonciuni: Iinv = f() unde este fluxul
luminos. Fotodioda se reprezint n schemele electrice conform figurii 1.19.b.
Funcionarea fototranzistorului se bazeaz de asemenea pe efectul de
fotoconducie. De regul, fototranzistorul are baza neconectat n circuitul
exterior, deci IB = 0 i curentul IC, n concordan cu relaia (1.4) are expresia:
IC = (+1)ICB0. Cnd baza sau zona jonciunii colector-baz sunt luminate,
curentul purttorilor minoritari este ICB0 = f() i, proporional, se modific i
IC. La montajul cu emitor comun, curentul ICB0 se mrete de (+1) ori, din
care motiv, puterea semnalului poate fi mai mare dect n cazul fotodiodei,
pentru acelai nivel al tensiunii sursei de alimentare E. Reprezentarea
fotorezistorului n scheme este cea din figura 1.19.c.
Principiul de funcionare a fototiristorului, care n scheme se reprezint
conform figurii 1.19.d, se bazeaz de asemenea pe modificarea curentului
invers prin jonciune sub aciunea radiaiei optice. n cazul absenei
electrodului de comand, IC = 0 i curentul prin tiristor se determin cu expresia
I CB0
urmtoare, dedus din relaia (1.9): Ia =
.
1 p n
42

Curentul fototiristorului este proporional cu intensitatea fluxului optic.


La creterea acestuia crete ICB0 i curentul anodic Ia. n acest caz, factorii p
i n i mresc valoarea, iar atunci cnd p i n= 1, tiristorul se deschide.
Creterea curentului, datorat creterii fluxului optic, stimuleaz deschiderea
tiristorului. Curentul tiristorului deschis poate fi mult mai mare dect valoarea
lui ICB0.
n acest fel, dispozitivele semiconductoare comandate, cum sunt
tranzistoarele i tiristoarele, pot folosi radiaia luminoas drept semnal de
comand.
Optocuploarele se compun din emitor - diod luminescent i
fotoreceptor - fotodiod, fototranzistor sau fototiristor, ntre care este dispus
canalul optic, care transmite lumina de la emitor la receptor. Reprezentarea
n scheme a optocuplorului, compus din dioda luminescent i fotodiod este
cea din figura 1.19.e. n optocuploare nu exist legtur electric sau
magnetic ntre emitor i receptor.
Stabilitatea electric a materialelor din care sunt confecionate
optocuploarele permite transmiterea semnalelor la diferene de potenial de
ordinul a 103 V ntre emitor i fotoreceptor, n condiiile absenei complete a
canalelor parazitare de transmitere a informaiei prin capaciti proprii, cmp
magnetic, etc.
Dificultatea utilizrii optocuploarelor cu diod const n valoarea mic
I
factorului de transfer n curent, Ai = ies , neajuns ce poate fi nlturat prin
I int
utilizarea optocuploarelor cu fototranzistor. Un alt neajuns al optocuploarelor
este reprezentat de n neliniaritatea acestora.

43

2.

REDRESOARE DE MIC PUTERE PENTRU


CURENT MONOFAZAT
2.1. Schema bloc a redresorului

Redresoarele se construiesc pe baza schemei clasice, de redresor cuplat


la reea prin transformator, sau fr transformator i a crui funcionare se
bazeaz pe transformarea multipl a energiei electrice. Pentru nceput, s
analizm schema tradiional, care se compune din urmtoarele: (figura 2.1):
- T transformator ridictor sau cobortor de tensiune, n funcie de
raportul dintre tensiunea la ieirea sursei de alimentare i tensiunea
reelei;
- R grup redresor, care servete la transformarea curentului alternativ n
curent continuu (de sens unic);
- F filtru pentru netezirea pulsaiilor tensiunii redresate;
- ST stabilizator de tensiune continu, care asigur valoarea constant a
tensiunii de ieire la variaia rezistenei sarcinii, a tensiunii de alimentare,
etc.

Fig. 2. 1 - Schema bloc a sursei de tensiune continu de putere mic (a) i


diagramele de timp pentru tensiunile din surs

n figura 2.1 sunt reprezentate i diagramele tensiunii n diferite pri ale


schemei redresorului pentru dou valori ale tensiunii de reea. Partea principal
a dispozitivului este grupul redresor, ce conine un grup de elemente neliniare,
cu conducie unilateral (ntr-un singur sens). Ca dispozitive redresoare, la
sursele de alimentare de putere mic se folosesc de obicei diodele cu siliciu i,
mai rar, cele cu germaniu. Celelalte elemente ale schemei pot s lipseasc n
cazuri particulare.
44

2.2. Redresoare monofazate cu sarcin activ


S analizm funcionarea redresorului monofazat cu punct de nul al
transformatorului (figura 2.2.a). Cnd polaritatea tensiunii alternative este cea
indicat n figura 2.2, pe dioda D1 se aplic tensiunea direct (plus la anod,
minus la catod).

Fig. 2. 2 - Schema redresorului monoalternan cu nul i sarcin activ (a) i


diagrama de timp pentru curenii i tensiunile redresorului (b)

Dioda D1 conduce curentul ia, care se nchide prin sarcina RS i seminfurarea superioar a secundarului transformatorului. Se consider c
diodele sunt ideale, adic au cdere de tensiune nul la trecerea curentului
direct prin acestea i curentul invers este nul cnd pe acestea se aplic tensiune
invers. Astfel, se poate considera c anodul i catodul diodei sunt
scurtcircuitate pentru curentul n sens direct, iar n cazul aplicrii pe diod a
unei tensiuni inverse, circuitul acesteia se consider ntrerupt. n legtur cu
aceast aproximare, tensiunea pe sarcin, ud, n semiperioada [0, ] (figura
2.2.b) se consider egal cu tensiunea la bornele semi-nfurrii superioare a
secundarului transformatorului: ud(t) = e2(t). n acest timp, dioda D2 este
polarizat invers i nu permite trecerea curentului. n a doua semiperioad, [,
2], datorit schimbrii polaritii tensiunii alternative n nfurrile
secundare ale transformatorului, se deschide dioda D2 i pe sarcin se aplic
tensiunea semi-nfurrii inferioare. n continuare, lucrurile se repet periodic
i, prin deschiderea succesiv
a diodelor, tensiunea ud const din
semisinusoide pozitive care se succed (figura 2.2.b).
45

Tensiunea pe sarcin, ud, este constant ca sens, dar nu este constant ca


mrime. Pulsaia tensiunii, adic variaia acesteia, atest existena unei
componente variabile n curba tensiunii redresate i indic faptul c redresarea
este incomplet. Cum tensiunea de ieire, ud, reprezint o funcie periodic, ea
poate fi descompus n serie Fourier, adic sub forma: ud (t) = Ud + up(t), unde
T
1
u d t dt este componenta continu util sau valoarea medie a
Ud =
T 0
tensiunii pe o perioad a curbei ud, iar up(t) este componenta variabil, egal
cu suma tuturor componentelor armonice. n figura 2.3 este reprezentat
descompunerea grafic a curbei tensiunii ud(t) n dou componente. Se poate
considera c, pe sarcin, acioneaz tensiunea constant ca mrime i form,
Ud, distorsionat de componenta alternativ, up. Caracteristica de baz a
tensiunii redresate este valoarea medie. Ea este egal cu nlimea
dreptunghiului a crui suprafa este egal cu suprafaa limitat de curba
tensiunii, axa absciselor i dou drepte verticale situate la distana egal cu o
perioad (figura 2.3). n schema analizat, perioada tensiunii de ieire este

1
egal cu , din care cauz, Ud = U dm sin d , unde = t. Avnd vedere
0
c valoarea maxim a tensiunii pe sarcin, Udm, este egal cu amplitudinea E2m
= 2 E2, unde E2 este valoarea efectiv a tensiunii e2 la bornele nfurrii
secundare a transformatorului, rezult:

2 2E 2
1
Ud = 2 E 2 sin d
=0,9E2
(2. 1)
0

Cea mai mare valoare a amplitudinii n curba tensiunii redresate o are


armonica I, a crei frecven p este de 2 ori mai mare dect frecvena tensiunii
de alimentare. Aceast armonic este cel mai greu de atenuat cu filtre, motiv
pentru care, pe baza valorii acesteia, se face o apreciere asupra distorsionrii
tensiunii redresate. n figura 2.3, cu linie punctat este reprezentat armonica I
a componentei alternative a tensiunii redresate, up1, amplitudinea acesteia fiind
Up1m.
Pulsaia tensiunii redresate se caracterizeaz prin factorul de ondulaie,
, egal cu raportul dintre amplitudinea tensiunii primei armonici a componentei
alternative i valoarea tensiunii componentei continue:
U p1m
=
(2. 2)
Ud
Din descompunerea n serie Fourier a curbei tensiunii redresate, se obine
formula:
2
= 2
(2. 3)
m 1
46

unde m este factorul de multiplicare a frecvenei componentei alternative a


tensiunii redresate n raport cu frecvena reelei, care depinde de schema de
redresare i se numete pulsaia redresorului.

Fig. 2. 3 Descompunerea grafic a tensiunii redresate n


componenta continu i cea alternativ

Pentru redresoarele monofazate analizate, cum este cel din figura 2.2.a,
m = 2, iar = 0,67. Pentru alegerea diodelor n schema din figura 2.2.a, se
determin valoarea medie a curentului prin acestea. Pe baza diagramelor de
timp din figura 2.2.b, se constat c:
I
U
Ia = d d
(2. 4)
2 2R S
Pe dioda blocat, se aplic tensiunea a dou nfurri secundare. Din
aceast cauz, tensiunea invers maxim pe diod, avnd n vedere relaia
(2.1), este:
Uinv nul = 2E2m = 2 2 E2 = Ud
(2. 5)
Pe baza valorilor calculate ale lui Ia i Uinv, se aleg diodele convenabile.
Puterea activ total transmis n sarcin, n schema din figura 2.2.a, este
E2
determinat de valoarea efectiv E2: P = 2 . Puterea activ transmis sub
RS
forma componentei continue a curentului, este determinat de valoarea medie
U2
Ud = 0,9E2: P = d = 0,81P. Prin urmare, n schema din figura 2.2.a, o parte
RS
substanial din puterea activ se transmite n sarcin sub forma componentei
alternative (neredresate), ceea ce confirm insuficiena redresrii.

47

2.3. Redresoare monofazate cu sarcin inductiv


S analizm funcionarea redresorului monofazat n punte (figura
2.4.a). Pentru semiperioada pozitiv a tensiunii electromotoare e2 (intervalul
[0, ]) i pentru polaritatea indicat n figura 2.4.a, curentul redresat trece prin
dioda D1, sarcina Rs - Ls i dioda D4. Diodele D3 i D2 sunt polarizate invers i
nu conduc curent. Prin schimbarea polaritii tensiunii alternative (intervalul
[, 2]), se deschid diodele D2 i D3, ns curentul n sarcin i menine sensul
anterior. Dac sarcina este activ (Ls = 0), atunci curentul Id repet forma
tensiunii n sarcin; curenii bobinelor primar i secundar, i1 i i2 au form
sinusoidal (figura 2.4.b). Dac n circuitul sarcinii exist o inductan (Ls
0), ea se opune variaiei curentului n sarcin i acesta nu reuete s
urmreasc tensiunea ud, astfel nct curentul Id se va netezi (figura 2.4.c).
Cnd inductana este mare (XL = pLS > 10RS), datorit pulsaiilor mici,
curentul n sarcin poate fi considerat constant (adic netezit total), caz n care
transmiterea puterii active n sarcin de ctre componentele alternative ale
curentului lipsete. n acest regim, curentul prin diode, ia, curentul i2 n
secundar i curentul i1 n primarul transformatorului capt forma impulsurilor
dreptunghiulare.

Fig. 2. 4 - Schema redresorului monoalternan n punte (a) i diagrama de timp


pentru curenii i tensiunile redresorului (b, c d)

48

n cazul sarcinii activ-inductive, durata strii de conducie a diodelor,


ca i n cazul sarcinii active, rmne egal cu , motiv pentru care, n orice
moment de timp, tensiunea pe sarcin repet forma tensiunii n secundar, e2
(figura 2.4.c), iar valoarea acesteia se determin din expresia (2.1). Calculul
schemei de redresare n punte, care permite s se aleag tipul diodelor i s se
determine parametrii transformatorului pe baza parametrilor cunoscui ai
sarcinii, se face astfel: se neglijeaz pierderile n bobina de netezire LS, n diode
i n transformator i se consider curentul sarcinii ca fiind netezit ideal: i d(t)
= Id. Valoarea medie a tensiunii de ieire la redresoarele cu nul i n punte se
determin n cazul sarcinii inductive n acelai mod ca i n cazul sarcinii active
i este egal, conform relaiei (2.1), cu:

1
Ud = u d d = 0,9E2
0
Din aceasta, se determin valoarea efectiv a tensiunii: E2 = 1,11Ud.
Pentru c s-a presupus c bobina nu are pierderi, valoarea medie a
U
curentului n sarcin este Id = d .
RS
Diodele conduc pe durata unei semiperioade att pentru schema cu nul
ct i pentru cea n punte, din care cauz Ia se poate calcula cu relaia 2.4.
Valoarea maxim a curentului diodelor n cazul netezirii ideale este Iam = Id.
La schema n punte, valoarea amplitudinii tensiunii inverse pe diode este egal
cu amplitudinea tensiunii e2, pentru c dioda blocat se cupleaz n paralel pe
nfurarea transformatorului (prin dioda care conduce curent), i prin urmare:

Uinv. punte = E2m = 2 E2 = Ud


(2.5)
2
Din compararea relaiilor (2.5) i (2.5), se vede c la schema n punte
tensiunea invers pe diod pentru aceeai Ud este de dou ori mai mic n
comparaie cu schema de redresare cu nul. Pe baza valorilor Ia i Uinv, se aleg
diodele necesare. La utilizarea transformatorului (la schema cu nul utilizarea
acestuia este indispensabil, pe cnd la schema n punte el poate lipsi) este
necesar cunoaterea puterii calculate a nfurrilor acestuia. La schema n
punte, valoarea efectiv a curentului n nfurarea secundar, I2 punte, se
T

determin avnd n vedere c id(t) = Id. Prin definiie: I2 punte=

1 2
i 2 dt .
T 0

Deoarece i2(t) = Id, prin nlocuire se obine:


2

I2 punte =

1
I d2 d = Id

2 0

(2. 6)

49

Puterea calculat a secundarului la schema n punte este:


S2 punte= E2I2 punte = 1,11Pd
unde Pd este puterea n sarcin, egal cu UdId.
La schema n punte, curenii i tensiunile n nfurrile primar i
secundar au aceeai form, deci S1 punte = S2 punte. Puterea calculat a
transformatorului la schema n punte n cazul sarcinii activ-inductive este:
S1 punte S 2 punte
ST punte =
= 1,11Pd
(2. 7)
2
n mod similar, se poate analiza funcionarea n sarcin RL i pentru
schema cu nul. Procesele de baz n ambele scheme sunt similare, deosebirea
fiind numai c la schema cu nul tensiunea invers pe diode este de dou ori
mai mare dect la schema n punte, iar curentul nfurrii secundare a
transformatorului repet forma curentului diodei, Ia, i valoarea sa efectiv
este:
2

I
1
I d2 d d
(2.6)

2 0
2
Rezultatele calculului parametrilor de baz ai schemelor de redresare cu
nul i n punte la funcionarea n sarcin R i RL sunt prezentate n tabelul 2.1.
Tabel 2.1 Parametrii principali ai redresoarelor monoalternan
U inv
Ud
Ia
ST
I2
I1
Schema de
Tipul
E2
k tr I d
Id
Id
Pd
Ud
redresare
sarcinii
cu nul
R
0,9
0,5
3,14 0,79 1,11 1,48
RL
0,9
0,5
3,14
0,7
1
1,34
n punte
R
0,9
0,5
1,57 1,11 1,11 1,23
RL
0,9
0,5
1,57
1
1
1,11

I2 nul =

Raportul de transformare la ambele scheme este: ktr =


-

50

U
E2
1,11 d
E1
E1

Se pot trage urmtoarele concluzii:


la tensiuni de ieire relativ mici, cnd important este randamentul schemei
(de exemplu, cnd Ud < 50 100 V), iar tensiunea invers aplicat pe
diode nu prezint importan, este de preferat schema cu nul, la care
curentul n sarcin trece printr-o singur diod i, din aceast cauz,
pierderile sunt de dou ori mai mici;
n toate celelalte cazuri, este de preferat schema n punte, la care, atunci
cnd exist transformator, acesta este mai simplu i puterea necesar este
mai mic. Ultima situaie se explic prin faptul c prin nfurarea
secundar curentul circul pe durata ntregii perioade, n timp ce, la schema
cu nul, numai pe durata unei semiperioade.

2.4. Filtre pentru redresoare de mic putere


La ieirea grupului redresor se cupleaz un filtru, care are rolul reducerii
componentei alternative up. Componenta util constant, Ud, trebuie s fie
transmis n sarcin pe ct posibil fr pierderi. Cele mai utilizate sunt filtrele
de netezire de tipul L (figura 2.5.a), LC (figura 2.5.b), C (figura 2.5c) i RC
(figura 2.5.d). Prin cuplarea filtrelor, se formeaz filtre multiple: LC-LC, CRC, LC-RC, etc.

Fig. 2. 5 Scheme de filtre de netezire (a d) i schema echivalent a


filtrelor pentru componenta continu (e) i cea alternativ (f)

Mrimea caracteristic a unui filtru este factorul de netezire, egal cu


raportul factorilor de ondulaie la intrarea, respectiv la ieirea filtrului:
U p1m
Ud
1
(2. 8)

2 U S p1m
US
unde Ud este tensiunea de ieire a grupului redresor, Us,p1m este amplitudinea
primei armonici a pulsaiilor la ieirea filtrului i Us este valoarea medie a
tensiunii la ieirea filtrului.
n figura 2.5.e este prezentat schema echivalent pentru componenta
continu a filtrelor simple L i LC, unde r este rezistena activ a nfurrii
bobinei filtrului. Tensiunea constant la ieirea filtrului este egal cu tensiunea
U R
pe ramura inferioar a divizorului compus din rezistenele r i RS: US = d S
r RS
. n figura 2.5.f este prezentat schema echivalent pentru componenta
alternativ (armonica I, p = 2reea): ZS este impedana elementului serie a
filtrului, iar ZP este impedana elementului paralel al filtrului, care include i

51

rezistena de sarcin. Amplitudinea primei armonici a componentei alternative


a tensiunii pe sarcin, USp1m este egal cu cderea de tensiune pe ZP datorit
curentului alternativ Ip1m. Acesta depinde de tensiunea alternativ la intrarea
filtrului, Up1m i de mrimile ZS i ZP. Cu ct este mai mare ZS i cu ct este
mai mic ZP, cu att este mai mic componenta alternativ la ieire i este mai
mare factorul de netezire.
Pentru filtrul L: ZP = RS ; ZS = pL, de unde:
U p1m R S
USp1m = Ip1mRS =
.
p L2 R S2
Din aceasta, se obine factorul de netezire:
L =

U p1m
Ud

US

U S p1m

R S2

RS r

n practic sunt adevrate relaiile: RS >> r i pL >> RS i atunci:


L Z
= p S
RS
ZP
Se observ c la schemele ce funcioneaz cu cureni mari (cnd RS este
mic), eficacitatea filtrrii crete.
La filtrul LC, condensatorul unteaz sarcina n componenta
1
1
alternativ, deoarece XC =
< 0,1RS, din care cauz ZP =
i
p C
p C
LC =

ZS
ZP

p L
2p LC
1
p C

Din aceasta, cunoscnd LC, se determin LC.


Exemplu de calcul:
S se calculeze redresorul cu nul monofazat cu filtru LC, cunoscndu-se
valorile US = 25 V, IS = 0,5 A, 2 = 0,05. Se neglijeaz pierderile n bobin i
n diode. S se determine tensiunea i curentul nfurrilor secundare ale
transformatorului, U2 i I2, puterea sa calculat ST, parametrii diodelor Ia, Iam,
Uinv i valorile L i C.
Rezolvare:
Ud = US = 25 V
; U 2 = 1,11Ud = 1,1125 = 27,5 V ; Id = IS = 0,5 A
I2 = Id/ 2 = 0,5/ 2 = 0,35 A ; ST = 1,34UdId = 1,34250,5 = 16,75 VA ;
Iam = Id =0,5 A ; Ia = Id/2 = 0,5/2 = 0,25 A ; Uinv = Ud = 3,1425 = 78 V;
1 = 2/(22 1) = 0,67 ; = 1/2 = 0,67/0,05 = 13.
Se consider c XC = 0,1RS = 0,1US/IS = 0,125/0,5 = 0,5.
52

Atunci, C = 1/(pXC) = 1/(22505) = 300 F. L = /p2C =


=13/(2250)2300106 = 0,1 H.

2.5. Funcionarea i calculul redresorului cu filtru


capacitiv
Cnd sarcina consum cureni relativ mici de la redresor, se folosesc de
obicei filtre cu condensator. La cuplarea redresorului din fig. 2.6.a, tensiunea
pe condensator i pe sarcin, ud, crete de la o perioad la alta (fig. 2.6.b). n
intervalele cnd e2 > Ud, de exemplu cnd 0 < < 1, dioda D1 se deschide
i condensatorul se ncarc cu curentul de impuls ia1 (fig. 2.6.c).

Fig. 2. 6 Schema redresorului monoalternan cu nul cu filtru capacitiv (a)


i diagramele de timp ale tensiunilor i curenilor redresorului (b, c)

Astfel, diferena tensiunilor e2 ud se aplic pe rezistena r, egal cu


suma dintre rezistena diodei, a secundarului transformatorului i cea a
primarului reflectat n secundar. Cnd e2 < ud i 1 < < 2, dioda se
blocheaz i condensatorul se descarc parial pe sarcin. Pe msura creterii
tensiunii ud, durata impulsului de curent de ncrcare a condensatorului se
micoreaz, iar timpul de descrcare a condensatorului se mrete, din care
motiv, dup un timp oarecare, tensiunea ud ncepe s oscileze n jurul valorii
medii stabilizate Ud. Datorit timpului scurt de conducie n regim stabilizat,
valoarea amplitudinii curentului diodei Iam poate fi de 5 7 ori mai mare dect
valoarea sa medie, Ia (fig. 2.6.c). La cuplarea sursei de alimentare, aceast
depire este i mai mare i, pentru limitarea saltului iniial al curentului de
ncrcare a condensatorului, se introduce uneori o rezisten suplimentar de
limitare, r care, mpreun cu condensatorul, formeaz filtrul RC (fig.2.5.f). Cu
ct este mai mare rezistena de sarcin RS, cu att mai mare este constanta de
timp a circuitului de ncrcare a condensatorului = CRS i, de asemenea, Ud,
53

care la mersul n gol (RS =) este egal cu Ud = E2 = 2 E2. Odat cu


creterea lui se micoreaz pulsaiile tensiunii de ieire. n acest fel, cnd
sarcina redresorului este capacitiv, se pot distinge urmtoarele particulariti
n comparaie cu redresorul cu sarcin activ: durata mic i amplitudinea mare
a curentului anodic; creterea tensiunii de ieire; pulsaii mici ale tensiunii de
ieire; dependena puternic a valorii medii a tensiunii de ieire n funcie de
rezistena sarcinii.
Calculul redresorului cu filtru capacitiv se face astfel: se neglijeaz
pulsaiile tensiunii de ieire, avnd n vedere c redresorul funcioneaz la
tensiunea constant Ud (figura 2.7.a). Pentru o asemenea aproximare, impulsul
de curent anodic este simetric. Se noteaz durata acestuia cu 2, unde unghiul
se numete unghiul de tiere a curentului anodic. Valoarea instantanee a
curentului anodic poate fi determinat pe baza cderii de tensiune e2 ud pe
rezistorul r, prin care trece acest curent:
e ud
Ia = 2
(2. 9)
r

Fig. 2. 7 Diagramele de timp ale tensiunilor i curenilor n cazul funcionrii


redresorului la tensiuni inverse (a) i dependena coeficienilor de calcul de
parametrul A (b)

Tensiunea la bornele nfurrii secundare a transformatorului este:


e2 = 2 E2cos
iar tensiunea pe sarcin poate fi exprimat prin unghiul de tiere (fig. 2.7.a).
Se introduce n relaia (2.9) valoarea: Ud = 2 E2cosAtunci:
U cos
2

1
ia =
E2(cos cos d
r
r cos
54

Valoarea medie a curentului de sarcin este:

U cos
2U d
1

1d A
Id = i a d d

r cos
r

(2. 10)

unde A este un coeficient de calcul care depinde de .


rI d
r

Din relaia (2.10), rezult: A =


.
2U d 2R S
Succesiunea de calcul a redresorului este urmtoarea: cunoscnd RS i
r, se determin A; se calculeaz ; se determin toi curenii i tensiunile n
redresor.
Pentru comoditatea calculului, se pot folosi coeficienii ajuttori B, F i
D, care sunt funcii de coeficientul A. Relaiile de calcul pentru redresoarele
monofazate au forma urmtoare:
Schema cu nul
Schema n punte
E2 = BUd
E2 = BUd
Uinv = 2 E2
Uinv = 2 E2
Ia = Id/2
Ia = Id/2
Iam = FIa
Iam = FIa
I2 = DIa
I2 = 2 DIa
kt = E2/E1
kt = E2/E1
I1 = ktI2
I1 = 2 ktI2
St = 1,7Pd
St = 1,4Pd
Factorul de ondulaie al tensiunii de ieire se determin prin
H
coeficientul H: = , unde C este exprimat n F. n figura 2.7.b se prezint
Cr
variaia coeficienilor B, D, F i H n funcie de coeficientul A.
Exemplu de calcul:
S se calculeze redresorul monofazat n punte cu filtru C, dac: E1 = 220 V,
US = 100 V, IS = 0,1 A, 2 = 0,05 i r = 0,1RS. S se determine parametrii
diodelor Ia, Iam, Uinv, ai transformatorului, I2, I1, E2, kt, St i capacitatea
filtrului, C.
Rezolvare:
A = r/2RS = 0,1RS/2RS = 0,157. Din grafice, pentru A = 0,157 se
determin: B = 0,9; D = 2,3; F = 7; H = 250. Atunci:
E2 = BUd = BUS = 0,9100 = 90 V; Uinv = 2 E2 = 2 90 = 127 V;
Ia = Id/2 = IS/2 = 0,1/2 = 0,05 A;
Iam = FIa = 70,05 = 0,35 A;
I2 = 2 DIa = 2 2,30,05 = 0,16 A; kt = E2/E1 = 90/220 = 0,4;
I1 = ktI2 = 0,40,16 = 0,064 A; St = 1,4Pd = 1,4USIS = 1,41000,1 = 14 W;
C = H/(2r) = 250/(0,050,1100/0,1) = 50 F
55

Redresoarele cu filtru capacitiv se recomand a fi utilizate pentru


sarcini cu rezisten mare, cnd constanta de timp mare = RSC se obine
pentru valori relativ mici ale lui C; n acest caz se asigur componena
armonic bun a tensiunii de ieire a redresorului.

O varietate constructiv a redresoarelor cu filtru C este cea a


redresoarelor cu multiplicarea tensiunii, care se folosesc atunci cnd sarcina
are rezisten mare. Aceste dispozitive permit obinerea n sarcin a tensiunilor
de cteva ori mai mari n comparaie cu tensiunile furnizate de redresoarele
analizate mai sus. n figura 2.8, este prezentat schema cu dublare de tensiune.
Pe alternana pozitiv a tensiunii de reea, tensiunea pe anodul lui D1 este
pozitiv, dioda D1 este deschis i, prin aceasta, se ncarc condensatorul C1
pn la o tensiune apropiat de amplitudinea tensiunii reelei, 2 E1r.
Descrcarea condensatorului C1 prin circuitul de sarcin are loc foarte ncet,
pentru c acest circuit are rezisten mare. Cnd alternana tensiunii de reea
Fig. 2. 8 Schema dublorului de tensiune

este negativ, este deschis dioda D2 i condensatorul C2 se ncarc de


asemenea pn la o tensiune apropiat de amplitudinea tensiunii reelei, 2
Er. n acest fel, tensiunea pe sarcin atinge US = 2 2 Er. Multiplicatoarele de
tensiune, care conin circuite suplimentare cu diode i condensatoare, permit
obinerea de tensiuni i mai mari n sarcin.

2.6. Caracteristicile externe ale redresoarelor de mic


putere
Prin modificarea rezistenei de sarcin, se modific curentul n sarcin,
IS, ceea ce face s se modifice i tensiunea de ieire US. Caracteristica extern,
sau de sarcin a redresorului este relaia dintre valoarea medie a tensiunii
redresate i valoarea medie a curentului n sarcin: US = f(IS) (figura 2.9).
Pentru sursa de tensiune de alimentare ideal, caracteristica sa extern este o
56

dreapt orizontal, US = ct. (curba 1). La redresoarele fr filtru i cu filtru L,


caracteristicile externe sunt aproape liniare i au nclinare mic. Ecuaia
caracteristicii externe n acest caz are forma:
US = ES NUa ISRint
(2. 11)
unde ES = US = 0,9E2 este tensiunea a redresorului, egal cu valoarea efectiv
a tensiunii de ieire a sursei ideale de alimentare, N este numrul diode care
conduc curent n acelai timp, Ua este valoarea medie a cderii de tensiune
pe o diod care conduce curent, Rint este rezistena intern a redresorului.

Fig. 2. 9 Caracteristicile externe ale redresoarelor de mic putere

n regimurile analizate, diodele redresorului conduc curent pe durata


intervalului unghiular = , din care cauz, la ieirea grupului redresor se
obine tensiunea ud(t), artat n figura 2.2.b. Micorarea valorii medii a
tensiunii pe sarcin la creterea curentului este determinat de pierderile n
transformator, n diode i n bobina de netezire (curba 2 din figura 2.9). Un alt
caracter, de cdere abrupt, are caracteristica extern a redresorului n cazul
funcionrii cu filtru capacitiv. Tensiunea de ieire la mersul n gol este US =
2 E2. Prin micorarea lui RS, se produce descrcarea rapid a condensatorului
n pauzele dintre impulsurile de curent i micorarea tensiunii US. Acest regim
se caracterizeaz prin faptul c depinde de sarcin i < .
Cnd se lucreaz cu filtru LC i IS < IScr, curentul de intrare al filtrului
are caracter intermitent, < i, n regim de mers n gol, la fel ca i la
funcionarea cu filtru C, US = 2 E2. La utilizarea practic a surselor de
alimentare, acest sector de cdere abrupt a caracteristicii externe este nedorit
(curba 3 din figura 2.9). Dac IS > IScr, caracteristica extern a redresorului cu
filtru LC are o nclinare mic i este definit de formula (2.11), curentul de
intrare al filtrului n acest caz fiind nentrerupt, = , iar la intrarea filtrului
se aplic o tensiune a crei form este arat n figura 2.2.b.
57

2.7. Stabilizatoare de tensiune


Tensiunea de ieire a unui stabilizator depinde att de tensiunea de
intrare a acestuia, ct i de circuitul de sarcin (circuitul de ieire), astfel nct,
variaia tensiunii de ieire poate fi scris:
U ies
U ies
dU int
dI ies
dUies =
U int
I ies
de unde:
dU ies U ies U ies dU int U ies I ies dI ies

U ies
U int U int U int
I ies U ies I ies

Fig. 2. 10 Caracteristica ideal (1) i real (2) a diodei stabilizatoare

Introducnd notaii i trecnd la variaii finite, se obine:


U ies
1 U int R ies I ies

U ies
k st U int
R S I ies

U int
U
unde kst = int
U ies
U ies

este factorul de stabilizare, egal cu raportul variaiilor


I ies ct

relative ale tensiunilor de intrare i ieire i Ries =

U ies
I ies

este rezistena
U int ct

intern a stabilizatorului (rezistena de ieire).


Stabilizatoarele se mpart n dou categorii: stabilizatoare parametrice
i stabilizatoare cu compensare.
58

Stabilizatorul parametric se bazeaz pe utilizarea unui element cu


caracteristic neliniar, de exemplu o diod stabilizatoare (Zener), a crei
caracteristic este cea din figura 2.10. n cazul cnd tensiunea de strpungere
este mic, puterea care se disip n dispozitiv n domeniul II al ramurii de
polarizare invers a caracteristicii este mic, motiv pentru care este posibil
funcionarea ndelungat a dispozitivului. Acest regim de funcionare se
folosete la stabilizatoare cu diode cu siliciu, special destinate pentru
stabilizarea tensiunii. Domeniul de lucru este domeniul II, care se
caracterizeaz prin tensiunea de stabilizare i este limitat de valorile maxim
i minim de curent la variaia tensiunii de stabilizat, U. Se definete
U
rezistena dinamic a stabilizatorului: rst =
. Ideal, aceast rezisten
I
dinamic ar trebui s fie egal cu zero.
Schema stabilizatorului parametric este prezentat n figura 2.11.
Tensiunea de intrare a stabilizatorului trebuie s fie mai mare dect tensiunea
de stabilizare (strpungere) a diodei stabilizatoare, Ust. Pentru limitarea
curentului prin stabilizator, se monteaz un rezistor de balast, Rb. Tensiunea de
ieire se culege la bornele diodei stabilizatoare. O parte din tensiunea de intrare
Uint se pierde pe rezistorul Rb, iar cealalt parte se aplic pe sarcin.
Uint = (Ist + Iies)Rb + Uies. Dac se are n vedere c Iies = Uies/Rs se obine:
Uint = (Ist + Uies/RS)Rb + Uies = IstRb + Uies(Rb/RS + 1)
R

U int U ies b 1
RS

Ist =
Rb
Curentul maxim prin dioda stabilizatoare, Imax, trece atunci cnd se
ndeplinesc condiiile: Uint = Uint max i Rs = , iar curentul minim prin dioda
stabilizatoare, Imin, trece cnd Uint = Uint min i Rs = Rs min
R

U int min U ies b 1


R

U int max U ies


S min

Imax =
; Imin =
Rb
Rb
Dac se asigur condiiile: Imax < Ist max, Imin > Ist min, n care cei doi
cureni, Ist max i Ist min sunt curenii diodei stabilizatoare care limiteaz sectorul
de stabilizare, unde tensiunea pe sarcin este stabil i egal cu Ust, din formula
U int min U st
lui Imin rezult: Rb =
. Prin creterea Uint, crete curentul Ist, se
U st
I st min
R S min
mrete cderea de tensiune pe Rb, Uies = Ust. Prin creterea rezistenei de
sarcin Rs, se micoreaz curentul de sarcin, crete cu aceeai valoare curentul
prin diod, cderea de tensiune pe Rb i pe sarcin rmnnd neschimbate.
59

Fig. 2. 11 Schema stabilizatorului parametric (a), schema echivalent (b) i


caracteristica redresorului (c) cu stabilizator (curba 2) i fr stabilizator (curba 1)

Pentru determinarea lui kst i Ries, se folosete schema echivalent a


stabilizatorului, din figura 2.11.b. Elementul neliniar funcioneaz n sectorul
U st
de stabilizare, unde rezistena lui n curent alternativ, rst =
reprezint
I st

rst R S
U ies
. Avnd n vedere c

U int R b rst R S
R U
rst << Rs i rst << Rb, se obine: kst = b ies . Pentru determinarea rezistenei
rst U int
la ieirea stabilizatorului Ries, se folosete teorema generatorului echivalent i
se consider Uint = 0; atunci, Ries = rstRb rst.
Formulele pentru kst i Ries arat c parametrii stabilizatorului sunt
determinai de parametrii diodei stabilizatoare utilizate. De obicei, pentru
stabilizatorul parametric, kst nu este mai mare de 20 40, iar Ries se gsete n
limitele de la civa ohmi pn la cteva sute de ohmi. n unele cazuri, aceti
parametri sunt insuficieni i atunci se folosesc stabilizatoarele cu compensare.
parametrul dispozitivului. Se obine:

60

n figura 2.12 se prezint una dintre schemele cele mai simple de


stabilizator cu compensare, la care sarcina se cupleaz la sursa tensiunii de
intrare printr-un element neliniar de reglare, un tranzistor. Pe baza
tranzistorului se aplic prin amplificatorul operaional AO semnalul de reacie
negativ. La intrarea AO se aplic tensiunea de la divizorul de tensiune,
UiesR2/(R1 + R2) = Uies i tensiunea de referin (etalon), U0. Considernd c
tensiunea Uint crete, datorit crui fapt crete i Uies, la intrarea inversoare a
AO se aplic o tensiune mrit cu Uies, iar la ieirea AO apare o scdere a
tensiunii, Ub. Pe jonciunea emitor-baz a tranzistorului T se aplic tensiunea
Fig. 2. 12 Schema stabilizatorului cu compensare cu amplificator operaional

Ub Uies.
n regimul variabil, UBE = B Uies < 0, curentul de colector al
tranzistorului T scade i tensiunea Uies se reduce pn la valoarea iniial. n
mod similar se prelucreaz i variaia lui Uies cnd se mrete sau se micoreaz
Rs: prin modificarea lui Uies, apare o variaie UBE de semn corespunztor i,
drept urmare, se modific curentul de colector al tranzistorului, Iies. Tensiunea
dintre intrrile AO n mod practic este egal cu zero. n stabilizatoare,
funcionarea circuitului de reacie negativ menine egalitatea Uies = U0/. Cu
ct este mai mare amplificarea AO, cu att mai precis se ndeplinete aceasta
egalitate, cu att este mai mare factorul de stabilizare kst (care poate atinge
valori de 103) i cu att este mai mic Ries a stabilizatorului (Ries = 10 2 103
).
Ca surs de tensiune de referin, la stabilizatoarele cu compensare se
folosete schema stabilizatorului parametric cu diod stabilizatoare.
Stabilitatea lui U0 este foarte mare pentru c, n procesul de funcionare,
regimul de lucru al diodei stabilizatoare practic nu se modific i curentul prin
aceasta este stabil.
61

Stabilizatoarele cu compensare se fabric sub form integrat,


cuprinznd elementul neliniar de reglare, amplificatorul operaional, AO i
eventual tranzistorul T.

2.8. Surse de alimentare cu transformarea multipl a


energiei
Dimensiunile relativ mari ale surselor de alimentare sunt determinate
n principal de transformator i de bobinele filtrelor, care sunt calculate pentru
funcionarea la frecven sczut a reelei.
n schema sursei de alimentare (figura 2.13), grupul redresor GR1 se
cupleaz nemijlocit la reea, iar pentru netezirea pulsaiilor se folosete filtrul
C1. Rezistorul r, de valoare mic, poate fi cuplat pentru limitarea amplitudinii
curentului n diodele grupului GR1. Tensiunea redresat obinut, Up, se aplic
convertorului de tensiune, realizat cu tranzistoarele T1 T4.
Pe durata unei semiperioade de frecven nalt se aplic curenii de
comand n bazele tranzistoarelor T1 i T4 (dispozitivul de formare a acestor
cureni nu este prezentat n figur), tranzistoarele se satureaz i pe nfurarea
primar a transformatorului de frecven nalt se aplic tensiunea Up de
polaritatea indicat n figur (semnele fr paranteze).

Fig. 2. 13 Surs de alimentare cu transformarea multipl a energiei (schem


simplificat)

Pe durata celei de-a doua semiperioade, se aplic curenii de comand


i se satureaz T2 i T3, iar la nfurarea primar a transformatorului se aplic
tensiunea Up, cu polaritatea indicat n paranteze. Frecvena de comutare a
comutatorului de polaritate realizat cu tranzistoarele T1, T2, T3, T4 se alege de
ordinul (1 2)104 Hz i chiar mai mult. Tensiunea dreptunghiular din
nfurarea primar a transformatorului se transfer n circuitul secundar, unde
este redresat de grupul redresor GR2 i este netezit cu ajutorul filtrului LC2.
Gabaritul transformatorului i cel al bobinei filtrului, L, sunt mici, pentru c,
n acest caz, acestea se calculeaz pentru frecvene mari. Deficienele acestei
scheme constau n reducerea randamentului datorit creterii pierderilor prin
62

transformarea multipl a energiei electrice i n creterea preului de cost, ca


urmare a utilizrii n comutatorul de polaritate a unor tranzistoare de tensiune
mare (care trebuie s suporte tensiunea Up). Cu toate acestea, n anumite
aplicaii, aceste scheme sunt de preferat.

63

3.

CONVERTOARE DE MEDIE I MARE


PUTERE

3.1. Utilizarea convertoarelor n energetic i


electrotehnic
Domeniile de baz pentru utilizarea convertoarelor sunt cele privind
transformarea energiei electrice de tensiune alternativ de frecven standard,
fs = 50 Hz, n energie electric de alt form: curent continuu sau curent
alternativ de frecven nestandardizat sau de frecven variabil. Pentru
alimentarea consumatorilor care folosesc o astfel de energie electric, se
folosesc diferite convertoare de frecven cu diode sau tiristoare. Acestea pot
fi directe, n care se realizeaz conversia singular a energiei electrice (de
obicei, la ieire se obine tensiune de frecven inferioar, f < 50 Hz) i
convertoare cu grup de curent continuu, care se compun dintr-un redresor care
transform curentul alternativ n curent continuu i dintr-un invertor autonom,
care transform curentul continuu n curent alternativ de frecven mai mic
sau mai mare de 50 Hz, sau variabil.
Un numr mare de consumatori de energie electric de putere mare se
cupleaz la reeaua industrial prin convertoare de diferite tipuri.
Convertoarele reprezint pentru reea sarcini neliniare i funcionarea acestora
influeneaz puternic regimul reelei i calitatea energiei electrice. Un domeniu
important de utilizare a convertoarelor l reprezint liniile de transport electric
n reelele i sistemele electrice. Este vorba despre liniile de transport n curent
continuu a energiei electrice la distane mari. O astfel de linie de transport are
la intrare un redresor de putere cu tiristoare, care transform curentul alternativ
de frecven de 50 Hz n curent continuu. La ieirea liniei, se instaleaz
invertorul, care transform curentul continuu n curent alternativ.
Al doilea domeniu de utilizare al convertoarelor n electroenergetic
este cel al surselor de putere reactiv cu tiristoare, care permit producerea i
reglarea puterii reactive pentru compensarea deficitului acesteia n sistemul
energetic.
Al treilea domeniu este utilizarea convertoarelor pentru asigurarea
funcionrii agregatelor de baz ale centralelor electrice, n mod particular
pentru excitarea turbogeneratoarelor sau hidrogeneratoarelor sincrone i a
compensatoarelor (schemele de excitare cu tiristoare), pentru pornirea
generatoarelor de mare putere (de exemplu hidrogeneratoare).
Convertoarele sunt, de asemenea, necesare pentru sursele
neconvenionale de energie electric cum sunt bateriile solare, generatoarele
magneto-hidro-dinamice, etc.

64

3.2.

Redresorul monofazat comandat

n instalaiile energetice, redresoarele au o serie de caracteristici


particulare cum sunt:
1. sarcina are caracter activ-inductiv; la cureni mari, inductana reelei care
leag convertorul cu sarcina, devine comparabil cu rezistena sarcinii.
2. este necesar s se ia n consideraie inductana de dispersie a bobinelor
transformatorului.
3. de obicei, redresoarele de putere mare se realizeaz trifazic, pentru c
parametrii tehnici ai redresoarelor trifazate sunt superiori i acestea asigur
ncrcarea uniform a reelei trifazice. Deseori este necesar reglarea sau
stabilizarea tensiunii la ieirea redresoarelor sau a puterii transferate n
sarcin, ceea ce necesit utilizarea redresoarelor comandate.

n figura 3.1.a este prezentat schema redresorului monofazat


comandat, cu punct median al transformatorului (schema cu nul). Acest
redresor se deosebete de redresorul necomandat prin faptul c diodele se
nlocuiesc cu tiristoare.
3.2.1.
Funcionarea redresorului comandat monofazat n sarcin
activ (LS = 0)
Cnd polaritatea tensiunii reelei este cea indicat n figura 3.1.a,
tiristorul T1 poate conduce curent dac la electrodul su de comand se aplic
semnalul de comand iC1. Acesta se aplic cu o defazare n raport cu momentul
Fig.3. 1 Redresor comandat cu nul (a); curenii i tensiunile n circuitul de
curent continuu la funcionarea ca surs (c) sau consumator de energie (b)

de deschidere normal a lui T1, la unghiul , numit unghi de comand (figura


3.2.a). Momentul de apariie a tensiunii pozitive pe anodul tiristorului se
numete moment de deschidere natural (n figura 3.2, pentru tiristorul T1,
acesta este momentul = 0). Pn la cuplarea tiristorului T1 (pentru < ),
65

tensiunea pe sarcin este ud = 0. La cuplarea tiristorului, n momentul = ,


tensiunea ud crete brusc pn la valoarea ud = e2, pentru c pe tiristorul deschis
ua 0. Curentul trece prin semi-nfurarea superioar a transformatorului, prin
tiristorul T1 i sarcin: i2(t) = ia(t) = id(t). n cazul sarcinii active, curentul
reproduce forma tensiunii (figura 3.2.a). La trecerea curentului prin sarcin, n
aceasta se disip putere activ.
Cnd = , curentul prin diod i curentul n sarcin devin egali cu
zero i tiristorul T1 se nchide. Pn la deschiderea tiristorului T2, apare n
sarcin o pauz fr curent, pe durata intervalului [, ( + )]. n momentul
= + se aplic impulsul de comand pe tiristorul T2, acesta se deschide
i, n acest interval, ud = e2, adic pe sarcin se aplic tensiunea de la bornele
semi-nfurrii inferioare a transformatorului. Curentul trece prin seminfurarea inferioar, tiristorul T2 i sarcin, pstrnd sensul anterior.

Fig.3. 2 Diagramele de timp ale curenilor i tensiunilor n redresorul comandat cu


nul, n cazul funcionrii n sarcin activ (a) i activ-inductiv (b, c); regim cu
ntrerupere de curent (b); regim fr ntrerupere de curent (c)

n momentul = 2, se produce blocarea tiristorului T2. n intervalul


de funcionare a unui tiristor, pe tiristorul nchis, ua = 2e2. Valoarea efectiv a
tensiunii redresorului, egal la mersul n gol cu valoarea efectiv a tensiunii de
ieire, este:
66

2E 2
1
cos E d 0 1 cos (3. 1)
Ed = 2 E 2 sin d
0

unde Ed0 = 0, este valoarea efectiv a tensiunii la ieirea redresorului


necomandat. Variaia lui Ud n funcie de unghiul de comand este reprezentat
n diagramele de timp din figura 3.3.

Fig.3. 3 Forma tensiunii de ieire la redresorul monofazat comandat cu


sarcin activ, pentru diferite unghiuri de comand

Odat cu creterea lui crete intervalul de pauz fr curent, cnd nu


se transmite n sarcin putere din reea. Dependena ud = f() se numete
caracteristic de reglare pentru sarcina activ i este reprezentat n figura
3.4.a.
3.2.2. Regimul de curent intermitent la funcionarea n sarcin activinductiv
Inductana LS se opune creterii curentului id (diagramele de timp din
figura 3.2.b). Dup cuplarea tiristorului T1, n momentul = puterea se
transmite din reea n sarcin, iar sensul tensiunii i curentului n sarcin
coincid (figura 3.1.b). Energia se acumuleaz n inductana sarcinii.

Fig.3. 4 Caracteristicile de reglare la redresorul monofazat comandat (a)


i caracteristicile externe ale redresorului de putere medie i mare (b)

67

n momentul = , tensiunea ud() = e2() i modific sensul, dar


inductana LS tinde s ntrzie scderea curentului id = ia i T1 continu s
conduc curent. Acum, sensurile tensiunii i curentului n sarcin sunt opuse
(figura 3.1.c). Aceasta nseamn c sarcina reprezint o surs de energie, adic
ntoarce energia acumulat n inductan n reeaua de alimentare. n aceast
situaie, o parte din aceast energie se pierde pe rezistena activ RS. n
momentul = + , energia acumulat n inductan este egal nul, curentul
id = ia scade la zero i T1 se blocheaz. Dup pauza fr curent, n momentul
= + se aplic impulsul de comand pe tiristorul T2 i procesele se repet.
Acest regim, cnd ntre intervalele de conducie ale tiristoarelor exist pauze
fr curent, se numete regim de curent intermitent. Apariia sectoarelor
negative pe curba ud, pe timpul revenirii energiei din sarcin n reea, conduce
la faptul c valoarea efectiv a tensiunii devine mai mic dect valoarea
determinat cu formula (3.1).

1
2 E 2 sin d
Ed =
(3. 2)

Tensiunea de ieire depinde nu numai de unghiul de comand, dar i de
caracterul sarcinii (adic de pLS/RS), pentru c durata etapei de revenire a
energiei acumulate n inductan depinde de raportul dintre inductana i
rezistena activ a sarcinii. Prin creterea inductanei sau prin micorarea lui
RS, durata pauzei fr curent se micoreaz, iar la atingerea egalitii, =
redresorul trece n regim de curent nentrerupt.
3.2.3. Regimul de curent fr ntrerupere la funcionarea n sarcin
activ-inductiv
Acest regim este caracteristic pentru redresoarele de putere, la care, de obicei,
pLS >> RS. Pentru asemenea raport al parametrilor, curentul de sarcin este
continuu i bine netezit, valoarea sa instantanee fiind egal cu valoarea efectiv
id = Id (figura 3.2.c). n intervalele de timp [ ] i [( + ), 2], sensurile
curentului i tensiunii n sarcin coincid, energia se transmite din reea n
sarcin i o parte a acestei energii se acumuleaz n inductan. n intervalele
[0, i [, ( + )], energia acumulat n inductan se ntoarce n reeaua de
alimentare, dar, n momentul cuplrii tiristorului urmtor, energia acumulat
n inductan nu este nc egal cu zero. n regimul de curent nentrerupt, durata
de trecere a curentului prin diod este = , adic n orice moment de timp
sarcina este cuplat la una din semi-nfurrile transformatorului. Valoarea
efectiv a tensiunii redresorului n regim de curent nentrerupt este:

1
2 E 2 sin d
Ed =
(3.2)

de unde, Ed = Ed0cos
68

Caracteristica de reglare a redresorului n regim de curent fr


ntrerupere este prezentat n figura 3.4.a, ea reprezentnd o cosinusoid.
Pentru valori ale unghiului de comand > cr , energia acumulat n
inductan devine insuficient pentru meninerea fr ntrerupere a curentului
n sarcin i redresorul trece n regim de curent intermitent, cnd se micoreaz
sectorul negativ al curbei ud(t) i crete ud. n cazul funcionrii pe sarcin pur
inductiv, cr = /2, adic durata etapei de acumulare a energiei n inductan
[ ] este egal cu durata etapei de revenire a energiei din sarcin n reea.
Alegerea tiristoarelor i calculul transformatorului la redresoarele comandate
se face pe baza acelorai relaii ca i pentru redresoarele necomandate, pentru
c cei mai mari cureni i tensiuni pe elementele schemei corespund regimului
= 0.
3.2.4. Comutarea curentului la redresoarele comandate monofazate
S analizm particularitile regimului de funcionare a redresorului n
regim de curent fr ntreruperi cu transformatoare reale.

Fig.3. 5 Curentul i tensiunile la redresorul monofazat cnd se au n vedere


procesele de comutaie (a) i schema de aplicare a tensiunii pe sarcin n
intervalul de comutaie (b)

La transformatoarele de putere medie i mare, reactanele nfurrilor


XS1 i XS2 determinate de fluxurile de disipare, sunt mult mai mari dect
rezistenele lor active. Se transfer reactanele inductive ale bobinelor primar
i secundar ale transformatorului n circuitele anodice ale tiristoarelor: Xa =
La = XS2 + XS1, unde XS1 este reactana inductiv a bobinei primare,
transferat n bobina secundar. n paragraful 3.2.3, s-a presupus c, la X = 0,
curentul tiristoarelor are form dreptunghiular. Dac Xa 0, reactana Xa se
opune variaiei rapide a curentului n tiristoare; cnd se aplic impulsul de
comand la tiristorul T2, curentul tiristorului T1 va scdea pe durata de timp
corespunztoare unghiului de comutare (figura 3.5.a). Pe durata aceluiai
interval, va crete curentul tiristorului T2. n intervalul de comutaie cele dou
69

tiristoare conduc curentul n acelai timp i transformatorul devine cuplat la


sarcin, aa cum se vede din schema echivalent din figura 3.5.b. Din aceast
di
di
schem, rezult c ud = e2 Xa a1 i, n acelai timp, ud = e2 Xa a 2 . Dac
d
d
di
di
n sarcin curentul este netezit ideal, a1 = a 2 . Atunci, se obine c, n
d
d
intervalul de comutaie, tensiunea pe sarcin este egal cu semisuma
tensiunilor electromotoare pe bobinele care conduc curent.
e e 2
La redresorul monofazat (figura 3.5.a): ud = 2
= 0. Pentru c,
2
n intervalul de comutare, valoarea instantanee a tensiunii de ieire scade cu
valoarea ux, valoarea efectiv de asemenea se micoreaz:

1
Ud = 2 E 2 sin d = Ed Ux,

unde Ed se determin cu ajutorul formulei (3.2), iar

Ux =

d .

di
Avnd n vedere c ux = La a , d = d(t) = dt, iar La = Xa i
dt
schimbnd limitele de integrare, pentru c atunci cnd = , ia = 0, iar cnd
I
X I
1 d
= + , ia = Id, se obine: Ux = X a di d a d
0

Prin urmare, datorit existenei inductanelor transformatorului,


valoarea efectiv a tensiunii pe sarcin se micoreaz odat cu creterea
curentului Id, pentru c, n acest caz, crete durata intervalului de comutare, .
n acest fel, la redresorul monofazat:
X I
Ud = Ed a d
(3. 3)

n figura 3.4.b sunt reprezentate caracteristicile externe ale redresorului


comandat monofazat, construite pe baza formulelor (3.3). Spre deosebire de
redresoarele de putere mic, panta caracteristicilor externe ale redresoarelor de
putere medie i mare este determinat de procesele de comutare n regim de
curent nentrerupt n sarcin. Se poate constata din aceast figur c, atunci
cnd = 60, la creterea lui RS (i micorarea lui Id) redresorul trece n regim
de curent intermitent i tensiunea Ud se mrete, n conformitate cu forma
caracteristicilor de reglare (figura 3.4.a).
Deficiena redresoarelor comandate n raport cu cele necomandate
const n creterea pulsaiilor tensiunii de ieire la creterea unghiului de
comand, ceea ce se poate observa din compararea diagramelor de timp din

70

figurile 3.3 i 3.2. Descompunerea n serie Fourier a curbei tensiunii de ieire


ud permite determinarea primei armonici. n regim de curent fr ntreruperi,
cnd se neglijeaz procesele de comutaie, raportul dintre amplitudinea primei
armonici i valoarea efectiv Ed, determinate pe baza formulei (3.2), definete
factorul de ondulaie: () = 0 1 m2 tg2 , unde 0 este factorul de
ondulaie cnd = 0, calculat cu ajutorul formulei (2.3). Expresia lui () este
valabil i pentru alte scheme de redresare, la care m > 2. Redresorul
monofazat comandat poate fi realizat n schema n punte (figura 2.4.a), caz n
care toate diodele se nlocuiesc cu tiristoare. Procesele de baz la redresoarele
cu nul i n punte la redresoarele monofazate sunt similare.

3.3. Invertorul dependent monofazat


Invertorul dependent transmite energia din reeaua de curent continuu
n reeaua de curent alternativ, n care tensiunea i frecvena sunt determinate
de alte surse de curent alternativ mai puternice. Schema cu nul monofazat a
invertorului dependent este prezentat n figura 3.6.

Fig.3. 6 Invertor monofazat dependent

Compararea acesteia cu schema redresorului comandat din figura 3.1.a


arat totala similitudine a elementelor acestora; deosebirea const numai n
aceea c, n locul rezistorului de sarcin RS, la invertor se cupleaz sursa de
curent continuu, Esurs, a crei polaritate este invers n raport cu tensiunea de
ieire a redresorului. Din aceast cauz, aceeai schem cu tiristoare poate fi
utilizat att n regim de redresare ct i n regim de invertor, dar problema
const nu att n diferena convertoarelor, ci n convertorul redresor-invertor,
capabil s funcioneze n cele dou regimuri artate, care se deosebesc prin
sensul fluxului de energie; la redresor, energia din reeaua de curent alternativ
71

ajunge n circuitul de curent continuu (ud, id); la invertor, din reeaua de curent
continuu (ud, id), energia trece n reeaua de curent alternativ. Tensiunea ud i
curentul id la invertor se numesc tensiune, respectiv curent de ntoarcere. S
analizm n continuare diagramele de timp din figura 3.2.a. n intervalul [,
polaritile lui ud(t) i id(t) coincid (figura 3.1.b), prin urmare, puterea se
transmite din circuitul de curent alternativ n sarcin. n intervalul [0,
curentul i pstreaz sensul, iar tensiunea ud i schimb sensul; prin urmare,
circuitul de curent continuu ntoarce energia n reeaua de curent alternativ
(figura 3.1.c). Evident, n regim de invertor, al doilea interval, n care energia
se transmite n reeaua de curent alternativ, trebuie s fie mai lung dect primul,
adic

( 0) > ( )

(3. 4)
2
Expresia (3.4) reprezint prima condiie de realizare a regimului de
invertor. A doua condiie se refer la funcionarea circuitului de curent
continuu n regim de surs de energie, n care scop polaritatea tensiunii ud i
sensul curentului id trebuie s fie de inverse.
Cuplarea sursei ESurs cu minusul la catozii tiristoarelor face s creasc
durata , de trecere a curentului prin tiristoarele invertorului i, cnd = , se
realizeaz regimul de curent fr ntrerupere. n figura 3.7.a, sunt prezentate
diagramele de timp la funcionarea invertorului dependent fr a lua n
consideraie procesele de comutare (Xa = 0, = 0). Compararea diagramelor
din figurile 3.7.a i 3.2.c arat c la aceste diagrame sunt diferite numai valorile
unghiului de comand; < /2 la redresor i > /2 la invertor. n momentul
= se aplic impulsul de comand pe tiristorul T1; la deschiderea
tiristorului, ud = e2, curentul trece prin semi-nfurarea superioar a
transformatorului, tiristorul T1 i circuitul de curent continuu Ld - ESurs. n
acest caz, tensiunea ud i curentul id au acelai sens i energia se transmite din
circuitul de curent alternativ n circuitul de curent continuu. n momentul =
se modific polaritatea, e2 = ud i ncepe transmiterea energiei din circuitul
de curent continuu n circuitul de curent alternativ. Meninerea curentului prin
dispozitivul de redresare cnd tensiunea pe anod este negativ, se asigur prin
aplicarea pe catod a potenialului negativ al sursei, Esursa. n momentul = +
impulsul de comand se aplic la T2 i procesul se repet.
n figura 3.8.a este prezentat caracteristica complet de reglare a

convertorului cu tiristoare n regim de curent fr ntrerupere. Cnd < , Ud


2

> 0 i convertorul este redresor; cnd > , Ud < 0, se realizeaz regimul


2
invertor. La analiza invertorului, se utilizeaz notaiile: = (conform
72

figurii 3.7.a) este unghiul de avans i Ed = Ed este tensiunea invers a


invertorului.

Fig.3. 7 Diagramele de timp ale curenilor i tensiunilor n invertorul dependent


monofazat, pentru Xa = 0 (a) i Xa 0 (b)

Dac n ecuaia caracteristicii de reglare (3.2) se introduce = ,


se obine Ed = Ed0cos( ) = Ed0cos = Ed. Dependena Ed = Ed0cos
se numete caracteristica de reglare a invertorului condus de reea (dependent,
figura 3.8.b); ea este reprezentarea simetric a prii haurate din caracteristica
din figura 3.8.a. n lipsa pierderilor n bobina Ld, valoarea efectiv a tensiunii
Ud = Ud trebuie s fie egal cu tensiunea sursei, Esurs. Cnd crete Esurs >
Ed, crete curentul Id. Se ia n consideraie influena inductanelor de anod
(vezi paragraful 3.2.4) asupra proceselor de comutaie. Diagramele de timp
sunt artate n figura 3.7.b. Inductanele transformatorului, Xa, mpiedic
73

variaia curenilor anodici, din care cauz, pe durata unghiului de comutaie, ,


T1 i T2 sunt deschise n acelai timp i astfel ud(t) = 0. La fel ca i la redresorul
comandat, scderea tensiunii de comutare, ua, micoreaz partea pozitiv a lui
ud. Valoarea efectiv Ud scade cu creterea lui Id i a lui , iar Ud = Ud crete.
Ud se calculeaz cu formula (3.3), pentru c formula respectiv este valabil n
regim de curent fr ntrerupere pentru oricare . Introducnd n (3.3) valoarea
= , se obine:
Ud = Ed0cos( ) XaId/ = (Ed0cos + XaId/) = Ud sau
Ud = Ed0cos + XaId/
(3. 5)

Fig.3. 8 Caracteristica de reglare a convertorului redresor-invertor n regim de


curent fr ntrerupere (a), de reglare (b), de intrare i limitatoare (c) a invertorului
condus de reea

Relaia Ud = f(Id) (figura 3.8.c) se numete caracteristica de intrare a


invertorului (Id i Ud se numesc curent de intrare, respectiv tensiune de intrare).
Expresia (3.5) exprim legtura dintre tensiunea sursei, Esurs, i valoarea
efectiv a lui Ud:
Ud = Ed0cos + XaId/ = Esurs
La creterea lui Esurs cnd = ct., se mrete Id i crete puterea
transmis n reeaua de curent alternativ. Dac la creterea lui Esurs este
necesar meninerea lui Id constant, trebuie mrit , adic micorat ; n acest
caz, crete puterea transmis de la intrarea invertorului n reeaua de curent
alternativ. Valoarea maxim a puterii de inversare se obine atunci cnd =
( = 0). ns acest regim, la invertoarele reale cu tiristoare mono-operaionale,
dup cum se arat n continuare, nu este realizabil i unghiurile de comand
sunt limitate de valorile max = min.
S analizm curba tensiunii anodice pe tiristorul T1, n diagramele de
timp din figura 3.7.b. Pentru realizarea blocrii ferme a tiristorului dup ce prin
74

acesta a trecut curentul, este necesar ca pe durata unui interval de timp nu mai
mic de tB, pe tiristor s se aplice o tensiune invers. Timpul de decuplare, tB,
reprezint un parametru de catalog al tiristorului. Din diagrama din figura
3.7.b, se vede c tensiunea anodic negativ se menine pe tiristor n intervalul
unghiular ( ). Prin urmare, blocarea ferm a tiristorului se face n condiia
n care ( ) tB, care limiteaz unghiul la valoarea min = tB + . Cnd
aceast condiie nu se ndeplinete, la apariia pe anod a tensiunii pozitive,
tiristorul se deschide din nou fr semnal de comand. Conducia n acelai
timp a dou tiristoare n invertor face s se scurtcircuiteze transformatorul i
sursa de curent continuu, comutarea n continuare a tiristoarelor devenind
imposibil i apare regimul de avarie, numit rsturnarea invertorului.
Dup cum se observ din descrierea funcionrii invertorului,
comutaia tiristoarelor, adic decuplarea unuia dintre acestea la deschiderea
celuilalt i transferul pe acesta al curentului id, se face la fel ca la redresor,
datorit tensiunii alternative a reelei. Dac aceast tensiune, din motive
oarecare lipsete, de exemplu la un scurtcircuit n reea, comutaia devine
imposibil i se produce rsturnarea invertorului. Aceast dependen a
funcionrii invertorului de tensiunea reelei este reflectat i de denumirea
acestuia: invertor condus de reea sau invertor dependent.
n regimul Id = 0, unghiul de comutaie = 0, min = tB i valoarea
maxim Ed pentru care este posibil comutaia este Edm = Ed0cos(tB). Odat
cu creterea curentului Id, crete unghiul de comutaie , crete i min = tB +
i se micoreaz Ud,M = Ud(min). Funcia Ud,M =f(Id) se numete
caracteristica de limitare a invertorului condus, ea fiind reprezentat n figura
3.8.c. Stabilitatea funcionrii invertorului fr pericol de rsturnare este
posibil numai cnd se aleg asemenea valori ale curentului Id i unghiului ,
care corespund valorilor Ud care se gsesc sub caracteristica de limitare CL:
Ud < Ud,M.
Invertoarele conduse de reea se utilizeaz pe larg n tehnica de
conversie. Pe lng invertoare care funcioneaz continuu (de exemplu, la
captul de recepie a liniei de transport n curent continuu), exist convertoare
care funcioneaz alternativ n regim de redresare i de invertor. Astfel, la
acionarea locomotivelor electrice cu motor de curent continuu, trecerea
convertorului n regim de invertor permite realizarea frnrii acestui motor.

3.4. Redresorul trifazat cu nul


Utilizarea redresoarelor multifazate permite realizarea sarcinii
uniforme pe toate fazele reelei, micorarea pulsaiei tensiunii redresate,
reducerea puterii calculate a transformatorului, creterea factorului de putere.
n figura 3.9.a este prezentat schema de redresare trifazat cu nul. La
realizarea ei cu tiristoare, se obine redresorul comandat, iar prin nlocuirea
tiristoarelor cu diode se obine redresorul necomandat. Sarcina se cupleaz
75

ntre nulul stelei formate din bobinele transformatorului i catozii tiristoarelor.


S analizm regimul de funcionare caracteristic convertoarelor de putere cu
sarcin activ-inductiv, considernd c inductana LS este mare (pLS > Rs).
n figura 3.9.b este prezentat sistemul trifazic al tensiunilor secundare,
e2A, e2B, e2C. Curbele tensiunilor secundare determin modificarea
potenialelor anozilor tiristoarelor cuplate la aceste faze, n raport cu punctul
de nul al stelei, a. Pe diagramele de timp se arat regimul de funcionare al
redresorului cnd = 0 (funcionarea redresorului necomandat).
Transformatorul i tiristorul se consider ideale. Momentele 1, 2, 3,
corespunztoare punctelor de intersecie a dou sinusoide de tensiuni
secundare, reprezint momente de deschidere natural. Dac la momentul 1
se aplic impuls pozitiv de comand pe tiristorul T1, la deschiderea acestuia
apare curentul i2(t) = ia(t) = id(t) i pe sarcin se stabilete tensiunea ud = e2A.

Fig.3. 9 Redresorul trifazat cu nul (a) i diagramele de timp ale curenilor


i tensiunilor cnd = 0 (b)

Dac redresorul este realizat cu diode, dioda D1, cuplat la faza e2A, se
deschide automat n momentul 1 pentru c n acest moment potenialul
anodului acesteia devine mai mare dect potenialele anozilor diodelor D2 i
D3. Potenialul catodului tiristorului care conduce, T1 (i al tuturor celorlalte
tiristoare) n raport cu punctul de nul al stelei este k = e2A. Prin urmare, la
catozii tuturor tiristoarelor se aplic cea mai pozitiv tensiune e2A i tiristoarele
T2 i T3 sunt nchise n intervalul 1 2. n momentul 2, cea mai pozitiv
76

devine tensiunea secundar e2B i se deschide dioda D2 sau, dac T2 este


tiristor, atunci pe aceasta, n momentul 2, se aplic impulsul de comand. La
deschiderea lui T2, ud = e2B i k = e2B; cu acest potenial pe catod, se nchid
ferm T1 i T3. n momentul 3, apare posibilitatea deschiderii lui T3 i, pe
sarcin, se stabilete ud = e2C. n acest fel, n fiecare moment conduce tiristorul
al crui potenial pe anod este cel mai pozitiv, iar n punctele de deschidere
natural se produce trecerea curentului de la un tiristor la altul. Tensiunea ud
este reprezentat de curba format din segmentele de sinusoid a tensiunilor
de faz, care au n intervalul dat cel mai pozitiv potenial. Perioada lui ud este
de trei ori mai mic fa de perioada tensiunii reelei: p = 3reea. Factorul de
ondulaie al tensiunii poate fi calculat pe baza formulei (3.3), unde m = 3; se
obine = 0,25. Pulsaia tensiunii de ieire la redresoarele trifazate este mai
mic dect la cele monofazate, iar frecvena pulsaiilor este mai mare, ceea ce
permite netezirea pulsaiilor cu un filtru cu elemente reactive de mai mic
putere. S determinm valoarea efectiv a tensiunii de ieire Ed pe o perioad.
n sistemul de coordonate artat n figura 3.9, perioada de pulsaie este cuprins

n intervalul ; . Amplitudinea este Edm = E2m = 2 E2; atunci:
3 3
Ed =

3
2

2 E 2 cos d = 1,17E2

(3. 6)

n cazul cnd inductana din circuitul de sarcin este mare, curentul de


sarcin este bine netezit, id = Id, iar curentul prin tiristoare i nfurrile
secundarului, ia = i2, are forma impulsurilor dreptunghiulare de amplitudine Id
i durat = 2/3 = 120. Pentru alegerea tiristoarelor n funcie de curentul
suportat, se determin valoarea efectiv a curentului ntr-o perioad:
I
Ia = d
(3. 7)
3
Pentru alegerea tiristoarelor n funcie de tensiune, se determin
tensiunea invers. Pentru tiristorul care nu funcioneaz (de exemplu, T2 cnd
T1 este deschis) potenialul anodului este: A = e2B, iar potenialul catodului
este: K = ud, deci tensiunea pe tiristor este:
ua = A K = e2B ud = e2B e2A
n acest fel, pe tiristorul care nu funcioneaz se aplic o tensiune liniar
i valoarea sa maxim, avnd n vedere relaia (3.6), este egal cu:
Uinv = 3 E2m = 3 2 E2 = 2,09Ed
(3. 8)
Curentul n nfurarea secundar a transformatorului, i2 = ia, are
componenta continu care se determin cu formula (3.7). Componenta
continu nu se transfer n bobina primar, din care cauz curentul n bobina
77

primar, i1 (figura 3.9.b), se determin cu relaia: i1 = i 2 d k , unde k este


3

raportul de transformare.
Existena componentei continue n curenii secundari ai
transformatorului conduce la magnetizarea miezului magnetic, datorit crui
fapt se mrete curentul de magnetizare. Aceast situaie mpiedic utilizarea
redresoarelor trifazate cu nul n instalaiile de putere, ns acestea i gsesc
utilizare larg ca parte component a redresoarelor mai complicate.

Fig.3. 10 Diagramele de timp ale tensiunii ud la redresorul trifazat comandat


cu nul i la invertorul dependent (regimul de curent fr ntrerupere)

Dup cum s-a artat, n cazul redresorului cu tiristoare, regimul de


funcionare analizat, ilustrat de diagramele din figura 3.9.b, corespunde valorii
nule a unghiului de comand, = 0. La aplicarea impulsurilor de comand pe
tiristoarele schemei din figura 3.9.a ntrziate n raport cu momentele
deschiderii naturale cu unghiul , apare posibilitatea reglrii valorii efective a
tensiunii de ieire, Ud. Cnd funcioneaz T1, ud = e2A, la cuplarea lui T2, ud =
e2B, iar la funcionarea lui T3, ud = e2C. n regimul curentului fr ntrerupere
n sarcin, = 120 i tensiunea pe sarcin n fiecare moment de timp
corespunde tensiunii electromotoare a uneia dintre fazele transformatorului,
e2A, e2B, e2C (diagramele de timp ud pentru diferite unghiuri de comand sunt
prezentate n figura 3.10). Valoarea efectiv a tensiunii de ieire cnd 0 n
regim de curent fr ntrerupere este:
78

Ed =

3
2

2 E 2 cos d = Ed0cos

unde Ed0 = 1,17E2


Ultima expresie este identic cu relaia (3.2) n regim de curent fr
ntrerupere. Caracteristica de reglare a redresorului are caracter cosinusoidal
indiferent de numrul de faze ale redresorului. Diagramele analizate n figura
3.9 permit s se concluzioneze:
- sectoarele negative n curba ud apar cnd 30, regimul de curent
intermitent este imposibil pentru orice sarcin, inclusiv pentru cea reactiv.
- cnd > 90, este posibil regimul de invertor, dac n circuitul de curent
continuu se introduce o surs cu polaritate invers polaritii lui Ud a
redresorului analizat mai sus.

3.5. Redresorul trifazat n punte


Schema de redresare trifazic n punte este cea mai rspndit n
domeniul puterilor medii i mari. n figura 3.11.a este prezentat schema
redresorului comandat, cu tiristoare. La nlocuirea tiristoarelor cu diode, se
obine schema redresorului trifazat n punte necomandat. Tiristoarele schemei
formeaz dou grupe: T1, T3, T5 grupul de catod (la acestea catozii sunt unii)
i T2, T4, T6 grupul de anod.
Dac se consider c potenialul punctului comun al stelei bobinei
secundare a transformatorului este nul, se poate aprecia c tensiunea pe sarcin
este suma tensiunilor de ieire a dou redresoare trifazice cu schem cu nul,
realizate pe grupele de tiristoare de catod i de anod. Tensiunea pe sarcin este
ud(t) = KK AA, unde KK este potenialul catozilor tiristoarelor din grupa
catodic, iar AA este potenialul anozilor tiristoarelor din grupa anodic.
3.5.1. Funcionarea redresorului necomandat
n figura 3.11.b sunt prezentate diagramele de timp ale curentului i
tensiunilor n acest regim. Ca i la redresorul trifazic cu nul, n fiecare moment
de timp un singur tiristor al grupei catodice, la care tensiunea pe anod este cea
mai pozitiv i respectiv un singur tiristor din grupa anodic, la care tensiunea
pe catod este cea mai negativ se afl n conducie. Momentele de deschidere
natural a tiristoarelor din fiecare grup reprezint punctele de intersecie a
sinusoidelor e2 pentru tensiunile pozitive, pentru tiristoarele din grupa anodic,

79

respectiv punctele de intersecie ale acelorai sinusoide pentru tensiuni


negative, pentru tiristoarele din grupa catodic.
Din momentele deschiderii naturale, se msoar unghiurile de comand
n momentul de exemplu, conduc T1 i T2, iar curentul se nchide prin
circuitul format de bobina e2A T1 sarcin T2 bobina e2C. n funcie de
care dou tiristoare sunt n conducie, sarcina se cupleaz la o anumit

Fig.3. 11 Redresorul trifazat n punte (a) i diagramele de timp ale curenilor i


tensiunilor cnd = 0 (b)

tensiune, de exemplu, cnd funcioneaz T1 i T2 la tensiunea uAC.


La ntreruperea funcionrii lui T1 i deschiderea lui T3, pe sarcin se
aplic tensiunea continu uBC i aa mai departe.
n acest fel, tensiunea de ieire are valoarea egal cu amplitudinea
tensiunii pe bobina secundar a transformatorului: U dm 3 2E 2 , unde E2
este valoarea efectiv a tensiunii de faz. n figura 3.11.b este reprezentat
curba tensiunii de ieire, ud = KKAA, unde KK iAA, reprezint
nfurtorile inferioar i superioar ale sinusoidelor e2. Perioada tensiunii ud
n cazul reprezentat n figur este cuprins ntre i + , iar valoarea
efectiv a tensiunii de ieire a redresorului este:
Ed =

80

6
2

3 2 E 2 cos d = 2,34E2

(3. 9)

n comparaie cu relaia (3.6), Ed a crete de dou ori, ceea ce este de


ateptat, dac se are n vedere c pe sarcina din schema n punte se nsumeaz
tensiunile a dou redresoare cu nul. Pulsaia este p = 6reea, iar factorul de
ondulaie se determin cu formula (3.3), pentru m = 6 : = 0,06. Reducerea
pulsaiilor tensiunii de ieire i creterea frecvenei acesteia nseamn
mbuntirea calitii tensiunii de ieire la redresorul trifazat n punte, n
comparaie cu redresorul cu nul. Pe aceeai diagram de timp este reprezentat
curentul id(t). n regimul tipic pentru convertoarele de putere, pLs/Rs > 10,
curentul n sarcin este constant: id = Id; pe diagram sunt reprezentate
numerele tiristoarelor prin care trece curentul de sarcin.
Amplitudinea curentului anodic este Iam = Id, iar durata de trecere a
acestuia este = 2La fel ca i la schema cu nul, Ia = Id/3.
Pentru alegerea tiristoarelor, este necesar s se cunoasc i Uinv.
Tensiunea pe tiristorul care nu funcioneaz, din grupa catodic (anodic), este
determinat cu ajutorul relaiei : ua = AK, unde potenialul anodului
(catodului) n raport cu punctul comun al stelei este determinat de tensiunea
bobinei secundare a transformatorului, legat la tiristorul dat, iar potenialul
catodului (anodului) tuturor tiristoarelor din grupa dat este egal cu potenialul
KK (AA), adic cel mai pozitiv (cel mai negativ) din e2. n figura 3.11.b este
haurat tensiunea ua. Amplitudinea tensiunii inverse pe tiristor este:
Uinv = E2m = 3 2 E2 = 1,05Ed
(3. 10)
Comparnd relaia (3.10) cu relaia (3.8), se observ c, pentru aceeai
Ed, la schema redresorului n punte Uinv este de dou ori mai mic, ns i
tiristoarele sunt de dou ori mai multe dect la schema cu nul.
Curentul bobinei secundare a fazei A se compune din curentul
tiristoarelor T1 i T4 i are forma artat n figura 3.11.b. Curentul nu are
component continu i, din aceast cauz, magnetizarea transformatorului nu
se produce; forma curentului bobinei primare este aceeai ca i n secundar: i1
= ki2, unde k este raportul de transformare.
Pentru calculul transformatorului, se determin valoarea efectiv a
curentului I2, avnd n vedere c acest curent are forma unor impulsuri
dreptunghiulare de polaritate diferit, cu amplitudinea Id i durata o
semiperioad, 120:
2

I2 =

2
3

1 2
1
2
i 2 d
I d2 d
Id

2 0
2 0
3

n schema dat, forma curenilor i1, i2 i a tensiunilor e1, e2 n bobinele


primar i secundar este aceeai, din care cauz sunt egale i puterile calculate
ale acestor bobine. Puterea calculat a transformatorului, avnd n vedere
relaia (3.9), este:
81

2 Ed
= 1,05Pd
(3. 11)
3 2,34
Printre avantajele schemei n punte, n comparaie cu schema cu nul, se
pot meniona amplitudinea mic i frecvena mare a pulsaiilor, valoarea
calculat mic a puterii i lipsa magnetizrii transformatorului, precum i
valoarea de dou ori mai mic, n comparaie cu schema cu nul, a raportului
Uinv/Ed, ceea ce permite obinerea tensiunilor suficient de nalte pentru Ed, cnd
se utilizeaz tiristoare de aceeai clas.
3.5.2. Funcionarea redresorului comandat

ST = S1 = S2 = 3I2E2 = 3Id

Fig.3. 12 Diagramele de timp ale tensiunii ud la redresorul trifazat comandat n


punte i la invertorul dependent

La aplicarea impulsurilor de comand pe tiristoarele redresorului


(figura 3.11.a) cu ntrziere n raport cu momentele de deschidere natural la
unghiul de comand n regiuni de curent fr ntrerupere, curba tensiunii de
ieire se compune din segmente de tensiune liniar pe bobina secundar a
transformatorului. Diagramele de timp ud pentru diferite unghiuri de comand
sunt prezentate n figura 3.12. Valoarea efectiv a tensiunii electromotoare de
ieire a redresorului se determin prin integrarea acestor curbe:
82

Ed =

6
2

3 2 E 2 cos d = Ed0cos

unde Ed0 = 2,34E2.


n regim de curent fr ntrerupere, Ed = f(), caracteristica de reglare
a redresorului, este definit de relaia (3.2) i are caracter cosinusoidal.
La unghiuri de comand < 60, sectorul negativ lipsete n curba ud(t)
i redresorul funcioneaz n regim de curent fr ntrerupere pentru orice fel
de sarcin.
Cnd > 90, este posibil funcionarea convertorului n regim de
invertor, n care scop, n circuitul de curent continuu se cupleaz o surs de
energie a crei polaritate este opus celei a tensiunii de ieire a redresorului.
3.5.3. Procese de comutaie i caracteristici ale redresorului trifazat
n punte
La transformatoarele reale de putere mare este necesar s se ia n
consideraie inductana de disipare a bobinelor. Ca i la redresorul monofazat,
inductana de disipare a bobinelor primar i secundar n circuitul secundar
este: Xa = Xs2 + Xs1. Inductana bobinei transformatorului mpiedic
modificarea n salt a curenilor i2 i ia, din care cauz aceti cureni au form
trapezoidal (diagramele de timp ale tensiunilor i curenilor la redresorul n
punte cnd se ia n consideraie Xa sunt prezentate n figura 3.13). Ca rezultat
al influenei inductanelor anodice, curentul se transfer de la tiristor la tiristor
nu instantaneu ci pe intervalul determinat de unghiul de comutare cnd
curentul trece prin dou tiristoare ale aceleiai grupe n acelai timp. n
momentul 1, artat n figura 3.13, curentul n T3 crete, iar n T1 scade, iar la
funcionarea lor simultan potenialul KK = (e2A+e2B)/2.
n intervalul de comutare tensiunea de ieire a convertorului scade cu
valoarea ux, aceast tensiune, ux fiind aplicat pe inductana anodic. n
intervalele dintre comutri curentul trece printr-un singur tiristor, iar forma
tensiunii de ieire rmne neschimbat. Valoarea efectiv a tensiunii de ieire
scade datorit proceselor de comutaie:
Ud = Ed0cos Ux
Valoarea Ux poate fi determinat ca valoare efectiv a lui ux n
intervalul de repetiie, egal cu 2, pentru c la redresorul trifazat n punte,
ntr-o perioad a tensiunii reelei au loc ase comutri: trei n grupa anodic i

6
u x d . Avnd n
trei n grupa catodic a tiristoarelor. Prin urmare, Ux =
2

83

dI a
, ddt) = dt i La = Xa i schimbnd limitele de
dt
integrare, (la ia = 0, iar la ia = Id), se obine:
I
X I
6 d
X a dI d 3 a d i
Ux =

2 0
2

vedere c ux =La

Ud = Ed0cos 3

Xa Id
2

(3. 12)

Fig.3. 13 Curenii i tensiunile la redresorul trifazat n punte cnd se


iau n consideraie procesele de comutaie

Caracteristica extern, Ud = f(Id) la redresorul trifazat are aceeai form


ca cea a redresorului monofazat (figura 3.4.b), deosebirea cantitativ constnd
numai n valoarea tensiunii de mers n gol, Ed0 i n nclinarea curbelor, care
depinde de pulsaia tensiunii de ieire. n figura 3.13 este prezentat i forma
curentului i1, absorbit de redresor din reea. Aceast curb are dou proprieti
eseniale. Astfel, curentul consumat de redresor din reea este nesinusoidal,
fapt caracteristic i altor tipuri de redresoare, care, din aceast cauz, pot fi
considerate ca sarcini neliniare pentru reeaua de alimentare. A doua
particularitate const n defazarea curentului primar n raport cu tensiunea
reelei, ceea ce este caracteristic pentru toate redresoarele comandate. Ambele
84

aceste caracteristici au un rol important n tehnica convertoarelor i n


energetic, ele determinnd influena convertoarelor cu tiristoare asupra reelei
de alimentare. Agregatele de putere redresoare-invertoare se folosesc n
particular pentru alimentarea bobinelor de excitaie a hidrogeneratoarelor
sincrone. Bobina de excitaie reprezint o sarcin inductiv cu pierderi mici;
necesarul de putere pentru excitare reprezint 0,3 3 % din puterea mainii
sincrone. Excitatorul sincron montat pe axul mainii sincrone este cuplat cu
bobina de excitaie prin redresor, al crui curent, Id se regleaz prin schimbarea
unghiurilor de comand a tiristoarelor n funcie de mrimea i caracterul
sarcinii generatorului. n funcie de curentul de excitare, se poate modifica
puterea reactiv a generatorului. Regimul pentru care puterea reactiv este nul
se numete regim de excitare complet sau normal. La creterea curentului de
excitare (regim de supraexcitaie), maina sincron genereaz putere reactiv
pentru reeaua activ-inductiv. n regimul de mers n gol, un astfel de generator
este echivalent pentru reea cu o capacitate i se numete compensator sincron.
Pentru ntreruperea rapid a curentului de excitaie, agregatul convertor trece
n regim de invertor (), n care caz energia acumulat n bobina de
excitaie se ntoarce n reeaua de alimentare. n acest fel, prin comanda
schemei de excitare cu ajutorul convertorului cu tiristoare comandat, este
posibil realizarea regimurilor de baz de funcionare a generatorului sincron.

3.6. Scheme de redresare multifazate


La realizarea redresorului trifazat n punte cu ase diode, n sarcin se
poate obine curentul cel mai mare Id = 3Ia, tensiunea redresat fiind Ud =
0,96Uinv. Cu diode de putere mare se pot obine n sarcin puteri de ordinul
megawailor. ns n electrotehnic i energetic sunt necesare redresoare i
invertoare dependente, a cror putere trebuie s fie cu cteva ordine de mrime
mai mare, cum sunt, de exemplu, convertoarele cu diode pentru liniile de
transport de curent continuu. La convertoarele destinate curenilor mari (Id > 1
kA), se utilizeaz cuplarea n paralel a tiristoarelor sau diodelor, iar la
convertoarele pentru tensiuni mari (Ud > 1 kV) cuplarea n serie a acestora. La
cuplarea n paralel a diodelor, pe acestea se aplic aceeai tensiune direct.
Rezistenele diodelor la trecerea curentului direct au valori diferite i, din
aceast cauz, curentul direct n ramurile paralele se poate distribui neuniform,
ceea ce conduce la o suprasarcin n curent i ieirea din funciune a diodei
care are rezistena cea mai mic. Pentru echilibrarea curenilor n regimuri
statice i dinamice, se folosesc divizoarele inductive de curent (figura 3.14.a).

85

Fig.3. 14 Schemele de cuplare n paralel (a) i n serie (b) a tiristoarelor


(diodelor) semiconductoare de putere

La cuplarea n serie a diodelor sau tiristoarelor, prin acestea trece


acelai curent invers. Rezistenele diodelor n sens invers sunt de valori
diferite, din care cauz tensiunea invers se distribuie neuniform pe acestea,
cea care are rezistena invers cea mai mare primete partea cea mai mare din
tensiune i, din acest motiv, se poate strpunge. Pentru egalarea tensiunilor n
regimuri statice i dinamice se folosesc divizoarele de tensiune. Un astfel de
divizor este prezentat n figura 3.14.b.
Divizoarele de curent i tensiune reduc randamentul convertorului,
datorit pierderilor n elementele active. Ele nu asigur completa egalare a
tensiunilor i curenilor i, din aceast cauz, parametrii tiristoarelor n
convertor se aleg cu rezerv mare, ceea ce conduce la creterea numrului
acestora i creterea preului convertorului. n domeniul puterilor mari, se
folosesc frecvent cuplrile n paralel i n serie fa de sarcin a ctorva seturi
de diode de acelai tip, ceea ce permite nu numai obinerea tensiunii i
curentului necesare (Us, Is) n sarcin, dar ofer i alte avantaje.
S analizm variantele de baz ale convertoarelor (analiz limitat la
funcionarea redresoarelor necomandate) n figura 3.15.a este prezentat
redresorul dublu trifazat cu reactan de egalizare, care se compune din dou
redresoare trifazate cu nul, care funcioneaz pe aceeai sarcin comun.
Cuplarea bobinelor secundare ale transformatorului pe schema n stea dubl
asigur defazarea tensiunii e2(1) a primului redresor cu nul (T1, T2, T3) n raport
cu tensiunea e2(2) a celui de-al doilea redresor cu nul (T4, T5, T6) cu 60. La
funcionarea primului redresor cu nul se formeaz tensiunea de ieire ud1 i
curentul id1, a cror form este prezentat n diagrama de sus din figura 3.15.b.
n a doua diagram este prezentat forma tensiunii ud2 i a curentului id2 ale
celui de-al doilea redresor cu nul. La trasarea curenilor s-a avut n vedere c
pLs >> Rs. Curenii ambelor redresoare sunt egali: id1 = id2, prin sarcin
trecnd curentul nsumat Id = Id1 + Id2 = 6Ia.
86

Forma tensiunilor ud1 i ud2 i valorile lor efective, Ed sunt, de asemenea


identice, ns aceste tensiuni sunt defazate una fa de alta cu 60 i valoarea
lor instantanee este diferit. Diferena dintre aceste valori instantanee, uREA =
ud1 ud2 este preluat de reactana LREA, iar tensiunea pe aceasta, uREA este
prezentat n figura 3.15.b. Valoarea instantanee a tensiunii pe sarcin este: us
u ud2
= d1
i valoarea sa efectiv Us = Ed1 = Ed2 = 1,17E2
2
Forma lui us este prezentat n figura 3.15.b. Frecvena pulsaiilor
acestei tensiuni este egal cu p = 6retea. Factorul de ondulaie, , obinut prin
introducerea n formula (3.3) a valorii m = 6, este egal cu 0,06, deci calitatea
tensiunii de ieire este aceeai ca i la schema redresorului trifazic n punte. n
bobina primar a transformatorului se produce nsumarea curenilor indui din
bobinele secundare; ca rezultat, curentul is (fig. 3.15.b) este simetric n raport
cu axa , iar magnetizarea permanent a transformatorului nu apare n aceast
schem.

Fig.3. 15 Redresorul dublu trifazat cu reactan de egalizare (a) i diagramele de


timp ale curenilor i tensiunilor n redresor (b)

Redresorul dublu trifazat cu reactan de egalizare seamn cu


redresorul trifazat n punte, numai c n aceasta seturile de diode se cupleaz
la sarcin nu n serie ci n paralel. Din aceast cauz, astfel de redresoare i
87

gsesc o utilitate larg pentru funcionarea n sarcini care consum cureni mari
la tensiuni relativ mici.
Redresoarele compuse, cu 12 pulsuri (m = 12) pot fi realizate prin
cuplarea n paralel sau n serie a dou redresoare trifazate n punte (figurile
3.16. a, b). La redresorul realizat cu schema 3.16.a, seturile de diode sunt
cuplate pe sarcin n serie, din care cauz tensiunea pe sarcin este egal cu
suma tensiunilor a dou puni:
US =Ud1 + Ud2
Curentul de ieire al primei puni trece prin sarcin, circuitul nchizndu-se
prin cea de a doua punte, din care cauz valorile instantanee ale curenilor sunt
iS = id1 = id2.
Valorile efective ale curenilor sunt date de relaia IS = Id1 = Id2 = 3Ia.

Fig.3. 16 Redresoare cu 12 pulsuri, realizate prin cuplarea punilor n serie (a) i n


paralel (b)

La redresoarele din figura 3.16 se utilizeaz schemele de cuplare a


seturilor de diode prin transformator cu dou bobine secundare, dintre care una
este legat n triunghi i cea dea doua n stea.
Egalitatea valorilor medii ale tensiunilor de ieire, Ud1 = Ud2, este
asigurat de alegerea numrului de spire ale bobinelor secundare, legate n stea
i n triunghi, n raportul N2-tr/N2-stea = 3 .
Sistemul tensiunilor secundare a, b, c este defazat n raport cu sistemul
tensiunilor secundare a, b, c cu un unghi de 30. Din aceast cauz i
tensiunile de ieire ale seturilor de diode, ud1 i ud2, sunt defazate cu 30,
diagramele de timp ale acestor tensiuni fiind reprezentate n fig. 3.17, cu
pulsaia p = 12reea. Factorul de ondulaie, n conformitate cu relaia (2.3),
cnd m = 12, este = 0,014, prin urmare, calitatea tensiunii de ieire este mai
bun dect la schema n punte analizat n paragraful 3.5.
88

Avnd n vedere relaia (3.10), tensiunea invers maxim pe diode este


egal cu amplitudinea tensiunii din bobinele secundare ale transformatorului:
Uinv = 1,05Ud1,2 = 0,525US. Schema din figura 3.16.a este utilizat frecvent la
redresoarele cu valori mari a tensiunii de ieire. Pentru valori mari ale
curentului de ieire se folosete schema din figura 3.16.b, la care punile de
diode sunt cuplate n paralel pe sarcin. Prin sarcin trece suma curenilor a
dou puni, iS = id1 + id2. Aceeai relaie leag i valorile efective ale curenilor,
IS = Id1 + Id2 = 6Ia. Datorit defazrii cu 30, valorile instantanee ale tensiunilor
ud1 i ud2 nu sunt egale (figura 3.17), diferena dintre acestea, uREA = ud1 ud2
aplicndu-se pe reactana LREA.

Fig.3. 17 Diagramele de timp ale tensiunilor i curenilor la


redresoarele cu 12 pulsuri

89

Valoarea instantanee a tensiunilor pe sarcin este reprezentat n figura


u ud2
3.17: uS = d1
.
2
Valoarea efectiv a tensiunii pe sarcin este US = Ud1 + Ud2 = 2,34E2,
unde E2 este valoarea efectiv a tensiunii de faz pe nfurrile secundare ale
transformatorului. La fel ca i la redresorul trifazic n punte, la schema din
figura 3.16.b, Uinv = 1,05US. Calitatea tensiunii de ieire la schemele cu
cuplare a punilor n paralel i n serie este identic.
n figura 3.17 sunt reprezentai, de asemenea, curenii n bobinele
secundare ale ambelor seturi (ei au aceeai form n fiecare din schemele din
figura 3.16). La legarea bobinelor secundare n stea, curentul i(2)1 corespunde
figurii 3.11.b. Curentul n bobinele legate n triunghi are forma
dreptunghiular, artat n figura 3.17 (curentul i2(2)). Diagrama de timp a
curentului i1, din primar, este reprezentat i ea n figura 3.17, sub forma unei
linii n trepte, mai asemntoare cu o sinusoid dect forma curenilor primari,
analizai mai sus, ai convertoarelor. Din aceast cauz, convertoarele de 12
pulsuri, n raport cu reeaua, reprezint o sarcin a crei caracteristic este
apropiat de cea liniar. La convertoarele cu 12 pulsuri din figura 3.16 se
obine nu numai creterea puterii transmise n sarcin n comparaie cu puterea
unui set, dar i mbuntirea compoziiei armonice a tensiunii i curentului de
ieire, consumate din reea. Aceste avantaje justific utilizarea frecvent a
redresoarelor compuse cu 12 pulsuri n domeniul puterilor mari. n tabelul 3.1
sunt prezentate datele referitoare la convertoarele cu diode de diferite tipuri.
Numrul de diode este cel minim, fr a lua n calcul posibilitatea
legrii acestora n serie sau n paralel.
Tabel 3.1 parametrii principali ai redresoarelor (RL = 0, = 0)
U inv
Ia
ST
Schem
Tip redresor
Pulsa Num

Id
Pd
Ud
a din
ie m
r de
figura
diode
6.1.a Monofazat cu nul
2
2
0,67 0,50 3,14 1,34
5.4.a Monofazat
n
2
4
0,67 0,50 1,57 1,11
punte
6.9.a Trifazat cu nul
3
3
0,25 0,33 2,09 1,34
6.11.a Trifazat n punte
6
6
0,06 0,33 1,05 1,05
6.15.a Dublu trifazat cu
6
6
0,06 0,17 2,09 1,26
reactan de
egalizare
6.16.a cu 12 pulsuri
12
12
0,014 0,33 0,52 1,05
6.16.b cu 12 pulsuri
12
12
0,14 0,17 1,05 1,05
90

3.7. Redresoare reversibile i convertoare directe de


frecven
Se numesc reversibile convertoarele care permit schimbarea polaritii
tensiunii i curentului continuu n sarcin. Convertoarele reversibile se
folosesc n principal la acionrile electrice pentru schimbarea sensului de
rotaie al motoarelor de curent continuu. Schema de structur a redresorului
reversibil este prezentat n figura 3.18.a.

Fig.3. 18 Convertor reversibil (a) i diagrama curenilor i tensiunilor n


sarcin i unghiurile de comand a seturilor la inversare (b)

Convertorul se compune din dou seturi de diode (dou celule


redresoare), CR1 i CR2, cuplate paralel fa de sarcin. Fiecare din seturi poate
fi realizat pe baza oricreia din schemele de redresoare comandate analizate
anterior. La funcionarea CR1, curentul n sarcin circul n sens pozitiv. La
funcionarea CR2 polaritatea curentului se inverseaz. n funcie de metoda de
comand a seturilor de diode, convertoarele reversibile pot fi de dou tipuri:
1. Convertoare reversibile cu comand separat, la care impulsurile de
comand se aplic numai la unul din seturile care conduc curent. n acest
timp, impulsurile de comand la cel de al doilea set nu se aplic i diodele
acestuia sunt blocate. Reactana LREA poate s lipseasc din schem.
2. Convertoare reversibile cu comand adaptat, la care impulsurile de
comand se aplic n acelai timp ambelor seturi de diode cu un unghi de
comand determinat de adaptare: 1 = 180 2, unde 1 i 2 sunt
unghiuri de comand a CR1 i respectiv CR2. n schema din figura 3.18
reactana LREA este necesar.
91

S analizm funcionarea convertorului reversibil cu comand separat,


presupunnd c, n locul sarcinii RS, se folosete motorul de curent continuu
M, cu excitaie independent (figura 3.18.a). La deschiderea CR1 (1 < 90),
polaritatea tensiunii i sensul curentului corespund celor artate n figura
3.18.a. Pentru inversarea curentului, n momentul t1 (figura 2.17.b), se ntrerup
impulsurile de comand de la CR1. n acest caz, curentul iS scade pn la zero
cu o vitez determinat de inductana bobinei de netezire, LS. Dup un timp de
pauz, suficient pentru anularea complet a curentului iS, cnd t = t2, se aplic
impulsurile de deschidere pe CR2 cu unghiul de comand 2 > 90. Datorit
ineriei motorului, frecvena de rotaie n i tensiunea pe statorul E pe durata
pauzei practic nu se modific. Pentru c atunci cnd 2 > 90, CR2 funcioneaz
n regim de invertor, motorul trece n regim de generator, adic devine surs
de energie. Curentul Id2, din CR2, produce n main un moment de frnare,
ceea ce face s scad rapid turaia n i tensiunea electromotoare n circuitul
statorului E. Frnarea motorului cu transferul energiei n reeaua de alimentare,
acumulat n masele de rotaie, se numete regim de recuperare. Viteza de
scdere a unghiului de comand 2 la frnare se alege deseori astfel nct
regimul de invertor n condiiile scderii E s corespund curentului nominal
Id2. La momentul t3, cnd 2 = 90, n = 0, E = 0, adic motorul se oprete.
Continund micorarea lui 2, se accelereaz motorul pn la viteza nominal
n sens invers de rotaie (momentul t4). n acest caz, CR2 funcioneaz n regim
de redresare i polaritatea tensiunii de ieire se schimb. Pentru frnarea
motorului este necesar acum s se ntrerup aplicarea impulsurilor de comand
pe CR2 i, ateptnd trecerea pauzei, s se cupleze CR1 n regim de invertor,
pentru 1 > 90. n intervalul 0 t1 (figura 3.18.b), CR1 funcioneaz n regim
de redresor, n intervalul t2 t3 CR2 funcioneaz n regim de invertor, iar n
intervalul t3 t4, CR2 funcioneaz ca redresor i aa mai departe. Cnd
comanda este separat, ntre intervalele de funcionare a CR1 i CR2 este
necesar o pauz fr curent, pe durata creia se refac proprietile de blocare
a tiristoarelor. n lipsa pauzei, este posibil apariia unui scurtcircuit, datorit
cuplrii simultane a CR1 i CR2. Elementele necesare schemei de comand a
convertoarelor reversibile cu comand separat sunt traductoarele de curent n
sarcin, care permit fixarea cu precizie a momentului de scdere a curentului
pn la 0 i, astfel, eliminarea posibilitii scurtcircuitrii diodelor
convertorului. n cazul comenzii adaptate, impulsurile de comand se aplic n
acelai timp pe CR1 i CR2, astfel nct, pentru unghiurile de comand a
seturilor se ndeplinete egalitatea 1 + 2 = 180. Unul din seturi funcioneaz
n regim de redresor, iar cellalt n acelai timp funcioneaz n regim de
invertor. Tensiunile electromotoare ale seturilor sunt egale, ns opuse ca semn
i, avnd n vedere sensurile din figura 3.18.a, care indic polaritatea
considerat pozitiv a lui Ed1 i Ed2:
92

Ed1 = Ed0cos1 = Ed0cos( 2) = Ed0cos2 = Ed2


Pentru c tensiunile lui CR1 i CR2 sunt egale i opuse ca semn, curentul
continuu n circuitul care cuprinde ambele seturi este nul pentru orice valoare
a tensiunii, ns valorile instantanee ud1 i ud2 sunt diferite datorit diferenei
dintre unghiurile de comand 1 i 2. Diferena valorilor instantanee ale
tensiunilor se aplic reactanei de egalizare LREA, care are aproximativ aceeai
funcie ca i n schemele 3.15.a, 3.16.b.
Dac, datorit mririi momentului pe axul motorului, acesta ncepe s
se frneze, turaia n i tensiunea electromotoare se micoreaz. Astfel, Ed1 > E
i, n circuitul statorului, se mrete curentul CR1. Acest curent va produce un
moment suplimentar de rotire i motorul se accelereaz. Ca rezultat, orice
tendin de scdere a turaiei este compensat i motorul va funciona n regim
stabilizat, cnd momentul de rotaie este egal cu momentul rezistent pe ax. n
acest caz, CR1 funcioneaz n regim de redresare, CR2 este nchis, pentru c
|Ed2| < E. La micorarea unghiului de comand 1 crete tensiunea Ed1 i, prin
urmare, crete curentul, momentul de rotire a motorului, turaia acestuia i
tensiunea E. La creterea rapid a unghiului 1, tensiunea contraelectromotoare a statorului, E devine mai mare dect Ed1 i se va opune trecerii
curentului prin tiristoarele CR1, curentul Id1 scznd la zero. n continuare,
intr n funciune CR2, la care tensiunea electromotoare |Ed2| se micoreaz. n
acest caz, setul CR2 funcioneaz ca invertor i curentul Id2 trece prin main
n direcia indicat n figura 3.18.a, crend un moment de frnare, ce determin
scderea turaiei i a lui E. La comanda adaptat, sarcina apare ca i cum ar fi
montat ntre dou surse de tensiune Ed1 i Ed2, care acioneaz sarcina.
Dac valoarea E se mrete peste valoarea dat, E > Ed (de exemplu, la
micorarea momentului de rezisten pe ax), maina va transmite energie
printr-unul din seturile de diode (n funcie de sensul de rotaie), care
funcioneaz ca invertor. Dac ns E se micoreaz, atunci motorul primete
energie de la cellalt set de diode, care funcioneaz ca redresor. n acest fel,
n convertorul reversibil cu comand adaptat, tensiunea n sarcin urmrete
valoarea efectiv a tensiunii interne a seturilor de diode.
Schema analizat din figura 3.18.a poate funciona n regim ciclic, n
care tensiunea intern a convertorului variaz sinusoidal. n acest caz, se obine
convertorul direct de frecven. S analizm funcionarea acestuia cu comand
separat a seturilor de diode. Tensiunea intern a ambelor seturi, n
conformitate cu relaia (3.2), este:
ES = Ed0cos1 (pentru CR1); ES = Ed0cos2 (pentru CR2)
Pentru ca tensiunea de ieire a convertorului s varieze sinusoidal,
conform relaiei ES = E0sin(iest), este necesar variaia unghiurilor de
comand a seturilor de diode conform legii: 1 = arccos(siniest) (pentru
CR1) ; 2 = arccos(siniest) (pentru CR2), unde factorul determin
valoarea tensiunii de ieire.
93

Fig.3. 19 Diagramele de timp ale curenilor, tensiunilor i unghiurilor de


comand la convertorul direct de frecven cu comand separat
(fies = 16,67 Hz)

n figura 3.19 sunt reprezentate tensiunea electromotore de ieire,


curentul de ieire IS al convertorului direct de frecven i unghiurile de
comand ale CR1 i CR2. Datorit caracterului inductiv al sarcinii, curentul n
aceasta este defazat n urma tensiunii ES cu unghiul . Din aceast cauz, CR1
funcioneaz n regim de redresor din momentul intrrii lui n funciune pn
n momentul t1, dup care 1 > 90 i tensiunea la bornele CR1 i schimb
sensul. CR1 ncepe s funcioneze n regim de invertor, iar energia acumulat
n elementele reactive ale circuitului de sarcin se ntoarce n reeaua de
alimentare. Cnd t = t2, curentul n sarcin scade pn la 0, intr n funciune
CR2 n regim de redresor i curentul ncepe s creasc, dar de acum n sens
contrar.
n momentul t3, sensul tensiunii interne a CR2 se modific (2 > 90),
dar curentul continu s treac n acelai sens i CR2 funcioneaz n regim de
invertor.
Din figura 3.19, se vede c valorile instantanee ale tensiunilor interne
ale CR1 i CR2 au pulsaii, care se mresc cnd scade raportul freea/fies. La
alimentarea convertorului direct de frecven de la reeaua de frecven
industrial, gama frecvenelor de ieire se ntinde de la 0 la 20 21 Hz. Peste
aceste frecvene, calitatea tensiunii de ieire se nrutete, iar cnd fies > 50
Hz, funcionarea convertorului direct de frecven devine imposibil; la aceste
94

frecvene, diodele trebuie s se decupleze de cteva ori n decursul unei


perioade, iar la comutarea natural a tiristoarelor monooperaionale acest lucru
este imposibil.
Convertorul direct de frecven cu ieire trifazat se realizeaz pe baza
a trei convertoare directe de frecven, cu ieire monofazat (figura 3.18.a),
defazarea reciproc a tensiunilor de ieire fiind asigurat de ctre sistemul de
comand. Convertoarele directe de frecven i gsesc utilitatea la acionrile
electrice la mainile asincrone i sincrone, precum i pentru alimentarea
instalaiilor electronice de putere. Puterea convertoarelor reversibile i a
convertoarelor directe de frecven poate ajunge la cteva zeci de MW.

3.8. Convertoare reglabile de tensiune alternativ


Modificarea puterii furnizate consumatorilor de curent alternativ de
frecven industrial se face cu ajutorul convertoarelor reglabile de tensiune
alternativ (figura 3.20.a).

Fig.3. 20 Convertor reglabil de tensiune alternativ: a schema electronic; b


diagramele de timp n cazul reglrii prin limea impulsului; c e - diagramele de
timp n cazul reglrii de faz

Convertorul se compune din dou tiristoare, cuplate n paralel. n


funcie de metoda de comand a convertorului ( de legea de formare a
impulsurilor de comand pentru deschiderea tiristoarelor) sunt posibile dou
95

metode de reglare: a limii impulsului i a fazei. n cazul metodei de reglare


n limea impulsului, la frecven sczut ambele tiristoare se gsesc n stri
cuplate sau decuplate pe durata unor intervale mai mari dect perioada
frecvenei tensiunii de alimentare, deci convertorul funcioneaz n regim
cuplat-decuplat (figura 3.20.b). Cnd se aplic impulsurile de comand pe
tiristoare, ele conduc ambele alternane de tensiune n sarcin i ndeplinesc
rolul de comutator, care conduce curentul n dou sensuri. Cnd dispar
impulsurile de comand de pe tiristoare, acestea nu se cupleaz; comutatorul
este deschis, tensiunea i curentul n sarcin sunt egale cu zero. La cuplarea i
decuplarea rar a sarcinii, convertorul ndeplinete funcia de pornire pentru
cuplarea diferiilor consumatori: motoare, instalaii electrotehnice, etc. La
cuplarea i decuplarea periodic a comutatorului apare posibilitatea reglrii
puterii n sarcin, datorit schimbrii duratei strii de cuplare a tiristoarelor,
tcup, n raport cu perioada de repetare a ciclurilor de repetare T: = tcup/ T
Puterea n sarcin pentru o perioad T este: PS = PSmax, unde PSmax.
este puterea n sarcin n lipsa reglrii. O astfel de reglare a puterii se
realizeaz, de exemplu, la nclzitoarele electrice, care au constant termic de
timp mare.
La reglarea de faz se modific faza impulsurilor de comand n raport
cu momentul de deschidere natural a diodelor, caz n care, de asemenea, se
regleaz durata de cuplare a sarcinii la reeaua de alimentare (figura 3.20.c-e),
dar aceast durat nu depete jumtate din perioada frecvenei reelei.
Aceast metod permite obinerea unei reglri mai rapide a puterii i se
folosete la aparatele de sudur, pentru reglarea iluminrii, comanda
motoarelor asincrone, reglarea tensiunii n primarul transformatorului la
redresoarele de tensiune nalt realizate cu diode, etc.
S analizm funcionarea convertorului de tensiune alternativ, cu
comand de faz, n cazul sarcinii active (Zs = Rs). Cnd alternana tensiunii
reelei er este pozitiv, tiristorul T2 este sub tensiune invers i nu conduce
curent. Tiristorul T1 este sub tensiune direct i se deschide numai n momentul
= (figura 3.20.c); n acest moment, sarcina se cupleaz la reea i us = er.
Tensiunea us crete n salt, iar curentul repet forma tensiunii. n momentul
= polaritatea tensiunii reelei se schimb, curentul scade la zero i tiristorul
T1 se blocheaz.
Pn la deschiderea lui T2, n momentul = + , n sarcin nu exist
curent i tensiune. La aplicarea, n acest moment, a impulsului de comand pe
T2, acesta se deschide, tensiunea pe sarcin us devine din nou egal cu
tensiunea reelei, es. n momentul = 2 se produce blocarea lui T2.Cnd
lucreaz T1 sau T2, puterea se transmite din reea n sarcin. Cnd tiristoarele

96

sunt blocate, din reea nu se consum putere. Puterea n sarcin activ poate fi
U2
calculat cu ajutorul valorii efective a tensiunii pe sarcin, Us: PS = S , unde:
RS

1
Us =
0

2 E r sin d E r 1

sin 2

(3. 13)

Cnd se mrete unghiul de comand, intervalul de transmitere a puterii


din reea n sarcin se micoreaz, iar puterea n sarcin scade. Caracteristica
de reglare a convertorului de tensiune variabil, Us = f(), este prezentat n
figura 3.21.

Fig.3. 21 Caracteristicile de reglare ale convertorului de tensiune variabil

Dac sarcina este activ-inductiv (Zs = Rs + iLs), atunci inductana


sarcinii se opune variaiei rapide a curentului i prelungete durata trecerii
curentului prin tiristor, = . n figura 3.20.d sunt prezentate diagramele
de timp ale tensiunilor i curenilor n convertor, cnd > , unde =
arctg(LS/RS). n momentul = , impulsul de comand se aplic pe T1, acesta
se deschide, pe sarcin se stabilizeaz tensiunea us = er i ncepe creterea
curentului n sarcin, iS = ia = ir. n intervalul [ , puterea se transmite din
reea n sarcin i se acumuleaz parial n inductan. n momentul = ,
tensiunea reelei i schimb sensul, dar inductana ntrzie scderea curentului
i T1 rmne deschis. ncepnd din acest moment, sensurile tensiunii i
curentului n sarcin sunt inverse (figura 3.1.c), adic inductana circuitului de
sarcin red energia acumulat. n momentul = + , energia din inductan
se epuizeaz i curentul iS se anuleaz. Pn la cuplarea lui T2 urmeaz o
pauz fr curent (regim de curent cu ntreruperi). n momentul = + ,
impulsul de comand se aplic pe tiristorul T2. n intervalul [( + ),
2energia se transmite din nou din reeaua de alimentare n sarcin.
97

La creterea unghiului de comand, , intervalul n care energia se


transmite n sarcin se micoreaz i valoarea efectiv a tensiunii n sarcin
scade. La micorarea unghiului de comand, intervalul n care n sarcin se
transmite energia din reea crete; cnd = tensiunea pe sarcin pe durata
ntregii perioade este us = er i pauza fr curent dispare. Curentul n sarcin
are form sinusoidal i este defazat n raport cu tensiunea cu tiristoarele
sunt deschise pe rnd pe durata = i sarcina este cuplat direct n reea. n
acest fel, n regimul de curent fr ntrerupere, aciunea de comand a
convertorului dispare. La micorarea n continuare a lui , cnd < , nu este
posibil modificarea mrimii i formei curentului, pentru c, n orice moment
de timp, sarcina este legat n reea. Curentul prin T1 ncepe s treac n
momentul = , iar prin T2 n momentul = + . Pentru funcionarea
normal a convertorului este necesar ca, n aceste momente, pe tiristoarele
respective s fie aplicate impulsurile de comand care, prin urmare, trebuie s
aib o durat suficient de mare. n caz contrar, tiristorul nu se deschide i
funcionarea convertorului este perturbat. Cnd n procesul de funcionare,
caracterul sarcinii se modific, se schimb i unghiul , din care cauz, pentru
eliminarea ntreruperii funcionrii convertorului la aplicarea impulsurilor de
comand n momentele < , sistemul de comand formeaz impulsuri largi
(figura 3.20.c). n acest regim, tiristoarele nu se cupleaz n momentele de
aplicare a impulsurilor ci n momentele cnd curentul are valoarea zero. Din
aceast cauz, domeniul unghiurilor < nu poate fi utilizat pentru reglarea
tensiunii n sarcin.
Caracteristicile de reglare ale convertorului de tensiune variabil pentru
funcionarea n sarcin activ-inductiv sunt prezentate n figura 3.21. La
funcionarea n sarcin inductiv, = /2 i domeniul de reglare a tensiunii n
sarcin cuprinde unghiurile de comand = /2 . n acest caz, impulsurile
de comand trebuie s aib durata mai mare de /2. Valoarea efectiv a
tensiunii pe sarcin la funcionarea pe sarcin activ-inductiv este: Us =
1

2 E r sin d . Aceast tensiune depinde nu numai de tensiunea

reelei i de unghiul de comand, ci i de caracterul sarcinii: cu ct este mai


mare unghiul , cu att este mai mare intervalul de timp , ct trece curentul
prin tiristor, cu att este mai mare intervalul de timp ct tensiunea pe sarcin
repet tensiunea reelei i cu att mai mare este Us. Dependena tensiunii de
ieire este caracteristic pentru funcionarea convertoarelor n regim de curent
intermitent (paragraful 3.2.2). Pentru calculul parametrilor tiristoarelor,
necesari pentru alegerea acestora, trebuie cunoscute valorile maxime ale
tensiunii i curentului n sarcin. Curentul mediu prin tiristoare se calculeaz
98

pe baza regimului curentului maxim prin sarcin n regimul = i se


consider c is are form sinusoidal; atunci:

0,45 E r
1
2 I s sin d 0,45 I s
Ia =

2 0
Z s min
Tensiunea maxim pe tiristoare este egal cu valoarea maxim a
tensiunii reelei: Uinv = 2 Er.

3.9. Influena convertoarelor asupra reelei de


alimentare
3.9.1. Factorul de putere al convertoarelor
n multe reele i sisteme electrice, convertoarele cu tiristoare sau diode
reprezint unul din tipurile principale de sarcin, o sarcin neliniar a crei
funcionare are influen asupra regimului de funcionare a reelei, n special
dac puterile convertorului i reelei sunt comparabile. Din aceast cauz, att
la proiectarea reelelor electrice, ct i a convertoarelor, este necesar s se aib
n vedere influena acestora din urm asupra primelor. Numai n acest caz se
pot elabora instalaii cu indicatori tehnico-economici corespunztori. n
general, convertorul cu diode, ca sarcin pentru reea, poate fi caracterizat de
factorul de putere:
P
=
(3. 14)
S
unde P este puterea activ, consumat de convertor din reea, S = U1I1 este
puterea aparent, sau total, absorbit din reea, U1 i I1 sunt valorile efective
ale tensiunii i curentului reelei.
Puterea activ este P = Ps + Pp, unde Ps este puterea activ a sarcinii, ce
caracterizeaz efectul de transformare a energiei, iar Pp este puterea pierderilor
n convertor. Se poate scrie c Ps = P, unde, este randamentul
convertorului. Pentru c, de obicei randamentul convertorului este mare, se
poate considera c P Ps.
Puterea aparent, S, este determinat de valorile efective ale tensiunii
i curentului n reeaua de alimentare. Valorile mari ale lui S impun creterea
puterii stabilite pentru reea, inclusiv a transformatorului, creterea seciunii
conductoarelor, sporirea rezistenei izolaiei, etc. Din aceast cauz, la
proiectarea convertoarelor cu diode se pune problema creterii factorului de
putere al acestora pn la valoarea limit = 1.
Cele de mai sus se refer nu numai la convertoarele cu diode, dar i la
oricare elemente de sarcin ale reelelor electrice. Pentru evidenierea
particularitilor convertoarelor cu diode ca sarcini neliniare pentru reea, s
99

comparm procesele schimbului de energie dintre sarcin i reea, pentru


sarcinile liniare i pentru convertoarele cu diode.

La funcionarea n sarcin activ (figura 3.22.a), curentul i1 i tensiunea


u1 sunt n faz, polaritatea acestora coincide n oricare moment de timp i
energia se transmite continuu din reea n sarcin (figura 3.22.b). Curba puterii
instantanee (figura 3.22.c) are o singur polaritate. Puterea activ este:
T
1
P = u1i1dt
(3. 15)
T0
unde T este perioada de variaie a puterii, . Atunci,
T
1
P = 2 U 1 sin 2I1 sin d = U1I1
0
Fig.3. 22 Schema (a) i diagramele de timp ale curentului i tensiunii (b) i
a puterii instantanee (c), la funcionarea sursei (reelei) de tensiune variabil
n sarcin activ

Astfel, P = S, iar factorul de putere este = 1.


La funcionarea n sarcin activ-inductiv (figura 3.23.a), pe curbele
tensiunii i curentului (figura 3.23.b), se pot selecta intervalele de timp [t2, t3]
i [t4, t5], cnd polaritatea tensiunii i curentului coincid, energia transminduse din reea n sarcin; valorile puterii instantanee, p = u1i1 (figura 3.23.c), n
aceste intervale sunt pozitive. n intervalele [t1, t2] i [t3, t4], polaritile
tensiunii i curentului sunt opuse, sarcina ntoarce energie n reea, valoarea
puterii instantanee n aceste intervale fiind negativ (figura 3.23.c). Puterea
activ se poate determina pe baza formulei (3.15), dac se nlocuiete
u1 = 2 U1sin i i1 = 2 I1sin( ), unde = arctg(L/R).
Pentru explicarea proceselor fizice, s considerm curentul i1 sub forma
sumei a dou componente: curentul i1,0, n faz cu tensiunea u1 i curentul i1,/2,
defazat n urma tensiunii u1 cu unghiul /2 (figura 3.23.d).
100

Curba puterii instantanee, p = u1i1, poate fi reprezentat, de asemenea,


sub forma unei sume:
p = p0 + p/2 = u1i1,0 + u1i1,/2
(3. 16)

Fig.3. 23 Schema i diagramele de timp ale curentului, tensiunii i puterii


instantanee, la funcionarea sursei (reelei) de tensiune variabil n sarcin
activ-inductiv

Curbele p0() i p/2() sunt reprezentate n figurile 3.23.e i 3.23.f. S


determinm puterea activ, pe baza formulei 4.2, avnd n vedere relaia (3.16):

T
1
1
P u 1i1d 2 U 1 sin 2 I1 cos sin d
0
0
1

2 U 1 sin 2 I1 sin sin d


0
2

Rezultatul integrrii termenului al doilea este egal cu 0, deoarece curba


p/2 nu are component continu, caracteriznd schimbul inutil de energie
dintre reea i sarcin. Astfel,
T

101

1
P = u1i1,0 d = U1I1cos
0
adic transmiterea puterii active n sarcin este determinat de componenta n
faz cu tensiunea a intensitii curentului, i1,0. n conformitate cu relaia (3.15),
U I cos
= 1 1
= cos
(3. 17)
U 1I1
Sarcina consum din reea nu numai putere activ, ci i reactiv.

Q = S2 P 2

Fig.3. 24 Schema i diagramele de timp ale curentului, tensiunii i puterii


instantanee, la funcionarea redresorului necomandat pe sarcin activ-inductiv

S analizm n continuare funcionarea reelei n sarcin neliniar. n


figura 3.24.a este artat cuplarea la reea a redresorului monofazat
necomandat cu sarcin RL. Considerm c inductana din circuitul de sarcin
al redresorului este mare, i neglijm procesele de comutare n diode. n figura
3.24.b sunt reprezentate curbele tensiunii reelei, u1 i curentului consumat de
redresor, i1, care are forma impulsurilor dreptunghiulare, de polariti diferite.
Pentru determinarea puterii active din aceast schem este suficient s se
102

foloseasc formula (3.15), ns pentru analizarea proceselor fizice ale


schimbului de energie dintre reea i sarcin se are n vedere descompunerea
curentului i1 n serie Fourier:
i1 = i1,1 + i1,3 + i1,5 + =

k 1, 3, 5

2I1,k sin k

(3. 18)

unde I1,k este valoarea efectiv a armonicii k a curentului i1.


n figura 3.24.c este reprezentat prima armonic a curentului consumat
de redresor din reea, i1,1, iar n figura 3.24.d, suma componentelor armonicelor

superioare ale aceluiai curent, i1,sup =

1, k
k 3,5, 7

. Curba puterii instantanee poate

fi de asemenea descompus n dou componente:


p = u1i1 = u1i1,1 + u1i1,.sup= p1+ psup
reprezentate n figurile 3.24.e,f. Pe baza formulei 3.15, avnd n vedere relaia
3.18, puterea este:

1
1
p u1i1 d 2 U1 sin 2 I1,1 sin d
0
0

2
U
sin

I1,k sin k d
1

0
k 3.5.7...

Rezultatul integrrii celui de al doilea termen este egal cu zero, pentru


c termenul psup nu are component continu i, de asemenea, caracterizeaz
schimbul inutil de energie dintre reea i sarcin. n acest fel:

1
P u1i1,1 d U1I1 , unde = I1,1/I1 este raportul dintre valoarea efectiv
0
a primei armonice a curentului i1,1 i valoarea efectiv a curentului i1 i se
numete factor de distorsiune al curentului i1.
Factorul de putere al redresorului necomandat, conform relaiei (3.15),
este:
U 1 I 1

(3. 19)
U 1I1
Astfel, transferul n sarcin a puterii active este determinat numai de
prima armonic a curentului, I1,1, componentele armonice superioare
producnd numai schimbul inutil de energie dintre reea i sarcin. Puterea
aparent la funcionarea reelei pe redresor necomandat poate fi reprezentat

sub forma: S P 2 T 2 , unde T = U1I1 1 2 este puterea distorsiunilor.


La fel ca i puterea reactiv, puterea distorsiunilor produce reducerea
, iar rezultatele nedorite ale acestei scderi au fost menionate mai sus. n
afar de aceasta, la funcionarea convertorului de la reeaua de putere
103

comparabil apar efecte negative suplimentare, determinate de distorsionarea


curentului consumat de acesta.
Curenii nesinusoidali ai convertoarelor produc pe rezistena interioar
a reelei de putere limitat o cdere de tensiune nesinusoidal, producnd astfel
distorsionarea curbei tensiunii de alimentare. Nesinusoidalitatea tensiunii
reelei are influen negativ asupra multor consumatori de energie: se mresc
pierderile n mainile electrice, n transformatoare i n reele, crete nclzirea
prilor conductoare de curent i uzura izolaiei, se reduce sigurana n
funcionarea instalaiilor de automatizare i de protecie, se nrutete
funcionarea comunicaiilor. Metodele de reducere a influenei negative a
convertoarelor cu diode asupra calitii energiei electrice vor fi prezentate
ulterior.

Fig.3. 25 Schema i diagramele de timp ale curentului i tensiunii,


la funcionarea redresorului comandat n sarcin activ-inductiv

n continuare, s analizm cazul cel mai general de funcionare a


convertorului comandat cu tiristoare i sarcin RL. Schema este prezentat n
figura 3.25.a, iar n figura 3.25.b sunt reprezentate curbele tensiunii u1 i
curentului i1 consumat de redresorul monofazat din reea. Curentul i1 are form
nesinusoidal, prima sa armonic (figura 3.25.c) fiind defazat n raport cu
tensiunea u1 la unghiul = + /2, unde este unghiul de comand al
redresorului, iar este unghiul de comutare. Pentru determinarea puterii active
consumate de convertor se folosete relaia (3.16). Puterea activ se transmite
n sarcin numai din componenta trifazat a primei armonici a curentului
consumat:

1
P u 1i1d U 1 I1 cos
0
104

Prin urmare, factorul de putere al redresorului comandat este:


U 1 I 1 cos

cos
(3. 20)
U 1I1
unde primul factor caracterizeaz nesinusoidalitatea curentului consumat, iar
cel de-al doilea defazarea primei armonici a curentului i1. Puterea aparent
este:
S = P 2 Q2 T 2
deci convertoarele cu tiristoare consum din reea pe lng puterea activ i
puterea reactiv pentru prima armonic i putere de distorsiune. Factorul de
putere al convertorului cu tiristoare depinde de schema sa, de natura sarcinii i
de regimul de funcionare. n cel mai tipic regim de funcionare al redresorului,
care este cu sarcin RL, cnd curentul de ieire este nentrerupt i pentru orice
numr de faze n ale redresorului, tensiunea de ieire se determin cu relaia
Ed0
(3.2): Ed =
, la stabilirea creia nu s-au avut n vedere procesele de
cos
E
comutare ( = 0). n acest caz, cos = cos = d . Dependena cos = f
Ed0
Ed

este reprezentat n figura 3.26.


E d0

Fig.3. 26 Dependena cos = f(Ed/Ed0) pentru redresorul fr tiristor de nul (1),


pentru redresorul monofazat cu tiristor de nul i pentru redresoarele asimetrice cu
dou puni (2) i cu patru puni (3)

Aceast dependen arat c, la reglarea tensiunii de ieire a


redresorului, Ed, factorul de putere al acestuia se micoreaz substanial,
datorit creterii unghiului de comand i a puterii reactive, consumate de
105

convertor. Valoarea mic a factorului de putere i dependena acestuia de


regimul de funcionare reprezint deficiene serioase ale redresoarelor cu
comand de faz. Posibilitile de eliminare a acestor deficiene sunt analizate
n paragrafele urmtoare. Valoarea factorului de putere al convertorului
depinde de asemenea de factorul de nesinusoidalitate a curentului,
n regim de curent fr ntreruperi, curbele curentului consumat pentru
diferite scheme de redresare au forma artat n figurile 3.2.c, 3.9.b, 3.11.b,
3.15.b i 3.17. Descompunerea n serie Fourier a curentului primar al
redresoarelor cu numr diferit de faze permite determinarea valorii pentru
aceste redresoare. Rezultatele calculelor, executate fr a lua n considerare
procesele de comutaie, sunt prezentate n tabelul 3.2.
Tabel 3.2. Factorul de distorsiuni al curentului primar la redresoarele cu
numr diferit de faze
Tip redresor
Schema din
m
Factor de
figura
nesinusoidalitate
Monofazat cu nul
3.1.a
2
0,9
Monofazat n punte
2.4.a
2
0,9
Trifazat cu nul
3.9.a
3
0,83
Trifazat n punte
3.11.a
6
0,955
Dublu trifazat cu reactan de
3.15.a
6
0,955
egalizare
Cu 12 pulsuri
3.16.a, 3.16.b 12
0,9886
La creterea numrului de pulsaii n, pe durata perioadei curentului
reelei, n curba tensiunii de ieire a redresoarelor, se mbuntete compoziia
spectral a curentului consumat din reea i crete n. Aceasta reprezint o
calitate a convertoarelor multifazate. Factorul de putere al invertoarelor
comandate de reea poate fi determinat pe baza relaiei (3.20). Pentru c n
regim de invertor > /2, calculul pe baza relaiei (3.20), cnd se nlocuiete
= , d o valoare negativ a lui . Factorul de putere la convertoarele cu
tiristoare de alte tipuri se determin pe baza aceleiai metode, ca i pentru
redresoare. Pentru calcul, este necesar s se determine compoziia armonic a
curentului consumat din reea i s se determine valoarea efectiv a primei sale
armonici. Aceasta permite gsirea factorului de distorsiuni Defazarea primei
armonici a curentului n raport cu tensiunea reelei, permite gsirea valorii
cos. S determinm factorul de putere la convertoarele reglabile de tensiune
variabil, analizate n paragraful 3.8. Analiza se refer la redresorul cu sarcin
activ. La reglarea tensiunii Uies cu impulsuri de lime, puterea activ n
sarcin este:

106

2
U ies
= UiesI1
(3. 21)
RS
unde I1 este valoarea efectiv a curentului consumat din reea i care trece prin
circuitul de sarcin. Dac se neglijeaz pierderile active n convertor, atunci P
= PC:
U
P U I
= ies 1 ies
(3. 22)
S
U 1I1
U1
n figura 3.20.b se prezint forma tensiunii uies, forma curentului i1
repetnd forma tensiunii. Datorit caracterului activ al sarcinii, defazarea
curentului n raport cu tensiunea reelei lipsete, cos = 1, puterea reactiv
nefolosindu-se de ctre convertor. Curentul i1 consumat din reea este
nesinusoidal, curba sa coninnd componente armonice de frecven mai mic
dect frecvena reelei, cea mai sczut fiind f = 1/T (T este perioada tensiunii
uies figura 3.20.b). n acest fel, puterea distorsiunilor la reglarea cu ajutorul
impulsurilor de lime este determinat de existena componentelor de
frecven joas i spectrul curentului i1. Aceste distorsiuni ale curentului
produc reducerea factorului de putere 1.
La reglarea de faz a convertorului de tensiune variabil, puterea activ
a sarcinii se determin cu relaia (3.21), iar factorul de putere se determin cu
relaia (3.22). Totui, componentele factorului de putere sunt acum altele.
Curba i1(t) (figura 3.20.c) este defazat n raport cu tensiunea la un unghi
oarecare, care depinde de unghiul de comand din care cauz cos 1 i
convertorul consum din reea putere reactiv. Nesinusoidalitatea curentului i1
produce consumul puterii distorsiunilor, 1.
3.9.2. Convertoare cu tiristoare cu coeficient sporit de putere
Convertoarele cu tiristoare analizate pn acum au factorul de putere
de valori relativ mici, n special n cazul reglrii profunde a tensiunii de ieire.
n scopul eliminrii acestei deficiene s-au proiectat numeroase convertoare cu
factor sporit de putere. Pentru obinerea valorii limit de = 1, este necesar s
se proiecteze convertoare cu tiristoare care s consume din reea curent de
form sinusoidal, n faz cu tensiunea reelei. Convertoarele cu factor de
putere ridicat pot fi mprite n dou clase:
a) cu comutaie natural a tiristoarelor;
b) cu tiristoare complet comandate sau cu comutaia artificial a
tiristoarelor monooperaionale.
Dintre convertoarele cu comutaie natural a tiristoarelor, s analizm
redresorul cu diod de nul, schema monofazat n punte a redresorului cu diod
de nul (de untare) fiind prezentat n figura 3.27.a iar diagramele de timp ale
tensiunilor i curenilor redresorului fiind prezentate n figurile 3.27.b,c (se
consider c LS este mare).

PS =

107

Fig.3. 27 Redresor monofazat cu diod de nul: schema (a); diagramele de timp


ale tensiunilor i curenilor (b)

n momentul = se aplic impulsurile de comand pe tiristoarele T1


i T2; curentul circul prin sarcin i pe aceasta se aplic o tensiune egal cu
ud(t) = u1(t), astfel c sensul polaritii lui ud i cel al lui id coincid, prin urmare
energia se transmite din reea n sarcin. Dioda D este blocat datorit tensiunii
ud, aplicate pe aceasta. n aceast etap, procesele au loc ca ntr-un redresor
obinuit. n momentul = polaritatea tensiunii ud(t) = u1(t) se schimb i se
deschide dioda de untare D. Curentul de sarcin se nchide prin dioda D, id(t)
= i0(t) i energia acumulat n inductan se disip pe rezistena RS. Prin
cuplarea diodei D, curentul prin tiristoarele T1 i T2 se ntrerupe i i1 = 0. n
momentul = + se cupleaz tiristoarele T1 i T2 i procesele n schem se
repet. n acest fel, curentul primar i1 se ntrerupe la schimbarea semnului
tensiunii u1. Defazarea primei armonici a curentului (reprezentat punctat n
figura 3.27.b) n raport cu tensiunea reelei u1 este egal cu = /2, adic este
mai mic dect valoarea lui la redresorul obinuit pentru acelai unghi de
comand.
Curba tensiunii de ieire a redresorului, ud are aceeai form ca i n
cazul funcionrii redresorului cu sarcin activ i nu conine poriuni de
tensiune negativ. Valoarea medie este:

1
1 cos
E d 2 E 2 sin d E d 0
0
2
care corespunde relaiei (3.1).
Dependena cos = f(Ed/Ed0) pentru redresorul monofazat cu diod de
nul este prezentat n figura 3.26 (curba 2). Micorarea duratei de trecere a
curentului i1 micoreaz unghiul de defazare , astfel nct cos i se mresc.
La schemele de redresoare multifazate, creterea lui se obine cu schemele
asimetrice cu puni multiple. n figura 3.28.a este prezentat schema
redresorului cu dou puni.
108

Fig.3. 28 Redresor asimetric cu dou puni: schema (a); diagramele de timp ale
curenilor (b)

Unul dintre seturi este realizat cu tiristoare, cellalt cu diode. Tensiunea


de ieire a redresorului este suma tensiunilor electromotoare a la ieirea
seturilor CR1 i CR2, iar valoarea sa medie, avnd n vedere relaia (3.2), este:
1 cos
Ed = Ed,CR1 + Ed,CR2 = Ed0,CRcos + Ed0,CR = E d 0
2
unde Ed0,CR = Ed0/2 se determin cu relaia (3.9). Curentul consumat din reea,
i1, reprezint suma a doi cureni: curentul i1,CR1, consumat de setul comandat
CR1, a crui prim armonic este defazat n raport cu tensiunea u1 cu unghiul
1 = (figura 3.28.b) i curentul setului necomandat CR2, i1,CR2, a crui prim
armonic este n faz cu tensiunea u1 (figura 3.28.c) (durata proceselor de
comutare se consider nul).
Curentul rezultat, consumat din reea de redresorul cu dou puni, este
reprezentat n figura 3.28.d, defazarea primei sale armonici n raport cu
tensiunea fiind = /2. Dependena cos = f(Ed/Ed0) pentru schema din figura
3.28.a este reprezentat n figura 3.26 (curba 2) i ea arat c n schem se
obine aceeai cretere a factorului de putere ca i la schema monofazat cu
diod de nul din figura 3.27.a.
n figura 3.29 este prezentat schema redresorului asimetric, a crui
tensiune de ieire este egal cu suma tensiunilor de ieire a patru seturi, dou
comandate, CR1 i CR3 i dou necomandate, CR2 i CR4. Pe CR1 se aplic
impulsurile de comand cu unghiul de comand 1, iar pe CR3 cu unghiul de
comand 3. Valoarea medie a tensiunii electromotoare la redresorul cu patru
puni este (avnd n vedere relaia 3.2) egal cu:
109

Ed = Ed,CR1 + Ed,CR2 + Ed,CR3 + Ed,CR4 = = E d 0

2 cos1 cos 3
,
4

unde Ed0 = E0CR.


Curentul consumat de redresor din reea se compune din suma curenilor
consumai de cele patru seturi de diode, astfel nct curenii consumai de CR2
i CR4 nu au defazare fa de tensiune, iar curenii consumai dee CR1 i CR3
sunt defazai cu unghiurile 1 = 1 i 3 = 3.

Fig.3. 29 Redresor asimetric cu patru puni

Cnd Ed Ed0/2, tensiunea de ieire se regleaz prin variaia unghiului de


comand al CR1, 1, iar unghiul de comand al CR3 este 3 = 0. Defazarea
componentei fundamentale a curentului consumat de redresorul cu 4 puni din
110

reea este cauzat de defazarea curentului prin CR1 la unghiul 1 = 1. Cu


sin 1
ajutorul unor transformri simple se poate obine c: = arctg
.
3 cos1
Dac Ed Ed0/2, tensiunea de ieire a redresorului se regleaz prin
variaia unghiului de comand al CR3, 3, iar unghiul de comand al CR1 este
1 = max (cnd sunt neglijate procesele de comutare i diodele sunt considerate
ideale, 1max = ). Unghiul de faz al componentei fundamentale a curentului
consumat de redresorul cu 4 puni din reea, este, n acest regim, = 3/2. n
figura 3.26 (curba 3), este artat dependena cos = f(Ed/Ed0), pentru schema
cu patru puni a redresorului din figura 3.29, care arat c acest redresor are un
factor de putere de valoare mrit.
Creterea factorului de putere cu ajutorul redresoarelor asimetrice cu
puni multiple se folosete frecvent la convertoarele de putere mare, unde este
justificat utilizarea schemelor de redresare compuse. Avantajul acestor
metode de cretere a factorului de putere const n aceea c schemele acestor
convertoare de putere nu conin elemente suplimentare i, la realizarea lor, nu
cresc cheltuielile fa de cele pentru convertoarele simetrice de aceeai putere.
ns, la convertoarele cu diode cu comutare natural, nu se obine sincronizarea
perfect a componentei fundamentale a curentului consumat din reea cu
tensiunea de alimentare, din care cauz nu se poate obine un factor de putere
egal cu unitatea. Se menine ntotdeauna i abaterea de la forma sinusoidal a
curentului consumat din reea.

Fig.3. 30 Redresor cu comutaie artificial a tiristoarelor; a schema


simplificat; b diagramele de timp ale curenilor i tensiunilor

Posibilitatea obinerii valorii cos = 1 cnd forma curentului i1 este


simetric n raport cu tensiunea u1 exist la redresoarele care utilizeaz
dispozitive redresoare total comandate sau la redresoarele cu comutare
artificial. Puterea n cazul dispozitivelor redresoare complet comandate
(tranzistoare, tiristoare bioperaionale) este limitat. Datorit faptului c
111

problema aceasta intereseaz n domeniul puterilor mari, prezint importan


convertoarele cu tiristoare cu circuite artificiale de comutaie. Schema
simplificat a redresorului trifazat cu nul i cu comutare artificial este
prezentat n figura 3.30.a, iar diagramele de timp sunt reprezentate n figurile
3.30.b i 3.30.c. La aplicarea, n momentul 1, a impulsului de comand
ntrziat cu unghiul fa de momentul comutaiei naturale pe tiristorul T1,
acesta se deschide i tensiunea la ieirea redresorului este ud(t) = u2A. n
momentul 2, T1 este blocat cu ajutorului blocului special de comutare
artificial, BCA, n care scop, n acest moment, pe tiristor se aplic o tensiune
invers de la condensatorul ncrcat n prealabil, ce intr n componena BCA.
n intervalul 2 3, datorit energiei acumulate n inductana circuitului
sarcinii, curentul trece prin dioda D i aceast energie se disip n rezistena de
sarcin. n momentul 3, se cupleaz tiristorul T2 care se blocheaz n
momentul 4 sub aciunea BCA i, din nou se cupleaz dioda D. n intervalul
5 6 funcioneaz T3. Momentele de blocare a tiristoarelor, 2, 4, 6 i aa
mai departe, sunt translatate la stnga, cu unghiul fa de momentele
corespunztoare comutaiei naturale, astfel nct curbele curenilor tiristoarelor
i, prin urmare, ale curenilor primari, consumai din reea, sunt simetrice n
raport cu sinusoidala tensiunii ur, a reelei. Datorit acestui fapt, cos = 1 i
puterea reactiv pe prima armonic nu se consum de ctre convertorul cu
tiristoare pentru orice valoare a lui . Astfel, factorul de putere, determinat
numai de nesinusoidalitatea curentului i1, este mare.
Tensiunea de ieire a redresorului din figura 3.30.a este:

sin
3
3
3

U dm cos d E d 0
Ed =

2
sin

3
3
n acest fel, redresoarele cu comutare artificial permit creterea
factorului de putere pn la valori mari, datorit eliminrii complete a
consumului de putere reactiv pe prima armonic de ctre convertor. Aceasta
reprezint o calitate esenial a acestor convertoare, care atrag un interes
deosebit. Convertoarele cu tiristoare cu comutare artificial au ns i serioase
deficiene: introducerea elementelor suplimentare mrete substanial preul i
gabaritul acestora. Realizarea schemelor fiabile de comutare artificial la
nivelul puterilor mari ridic probleme dificile. De asemenea, schemele cu
comutare artificial nu asigur sinusoidalitatea curentului consumat, datorit
crui fapt nu se pot obine valorile limit = 1 i rmne valabil dependena
lui de regimul de funcionare. Din aceast cauz, convertoarele cu tiristoare
cu factor de putere sporit i comutaie artificial nu i-au gsit nc o larg
utilizare.
112

3.9.3. Surse de putere reactiv


n paragraful anterior s-au menionat dificultile care apar la realizarea
convertoarelor cu tiristoare cu factor de putere ridicat. O alt metod de
cretere a factorului de putere const n utilizarea surselor de putere reactiv i
a dispozitivelor de filtrare-compensare, a cror cuplare la intrarea
convertorului permite creterea factorului de putere al oricrui circuit, ns
utilizarea acestora mpreun cu convertoarele cu tiristoare are specificul su,
determinat de caracterul neliniar al rezistenei de intrare i de
nesinusoidalitatea curentului de intrare al convertorului, consumat din reea.
Sursele de putere reactiv se pot realiza prin metode diferite; cele mai
frecvent utilizate n tehnica convertoarelor sunt cele de tipul condensator
(necomandate) i cele de tipul tiristor-condensator (comandate). S analizm
posibilitatea creterii factorului de putere cu ajutorul sursei de putere reactiv,
care const din aa numitele condensatoare de cosinus (figura 3.31.a).

Fig.3. 31 Cuplarea condensatoarelor de compensare la convertorul cu


tiristoare (a) i diagrama fazorial a curenilor (b)

Setul de condensatoare este cuplat n paralel la intrarea convertorului, din


care cauz curentul i, consumat din reea, este egal cu suma dintre curentul
convertorului i1 i a curentului de compensare ik. n figura 3.31.b este
reprezentat diagrama fazorial a curenilor, unde fazorul I1,1 corespunde
componentei fundamentale a lui i1. Se descompune curentul I1,1 n
componentele sale. I1,1,0 i I1,1,/2 (vezi paragraful 1.9.1). Dac prin setul de
condensatoare circul curentul Ik = I1,1sin, curentul i este n faz cu tensiunea
de alimentare i instalaia din figura 3.31.a nu consum din reea putere
reactiv. Puterea reactiv consumat de convertor n acest caz, este compensat
datorit puterii reactive furnizate de condensatoare. Curentul prin fiecare

113

Ik
. Tensiunea pe fiecare condensator este Uc
3
I
I
= U1 = 3 U1. n acest fel, C = c k .
U c 3U 1
Cnd compensarea puterii reactive a convertorului pe componenta
I sin
fundamental este total, C = 1
.
3U1
Pentru protecia la nclzire a condensatoarelor, datorit armonicilor
superioare ale curentului, generate de convertor, n schem se introduc
inductane mici (figurate punctat n schema 3.31.a).
Aa cum s-a artat anterior, convertorul cu tiristoare consum putere
reactiv din reea, care depinde de unghiul de comand, de mrimea i de
caracterul sarcinii. Pentru c puterea reactiv a convertorului se modific n
procesul de funcionare, compensaia total a puterii reactive este posibil n
aceast schem numai pentru unul dintre regimuri. n alte regimuri este
posibil numai compensarea parial a puterii reactive sau generarea n reea a
puterii suplimentare reactive. Alegerea capacitii condensatoarelor este
determinat de regimul de funcionare al convertorului i reelei, precum i de
consideraii economice, pentru c mrirea capacitii condensatorului mrete
preul de cost al instalaiei. n scopul reducerii nivelului componentelor
armonice superioare n reea, la funcionarea convertoarelor cu tiristoare, la
reea se cupleaz dispozitive de compensaie cu filtre. n figura 3.32 este
prezentat schema unui asemenea dispozitiv, care conine un sistem de circuite
LC oscilante multifazate cu rezonan de tensiune. Frecvena de rezonan a
fiecruia dintre aceste circuite corespunde frecvenelor celor mai puternice
componente armonice superioare ale tensiunii reelei, cauzate de funcionarea
convertoarelor (sau a altei sarcini neliniare). n sistemele trifazate, armonicele
multiple de trei, datorit simetriei, de obicei lipsesc. Cele mai intense armonice
sunt cele de frecven mai joas. Frecvena de rezonan a circuitului L5C5 este
1
5 = 5 i, pentru aceast configuraie este valabil relaia: 3C5 L5
. La
5
circuitul L7C7, rezonana apare la frecvena 7 = 7, din care cauz:
1
3C 7 L 7
.
7
La rezonan, impedana de intrare a fiecruia dintre circuite este egal
cu zero (dac sunt neglijate pierderile n L i C) i prin acestea se nchid
componentele armonice ale curenilor, generai de convertor, evitndu-se astfel
reeaua de alimentare. Datorit acestui fapt, distorsionarea curbei tensiunii de
reea se reduce substanial. La frecvena reelei, , reactana circuitelor L5C5 i
L7C7 are caracter capacitiv i condensatoarele C5 i C7 compenseaz puterea

condensator din schem este Ic =

114

reactiv, consumat de convertor, la fel ca i condensatoarele din schema


3.31.a. Din aceast cauz, dispozitivul cu filtre de compensare analizat permite
nu numai reducerea distorsiunilor formei tensiunii de alimentare din reea, dar
i micorarea consumului de putere reactiv pe componenta fundamental, din
care cauz acest dispozitiv poate fi considerat de asemenea ca o surs de putere
reactiv.

Fig.3. 32 Schema de cuplare a dispozitivului cu filtre de compensare

Meninerea factorului de putere la nivel maxim cnd puterea reactiv


consumat de convertoare se modific este posibil prin utilizarea surselor de
putere reactiv de tip condensator-tiristor. Schema unui astfel de dispozitiv
monofazat este prezentat n figura 3.33.a. n reelele trifazate se folosesc trei
scheme similare.
Sursa de putere reactiv comandat se compune din circuitele artate n
figura 3.32, acordate pe frecvenele celor mai intense componente armonice
parazitare i din convertorul reglabil de tensiune variabil cu dou tiristoare T1
i T2 (figura 3.20.a), care are sarcina inductiv L i care frecvent se numete
regulator inductiv cu tiristoare. Dac tiristoarele T1 i T2 nu sunt deschise de
impulsurile de comand, dispozitivul atenueaz distorsiunile armonice ale
tensiunii reelei pe armonicele 5 i 7, iar condensatoarele C5 i C7 genereaz
puterea reactiv QC.
S analizm funcionarea convertorului de tensiune variabil cu tiristoare
pe sarcin inductiv. Dac /2 i impulsurile de comand ale convertorului
sunt largi, acesta lucreaz n regim de curent fr intermiten, cnd = i,
alternativ, se deschide cte un tiristor. Prin inductan trece un curent
sinusoidal (figura 3.33.b) de forma:
2U1

sin I m sin
iL =
L
2
2

115

La creterea lui (figurile 3.33.c i 3.33.d), energia acumulat n


intervalul n inductan se micoreaz i scade i intervalul n care
inductana retransmite energie n reea. Curba curentului n inductan rmne
simetric n raport cu axa absciselor, iar unghiul n limitele cruia tiristoarele
conduc este = 2. ntre impulsurile de curent apar ntreruperi (figurile
3.33.c,d). Prima armonic a curentului n inductan este defazat n raport cu
tensiunea u1 la unghiul /2 pentru oricare unghi de comand /2. Curentul
n inductan este egal cu suma componentelor forat i liber ale procesului:

iL = Im sin + I0.
2

Fig.3. 33 Surs reglabil de putere reactiv (a), diagramele de timp ale curenilor
i tensiunilor la convertorul reglabil de tensiune variabil cu sarcin inductiv (b, c,
d) i dependena puterii reactive n funcie de unghiul de comand (e)

Avnd n vedere c la cuplarea tiristoarelor = , iar iL = 0, se obine:

iL = I m sin sin = Im(cos - cos)


2
2


Prin descompunerea acestui curent n serie Fourier, se determin prima
armonic:

2 2 sin2

sin
iL1 = I m 1
(3. 23)

116

Puterea reactiv consumat de circuitul format din dou tiristoare cuplate


n paralel nseriate cu o inductan, QL = U1IL1, se micoreaz prin creterea
unghiului de comand (dependena este artat n figura 3.33.e). Astfel, la
modificarea unghiului de comand , circuitul analizat ndeplinete rolul de
inductan comandat:

2 2 sin2

Lechiv = L 1

Puterea reactiv rezultant n schema din figura 3.33.a este dat de


relaia: Q = QC QL. Dac se alege QLmax = QC, puterea reactiv Q va avea
ntotdeauna caracter capacitiv. Dependena lui Q de unghiul este prezentat
n figura 3.33.e. n acest mod, sursa de putere reactiv analizat genereaz
putere reactiv i realizeaz reglarea acesteia, atenund distorsiunile armonice
n reea. Din aceast cauz, sursele de putere reactiv i gsesc o larg utilizare
pentru creterea factorului de putere la convertoarele cu tiristoare i la alte
instalaii.

3.10. Sisteme de comand pentru convertoare cu


tiristoare
3.10.1. Funciile i structura sistemelor de comand
Convertoarele cu tiristoare se compun din partea de for (PF), a crei
funcionare a fost analizat n paragrafele anterioare i din sistemele de
comand (SC). Partea de for a convertorului comandat, realizat cu
dispozitive comandate (tiristoare, tranzistoare de putere), poate funciona
numai cnd pe electrozii de comand se aplic n momente de timp determinate
impulsurile care asigur cuplarea tiristoarelor respective. La convertoarele cu
tiristoare i cu comutare artificial, SC asigur n plus i decuplarea
tiristoarelor la momente de timp determinate. n continuare, s analizm
metodele de realizare a sistemelor de comand ale convertoarelor cu tiristoare
cu comutare natural. Sistemele de comand sunt uneori instalaii complicate
pentru prelucrarea informaiei i sunt deosebite n funcie de tipul
convertorului i de domeniul de utilizare a acestuia, ns funciile SC pot fi
grupate pentru rezolvarea a dou probleme eseniale i anume:
1) determinarea momentelor de timp n care trebuie cuplate anumite
tiristoare. Aceste momente de timp sunt stabilite de semnalul de comand
care se aplic la intrarea SC i care determin funcionarea acestora, i, n
cele din urm, definesc valorile parametrilor convertorului (de exemplu,
valoarea medie a curentului sau tensiunii la ieirea redresorului);
117

2) formarea impulsurilor de comand, transmise n momentele de timp


necesare pe electrozii de comand ai tiristoarelor i care au amplitudinea,
puterea, durata corespunztoare, iar n unele cazuri i o anumit form
necesar a curbei de variaie n timp.
Pe lng acestea, sistemele de comand pot ndeplini i alte funcii:
realizarea pornirii i opririi agregatului, realizarea proteciei n regimuri de
avarie, etc. Realizarea acestor funcii suplimentare se reduce ns de asemenea
la determinarea momentelor de timp de aplicare a impulsurilor de comand pe
tiristoarele convertorului sau la blocarea formrii impulsurilor de comand.
Prima funcie a SC este tipic pentru electronica informaional:
transformarea semnalului de comand (tensiune, curent sau cod) n interval de
timp. La convertoarele cu tiristoare cu comutaie comandat, momentul de
cuplare a tiristoarelor se msoar n raport cu momentul de comutare natural.
Aceast problem informaional se reduce la determinarea unghiului de
comand , adic a deplasrii de faz a impulsului de comand n raport cu
momentul de comutare natural. Blocul sistemului de comand, care
ndeplinete rolul de transformare a semnalului de comand n interval
unghiular , se numete dispozitiv de defazare (DDF).

Fig.3. 34 Schema-bloc a sistemului de comand a convertorului cu


tiristoare ireversibil (a) i reversibil (b)

A doua funcie ndeplinit de SC, const n formarea impulsului de


comand n ceea ce privete forma, durata i amplitudinea acestuia. Aceast
118

problem este rezolvat de ctre blocurile sistemului de comand, care se


numesc formatoare de ieire (FI). Deseori, se formeaz impulsuri de comand
de form dreptunghiular. Durata, amplitudinea i puterea acestor impulsuri
este determinat n conformitate cu parametrii tiristoarelor de putere i cu
regimurile de funcionare a convertorului cu tiristoare. Formarea impulsurilor
dreptunghiulare se face cu ajutorul multivibratoarelor, iar amplificarea
impulsurilor n putere se face n cascad. La realizarea formatoarelor de
impulsuri este important obinerea unei stabiliti nalte la perturbaii, pentru
c n partea de for a convertorului au loc salturi de tensiune de amplitudine
mare, care, prin capacitile parazite, pot ptrunde n SC. Din aceast cauz,
legtura dintre SC i electrozii de comand ai tiristoarelor se face prin canale
optice (optocuploare). Schema bloc a convertorului cu tiristoare cu sistem de
comand este prezentat n figura 3.34.a. Ea se compune din partea de for
(PF) i din sistemul de comand (SC). Acesta din urm include DDF, la
intrarea cruia se aplic semnalul de comand uC, i din FI, de la ieirile cruia
se culeg impulsurile de comand IC. Se poate include circuitul de legtur
invers LI, la intrarea cruia se aplic un parametru oarecare de ieire al
convertorului sau al obiectului care primete de la convertor alimentarea
(tensiune, curent, frecvena de rotaie a mecanismului de acionare,
temperatura nclzitorului, etc.). La ieirea blocului LI se formeaz tensiunea
uLI, care din nou se aplic la intrarea SC sub forma semnalului de reacie
negativ, care permite stabilizarea parametrilor de ieire ai convertorului i
corecia erorilor ce apar la funcionarea acestuia. n acest caz, la intrarea DDF
se aplic semnalul u = uC uLI. Convertoarele cu tiristoare care au circuit de
reacie ce cuprinde partea de for a convertorului, se numesc convertoare cu
circuit de comand nchis. O structur mai complicat are SC al convertorului
reversibil cu tiristoare sau convertorul direct de frecven (CDF) (paragraful
3.7). Fiecare dintre seturile de tiristoare ale acestor convertoare are blocuri
principale de comand DDF i FI, care, independent unul fa de cellalt,
realizeaz comanda acestora n conformitate cu semnalul de comand uC,
comun pentru ambele seturi. La comanda separat a seturilor, se realizeaz
funcionarea alternativ a acestora, n funcie de sensul curentului n circuitul
de sarcin iies. Schema bloc a SC pentru convertorul reversibil cu comand
separat este prezentat n figura 3.34.b. Primul set de tiristoare este legat la
DDF1 i FI1, al doilea set este comandat de DDF2 i FI2. La intrarea ambelor
formatoare de ieire sunt prevzute elemente logice legate de dispozitivul de
comand separat (DCS). Dac semnalul logic la ieirea DCS R1 = 1, atunci
FI1 transmite impulsurile de comand la tiristoarele primului set, care formeaz
curentul de ieire al convertorului de polaritate pozitiv. Cnd semnalul la
ieirea DCS R2 = 1, intr n funcionare CR2, impulsurile de comand se aplic
pe tiristoarele celui de-al doilea set, care formeaz polaritatea negativ a
119

curentului de ieire. Cuplarea simultan a seturilor este interzis prin


introducerea blocrii logice R1R2 = 0.
Dispozitivul de comand separat (DCS) reprezint o instalaie logic, la
intrarea creia se aplic informaia despre polaritatea curentului de ieire a
convertorului iies. La inversarea sensului curentului de la pozitiv la negativ
DCS stabilete R1 = 0, cnd s-a ajuns la valoarea nul a curentului i astfel
cuplarea tiristoarelor primului set este interzis. Dup un timp de ntrziere
suficient pentru refacerea proprietilor de comand la tiristoarele primului set,
la ieirea DCS se formeaz R2 = 1 i se cupleaz tiristoarele celui de-al doilea
set.
3.10.2. Dispozitive de defazare (DDF)
Dispozitivul de defazare este un convertor al semnalului de comand uC
n unghi de comand , msurat din momentul deschiderii naturale. Sunt
frecvent utilizate DDF, la care se introduce informaia despre valoarea curent
a fazei tensiunii reelei.
Funcionarea acestor DDF se sincronizeaz nemijlocit cu reeaua de
alimentare i acestea se numesc sincrone. DDF sincrone se pot utiliza att la
SC deschise, ct i la convertoarele cu circuit nchis de comand. n acest caz,
la intrarea DDF se aplic semnalul u = uC + uL. Exist o serie de metode de
realizare a DDF sincrone. Utilizare mai mare au cptat DDF cu semnal de
desfurare, care uneori se mai numesc i DDF de tip vertical.

Fig.3. 35 Schema DDF de tip vertical (a) i diagramele de timp ale tensiunii cnd
forma tensiunii de referin a semnalului este cosinusoidal (b), respectiv liniar (c)

Aceste DDF depesc calitativ alte instalaii similare n ceea ce privete


cele mai importante caracteristici. DDF de tip vertical se compun din
generatorul tensiunii de desfurare (de referin), GTR, a crui funcionare
este sincronizat cu tensiunea reelei de alimentare i din comparatorul K, la
120

intrarea cruia se aplic impulsurile de comand uC i tensiunea de referin


utr. Schema bloc a unui asemenea dispozitiv este prezentat n figura 3.35.a.
Comparatorul compar uC i utr; n momentul cnd acestea sunt egale el
comut, astfel c formatorul de ieire al SC elaboreaz impulsul de comand,
care se transmite la electrodul de comand al tiristorului. La DDF de tip vertical
se folosesc dou forme pentru tensiunile de referin. n cazul formei
cosinusoidale (figura 3.35.b),
utr() = Umcos

(3. 24)
unde = 0 este momentul de comutare natural a tiristorului i.
n momentul = , tensiunile de referin i de comand sunt egale:
Umcos = uC

(3. 25)
Din formula (3.25), se obine:
= arccos(uC/Um)

(3. 26)
Dependena (3.26) se numete caracteristic de faz a DDF i este
reprezentat n figura 3.36 (curba 1).

Fig.3. 36 Caracteristica de faz a DDF

O astfel de form a caracteristicii de faz se numete arccosinusoidal.


Convertorul cu tiristoare cu numr de faze oarecare, ce funcioneaz de
la reeaua simetric de alimentare, n cazul cnd lipsesc distorsiunile de
comutare a tensiunii de ieire n regim de curent fr ntrerupere n sarcin,
este caracterizat prin caracteristica cosinusoidal de reglare dat de relaia
(3.2). Dac se introduce (3.26) n (3.2) se obine:
U
Ed = Ed0 C

(3. 27)
Um

121

U
Ed
f C este n acelai timp caracteristica de reglare
Ed0
Um
a PF i a SC. La caracteristica de faz arccosinusoidal, caracteristica de
reglare (3.27) este liniar (figura 3.36, curba 2), ceea ce asigur realizarea
optim a dispozitivului de comand automat a proceselor n circuitul de ieire.
Tensiunea de referin de form cosinusoidal (3.24) poate fi tensiunea de
reea. n acest scop, tensiunea de reea este transformat de un filtru care
atenueaz componentele armonice superioare din tensiunea de alimentare i
realizeaz defazarea necesar. Cnd nesinusoidalitatea n reeaua de alimentare
este pronunat, filtrarea distorsiunilor armonice ale tensiunii de reea nu este
de calitate, iar defazarea introdus de filtru este instabil. Acest fapt introduce
erori mari n funcionarea DDF. n acest caz, este util folosirea DDF cu forma
liniar a tensiunii de referin (figura 3.34.c)
2
utr() = U m 1

(3. 28)

Dependena

Fig.3. 37 Caracteristica de reglare a convertorului cu tiristoare

GTR se realizeaz sub forma unui generator de tensiune liniar


cresctoare, a crei funcionare este sincronizat cu reeaua de alimentare,
adic nceputul desfurrii se produce n momentul comutaiei naturale a
tiristorului i. n momentul = tensiunile de comand i de referin la
intrarea comparatorului sunt egale, de unde caracteristica de faz a DDF cu
tensiune de referin liniar este:
U

= 1 C
(3. 29)
2 Um
122

U
Caracteristica de faz = f C este reprezentat n figura 3.36 (curba
Um
2), ea numindu-se linear. Dac se introduce (3.29) n (3.2), se obine
U
E
caracteristica de reglare a convertorului mpreun cu SC, d f C care
E d0
Um
este reprezentat n figura 3.37 (curba 2). Se observ c, n acest caz,
caracteristica de reglare este neliniar, ns ea este totui apropiat de
caracteristica liniar. Din aceast cauz, proprietile convertoarelor cu
caracteristici de faz arccosinusoidal i liniar sunt apropiate.
Avantajul metodei verticale const n viteza maxim de reacie a SC,
pentru c semnalul de comand se aplic pe comutator fr mediere i
ntrziere.

S analizm cazul simplu al DDF de tip vertical realizat cu circuite


integrate (figura 3.38). Pentru formarea tensiunii cosinusoidale de referin,
comutatorul Com se fixeaz n poziia 1. Comparatorul A1, realizat cu un
amplificator operaional, fixeaz polaritatea tensiunii reelei (figura 3.39,a):
cnd alternana tensiunii de reea este pozitiv, la ieirea comparatorului
tensiunea u este negativ i comutatorul realizat cu tranzistorul cu efect de
cmp T este nchis. Tensiunea reelei este integrat n integratorul realizat cu
amplificatorul operaional A2 i, cnd se alege R1C = 1/:

Fig.3. 38 Schema DDF de tip vertical cu circuite integrate

123

1
U m sin d = Um(1 cos)
uI(t) =
(3. 30)
R 1C 0
unde Um este amplitudinea tensiunii ur (figura 3.39.b).
La ieirea integratorului, uI se nsumeaz pe rezistoarele R2 cu tensiunea
continu Um, i astfel se obine tensiunea de referin de form cosinusoidal:
utr() = Um + uI() = Umcos
ceea ce corespunde cu relaia (3.24) (figura 3.39.c)
n comparatorul realizat cu amplificatorul operaional A3 se compar
tensiunea de referin utr i cea de comand, uC, iar cnd acestea sunt egale
comparatorul comut (figura 3.39.d). La comutarea comparatorului, se
pornete formatorul de ieire, care elaboreaz impulsul pe electrodul de
comand a tiristorului de for. n figura 3.39.e este reprezentat tensiunea de
ieire a redresorului monofazat, care funcioneaz n sarcin RL, n regim de
curent fr ntrerupere.

Fig.3. 39 Diagramele de timp ale tensiunilor n schema din figura 3.37

n a doua jumtate a perioadei, la ieirea comparatorului A1 este tensiune


pozitiv, care deschide comutatorul realizat cu tranzistorul cu efect de cmp.
Acesta scurtcircuiteaz condensatorul C, astfel c uI(t) = 0. Cnd tensiunea
reelei este negativ, impulsul de comand pe tiristor nu se formeaz i nu se
produce funcionarea comparatorului A3 (figura 3.39.c,d). n acest timp, n
redresor se cupleaz alte tiristoare (figura 3.39.e), pentru cuplarea crora se
folosesc alte canale de comand, realizate pe baza schemei din figura 3.37. n
regimul analizat n schema din figura 3.37, GTR este realizat pe integratorul
A2, care realizeaz n procesul de integrare defazarea tensiunii reelei cu /2 i
filtreaz tensiunea de reea n cazul existenei distorsiunilor. La comutarea
Com n poziia 2, aceeai schem formeaz o tensiune de referin liniar
124

(figura 3.35.c), corespunztoare expresiei (3.5). n acest caz. la ieirea


integratorului A2 se formeaz tensiune liniar descresctoare:
t
U t
1
U 0 dt 0
uI(t) =

(3. 31)

R 1C 0
R 1C
Amplitudinea acestei tensiuni trebuie s fie egal cu 2Um i, pentru
aceasta, este necesar ndeplinirea egalitii obinute din (3.31), cnd se fac
nlocuirile: uI = 2Um; t = T/2, unde T = 1/fr, fr fiind frecvena tensiunii de
reea. Atunci, tensiunea sursei, U0, avnd n vedere c R1C = 1/, se alege
2
egal cu U0 = Um. La ieirea integratorului A2 se formeaz tensiunea de

referin utr = uI + Um, care se compar cu tensiunea de comand n


comparatorul A2. n a doua jumtate a perioadei, schema funcioneaz la fel ca
i la formarea tensiunii cosinusoidale de referin. n regimul analizat, GTR
const dintr-un generator de tensiune linear variabil, realizat de asemenea pe
integratul A2.
Sistemele numerice de comand a redresoarelor cu tiristoare prezint
diferite avantaje cum sunt: fiabilitate nalt, absena erorilor provocate de
deriva nulului i de instabilitatea elementelor, nu necesit reglare, etc.
Convertoarele cu tiristoare se cupleaz deseori n complexe industriale mari, a
cror comand se realizeaz cu calculatoare numerice. Adaptarea SC numerice
cu calculatorul se face mai bine dect n cazul SC realizate cu elemente
analogice.
uM V
MV
REEA SINC

1
1
2
NS 4
S
8

b)
SNC
Q

Kr

KC

a)

KC

0110

1111
1110
1101
1100
1011
1010
1001
1000
0111
0110
0101
0100
0011
0010
0001
0000

c)
Fig.3. 40 Schema i diagramele de timp ale DDF numeric de tip vertical

S analizm construcia unui DDF numeric. Un SC performant se


realizeaz pe baza principiului vertical de comand. Acelai principiu st i la
baza DDF numerice. La SC numerice se face prelucrarea semnalelor sub forma
125

tensiunilor sau curenilor sau sub forma codurilor. Semnalul de comand sub
forma codului de rangul n poate cpta 2n valori. n figura 3.40.a este
reprezentat schema unui DDF numeric cnd n = 4. Codul de comand n acest
caz are 16 valori, de la 0000 pn la 1111 i se aplic pe ranguri la schema
numeric de comparare (SNC) sub forma codului paralel KC. Semnalul de
referin este prezentat sub forma codului. La SC numerice se folosete de
obicei forma liniar a semnalului de referin. Acestei forme i corespunde
variaia codului de referin Kr(t) de la valoarea 1111 pn la valoarea 0000.
Codul de referin capt de asemenea 2n valori. Codul de referin liniar
descresctor Kr i codul de comand KC sunt reprezentate n figura 3.40.c sub
forma echivalentului de pondere a codului (adic sub forma codului numrului
nregistrat de codul binar) i sub forma codului propriu binar, notat n partea
de jos a diagramei. Codul de referin se formeaz de ctre numrtorul de
scdere NS, care are intrarea 1 cuplat cu multivibratorul MV. Impulsurile
dreptunghiulare, formate de MV (figura 3.40.b), provoac micorarea codului
numrtorului cu o unitate. Dup cum se vede din figura 3.35.c, modificarea
codului de referin de la valoarea maxim pn la cea minim (n total sunt 2n
valori) se produce pe durata jumtii de perioad a frecvenei reelei f r. Din
aceast cauz, frecvena impulsurilor MV este necesar s se aleag astfel nct
pe o jumtate de perioad s treac 2n impulsuri, adic:
fMV = 22nfr = 2n+1fr

(3. 32)
nceputul formrii codului de referin corespunde momentului
comutaiei naturale a tiristorului prii de for a convertorului. Acest moment
se fixeaz de ctre blocul de sincronizare la intrarea cruia se aplic tensiunea
reelei. La schimbarea semnului tensiunii de alimentare, blocul de sincronizare,
SINC, elaboreaz la intrarea corespunztoare a NS semnalul 1 i n numrtor
se nregistreaz simultan codul maxim de referin (1111). n continuare, s
comparm figurile 3.35.c i 3.40.c. n ambele este evideniat momentul de
egalitate a tensiunilor de referin i de comand, moment ce corespunde
unghiului de comand . SNC fixeaz pe ranguri egalitatea codurilor de
comand i de referin. n acest fel, la ieirea SNC se formeaz semnalul 1
logic Q. Acest semnal se aplic la formatorul de ieire al SC i, dup
amplificare, se transmite la electrodul de comand al tiristorului. Compararea
DDF din figurile 3.35.a i 3.40.a arat c la DDF numeric sunt realizate
aceleai blocuri funcionale: comparatorul analog K este nlocuit de SNC, iar
GTR este realizat sub forma NS. Cu toate c soluiile sunt n general aceleai,
SC numerice se deosebesc prin particularitile urmtoare:
a) unghiul de comand poate avea numai 2n valori; astfel, de exemplu, cnd
n = 4, exist 16 valori ale unghiului de comand. La modificarea lent a
semnalului de comand, unghiul de comand se modific n salturi de
11,25 = 180/16. Pentru micorarea discretizrii unghiului de comand
126

este necesar s se mreasc n, ceea ce poate conduce la creterea


cheltuielilor pentru realizarea SC numeric;
b) cu toate c blocurile numerice nu introduc instabiliti n formarea
unghiurilor de comand, funcionarea acestora depinde de precizia de
definire i de stabilitatea frecvenei multivibratorului MV. Cnd egalitatea
(3.32) se realizeaz imprecis, unghiurile de comand formate vor fi definite
imprecis. Este de menionat faptul c realizarea surselor de impulsuri a
cror frecven este mai mare dect frecvena reelei de un numr ntreg de
ori este o problem dificil, n special dac se consider c frecvena reelei
industriale se modific n anumite limite.
Particularitile de mai sus trebuie avute n vedere la alegerea tipului SC
al convertorului cu tiristoare, avnd n vedere regimurile acestuia de
funcionare, metodele de reglare, stabilizarea parametrilor de ieire ai
convertorului, condiiile de exploatare. Cheltuielile cu aparatura pentru
realizarea SC analogice i numerice sunt comparabile.
Pe lng DDF sincrone exist i DDF asincrone, la care sincronizarea
nemijlocit a funcionrii DDF cu reeaua lipsete, ceea ce permite evitarea
dificultilor legate de formarea semnalelor de referin.
DDF asincrone pot funciona numai cnd exist un circuit de comand
nchis, care s asigure constana parametrilor de ieire (tensiunii sau
curentului) la schimbarea regimului de funcionare a convertorului i n
prezena distorsiunilor (procese de comutaie, nesinusoidalitatea tensiunii
reelei, etc.). Schema cea mai simpl a DDF asincron este prezentat n figura
3.41.a. Pentru realizarea caracteristicii liniare de reglare a convertorului este
necesar s se asigure condiia ud = kUC, unde k este un coeficient de
proporionalitate. Ultima expresie se poate nlocui cu:
t
t
t2
1 2
1 2

u
t

dt

kU

dt

d
C
t u d t kU C dt 0
t 2 t 1 t1
t 2 t 1 t1
1
unde t1 i t2 sunt momentele i i (i+1) de comutare a tiristoarelor n convertor.
Aceast expresie reprezint formularea matematic a funcionrii DDF
asincron. Tensiunile ud(t) i kUC ajung la sumator, iar apoi sunt integrate de
ctre integrator (diagramele de timp sunt prezentate n figura 3.41.b).

Fig.3. 41 Schema de structur a dispozitivului de defazare asincron (a) i


diagramele de timp ale funcionrii acestuia (b)

127

Cnd tensiunea de ieire a integratorului atinge valoarea nul,


funcioneaz comparatorul K. n acest moment se formeaz impulsul de
comand pe tiristorul urmtor al convertorului (momentul t2). Liniaritatea
caracteristicii de reglare nu se altereaz la distorsiunile formei tensiunii de
ieire ud a convertorului. Dezavantajele SC asincron sunt legate de
insuficienele acestuia. Ca la oricare sistem de reglare nchis, n sistemul
asincron pot s apar oscilaii ale unghiurilor de comand elaborate de acesta
n raport cu o valoare medie. n cazul cnd caracterul acestor oscilaii este fr
atenuare, funcionarea SC este instabil, iar utilizarea unui asemenea SC este
imposibil. Astfel, SC din figura 3.41.a este instabil cnd /2, adic n
regim de invertor. Asigurarea funcionrii stabile a SC necesit introducerea
unor elemente suplimentare i complicarea SC, iar n unele cazuri ea se obine
cu preul nrutirii unei serii de ali indicatori ai unor astfel de sisteme.
Dificulti similare apar i la realizarea SC sincrone cu circuit de comand
nchis. Rezolvarea acestor deficiene se poate face pe analiza de detaliu a
acestor sisteme, care sunt sisteme neliniare de impuls de reglare automat.
3.10.3. Sisteme de comand multicanal
Domeniul limit de variaie a unghiului de comand la convertoarele cu
tiristoare este = 0 180. Durata intervalului ntre comutaii la convertoare
este egal cu 2/m.
n figura 3.42.a este reprezentat tensiunea de ieire la redresorul
trifazat n punte (vezi figura 3.11.a), n figura 3.42.b este reprezentat
tensiunea de comand uC i sistemul tensiunilor de referin de form
cosinusoidal, uri, iar n figura 3.42.c sunt reprezentate impulsurile de comand
a fiecrui tiristor al redresorului. Pentru asigurarea funcionrii fiecrui tiristor,
se formeaz tensiunea sa de referin, al crei nceput corespunde momentului
de comutare natural a tiristorului dat. Momentul de intersecie a tensiunii de
referin i cu semnalul de comand uC corespunde momentului de elaborare a
impulsului de comand la tiristorul i. n figura 3.42.b se poate observa c, n
fiecare moment de timp, se formeaz trei tensiuni de referin (pe diagram
este selectat un moment oarecare de timp t0). Un asemenea principiu de
funcionare se realizeaz n sistemul de comand multicanal, care se compune
din cteva canale ce funcioneaz independent.
Schema bloc a SC multicanal al redresorului trifazat n punte este
reprezentat n figura 3.43. Fiecare DDF realizeaz principiul de comand
vertical i se construiete conform schemei 3.35.a, a crei funcionare a fost
analizat n paragraful 3.10.2.
La funcionarea schemei de redresare n punte, curentul trece n acelai
timp prin dou tiristoare unul din grupa anodic, cellalt din grupa catodic
(vezi diagramele de timp din figura 3.11.b). n regimul de curent fr
ntrerupere, pentru funcionarea normal a redresorului este suficient cuplarea
128

o singur dat a tiristorului, care va conduce curent pe durata unghiului 2/3


(procesele de comutaie sunt neglijate). Impulsurile de comand n acest regim
sunt reprezentate n figura 3.42.c (impulsurile haurate). n regimul de curent
intermitent, n sarcin exist o pauz fr curent. Astfel, tiristorul i, care a
funcionat n pereche cu tiristorul (i 1), se nchide. Dac el rmne n stare
nchis, atunci, n momentul aplicrii impulsului de comand pe tiristorul (i +
1), acesta rmne nchis, perechea de tiristoare care conduc nu se formeaz i
funcionarea redresorului este alterat.

Fig.3. 42 Diagramele de timp ale tensiunilor n sistemul de


comand multicanal al redresorului trifazat n punte

Pentru evitarea ntreruperii funcionrii redresorului n regim de curent


cu ntreruperi, concomitent cu aplicarea impulsurilor de comand pe tiristorul
(i + 1), se aplic impulsul de comand repetat pe tiristorul i. Impulsurile
repetate sunt reprezentate n figura 3.42.c punctat. n acest fel, pentru
funcionarea stabil a redresorului trifazat n punte n toate regimurile este
necesar aplicarea impulsurilor de comand duble. n acest scop, n schema
129

din figura 3.43, DDF al canalului (i + 1) se leag cu formatoarele de ieire ale


canalelor i i (i + 1). Avantajul sistemelor de comand multicanal const n
simplitatea maxim a schemei DDF i a formatorului de ieire al fiecrui canal.
Cnd se utilizeaz principiul de comand vertical, se obine viteza maxim de
reacie, deoarece canalele elaboreaz impulsurile de comand succesiv,
urmrind continuu schimbarea semnalului de comand.

REEA

la FI6
DDF1
DDF2
DDF3
DDF4
DDF5
DDF6
uC

FI1
FI2
FI3
FI4
FI5
FI6

IC1
IC2
IC3
IC4
IC5
IC6

Fig.3. 43 Schema de structur a sistemului de comand multicanal al


redresorului trifazat n punte

Totui sistemele de comand multicanal au serioase deficiene. Orice


asimetrie n funcionarea canalelor de comand conduce la asimetria
impulsurilor de comand care se aplic la tiristoarele de for. Din aceast
cauz, se nrutete forma tensiunii redresate i cresc pulsaiile. Sursa
principal de asimetrie se afl n generatoarele tensiunilor de referin. La
formarea tensiunilor de referin din tensiunea de reea, la filtrare se introduce
o defazare care poate fi substanial diferit n canalele de comand. Cu ct este
mai mare nesinusoidalitatea reelei, cu att sunt mai mari cerinele de atenuare
a armonicelor, cu att mai mare este eroarea de faz. Acest lucru se explic
prin aceea c, la filtrele de atenuare puternic a armonicelor superioare
dependena defazrii n funcie de frecven este foarte puternic. n acest caz,
este necesar s se foloseasc forma liniar a semnalelor de referin, ns este
destul de greu din punct de vedere tehnic s se asigure formarea identic a
acestora n m canale ale SC, pentru c generatorul de tensiune liniar variabil
130

din fiecare canal are caracteristici de precizie i stabilitate limitate. SC


multicanal al convertoarelor cu tiristoare au cptat utilizarea cea mai larg,
lucru explicabil nu numai prin avantajele proprii acestora, dar n unele cazuri
i prin tradiie. Utilizarea SC monocanal moderne permite n unele cazuri
realizarea unor sisteme de comand mai performante, ce prezint precizie
sporit, dar nu rareori sunt i mai compacte.
3.10.4. Sisteme de comand monocanal
n SC monocanal, momentele de cuplare a tuturor tiristoarelor de for
sunt determinate de un singur DDF. Schema de structur a SC monocanal este
prezentat n figura 3.44. Impulsurile de la ieirea DDF se aplic la
distribuitorul de impulsuri DI, care realizeaz repartizarea impulsurilor pe
canalele de comand n ordine ciclic. La ieirile DI se cupleaz formatoarele
de ieire FI ale canalelor. Funcionarea distribuitorului la unele SC monocanal
este sincronizat cu tensiunea reelei de alimentare. La SC monocanal actuale
se realizeaz principiul de comand vertical (paragraful 3.2). Astfel, DDF
conine GTR generatorul tensiunii de referin unic pentru toate tiristoarele
convertorului, i comparatorul K.

REEA

FI
GTR ur

FI
K

uC
DDF

DI

FI
FI

IC1
IC2
IC3

FI

IC4
IC5

FI

IC6

Fig.3. 44 Schema de structur a sistemului de comand monocanal de tip vertical

Funcionarea GTR se sincronizeaz cu tensiunea reelei de alimentare.


Pentru explicarea principiului de realizare a SC monocanal de tip vertical
pentru comanda redresorului (figura 3.11.a), s analizm figura 3.42.b. n
momentul t0 funcioneaz T2 i se pregtete cuplarea tiristorului T3. n acest
scop, se determin momentul de egalitate a tensiunii de referin ur3 i a
tensiunii de comand uC. Dup elaborarea impulsului de comand IC3,
observarea tensiunii de referin ur3 nu mai este necesar; din momentul de
deschidere a tiristorului i informaia despre tensiunea de referin devine
nefolositoare. Acum atenia se ndreapt la tensiunea de referin ur4: cnd ur4
131

i uC se intersecteaz, se formeaz IC4. Astfel, informaia util se refer la curba


tensiunii de referin i numai din momentul de cuplare a tiristorului (i 1) pn
la intersecia uri cu uC, adic pn la cuplarea tiristorului i. Din aceast cauz,
se poate forma o tensiune de referin unic, compus din segmente de
cosinusoid ntre momentele de cuplare a tiristoarelor (i 1) i i. Acest
principiu st la baza funcionrii SC monocanal. Un astfel de sistem este
prezentat n figura 3.45.a. Tensiunea de alimentare trifazic se aplic la filtrele
F, care realizeaz defazarea i atenueaz componentele armonice superioare.
Pe nfurrile secundare ale transformatorului Tr se formeaz sistemul de ase
faze al tensiunilor de referin ur1 - ur6. Aceste tensiuni se aplic prin
comutatoarele Com1 - Com6 la o intrare a comparatorului realizat cu
amplificatorul operaional A. La cealalt intrare a comparatorului se aplic
tensiunea de comand uC. Tensiunea de ieire a comparatorului se aplic la
distribuitorul de impulsuri DI pe 6 canale. Ieirile DI sunt legate cu
formatoarele de ieire ale SC (FI) i cu circuitele de comand a comutatoarelor
Com1-Com6. Diagramele de timp ale semnalelor din schema figura 3.45.a sunt
prezentate n figurile 3.45.b,c. n diagrama din figura 3.45.b sunt reprezentate
tensiunile pe nfurrile secundare ale transformatorului Tr, ur1 ur6 i este
evideniat tensiunea de referin unic uru, compus din segmente uri.

Fig.3. 45 Schema de structur a dispozitivului de defazare asincron (a) i


diagramele de timp ale funcionrii acestuia (b)

Este reprezentat de asemenea tensiunea de comand uC. n momentul t0


distribuitorul elaboreaz semnalul logic 1 la prima ieire. Astfel, se nchide
Com2 (numrul comutatoarelor la care se aplic impulsurile de la ieirea
132

distribuitorului este artat n figura 3.45.c unde sunt reprezentate semnalele


logice la ase ieiri ale distribuitorului, W1 W6).
La nchiderea comutatorului Com2, la comparatorul A se aplic ur2. n
momentul cnd ur2 = uC comparatorul comut, impulsul pozitiv de la ieirea
acestuia comut distribuitorul, semnalul 1 exist acum la cea de a doua ieire
a distribuitorului (W2 = 1). Acest semnal se aplic la formatorul de ieire al
celui de-al doilea tiristor al redresorului i, n acelai timp, la circuitul de
comand a Com3. Comutatorul Com2 se decupleaz. Din aceast cauz, la
comparatorul A se aplic ur3 i comparatorul revine n starea anterioar (figura
3.45.d) (uk = 0). La comparator se face compararea ur3 i uC, n momentul cnd
ur3 = uC, impulsul pozitiv de la ieirea comparatorului comut distribuitorul n
starea W3 = 1. n acest fel, se aplic impulsul de comand la al treilea tiristor
al redresorului i se nchide comutatorul Com4. Astfel, la fiecare comutare a
distribuitorului, se cupleaz urmtorul formator de ieire al tiristorului care
intr n funciune. n acelai timp, Com comut, asigurnd astfel alegerea
segmentului necesar uri pentru formarea tensiunii unice de referin uru.
Schema din figura 3.45.a are toate avantajele SC multicanal, care realizeaz
principiul de comand vertical i conine un singur element de comparaie
comparatorul.
La funcionarea convertorului de la o reea cu nivel mare al
distorsiunilor armonice, formarea tensiunii de referin din tensiunea de
alimentare conduce la erori n funcionarea SC. Aceast deficien este
specific att SC multicanal, ct i SC monocanal. n aceste regimuri, se obin
rezultate mai bune dac se utilizeaz SC monocanal de tip vertical cu forma
liniar a semnalului de referin. Este de menionat faptul c, n special la SC
monocanal numerice, se pot evidenia avantajele eseniale. S analizm SC
numeric monocanal al redresorului trifazat n punte, a crui schem este
prezentat n figura 3.46.a.
n instalaie se folosesc principiile care stau la baza funcionrii DDF
numeric (figura 3.40.a). Semnalul de referin se formeaz sub forma codului
de micorare a numrtorului CT1, a crui intrare 1 este legat cu
multivibratorul MV. Numrul maxim care se nscrie n numrtorul CT1 este
Km = 32n. n intervalul unei perioade a tensiunii reelei de alimentare,
multivibratorul genereaz de asemenea 62n impulsuri, adic frecvena MV se
alege egal cu fMV = 62nfr.n acest fel, numrtorul CT1 conine (2 + n)
ranguri. La ieirea rangului superior CT1 se cupleaz triggerul T. Ieirile n de
rang mai mic ale numrtorului sunt legate cu prima schem numeric de
comparare DNC1, la intrrile doi ale creia se aplic rangurile mai mici ale
codului de comand KC.
Urmtoarele dou ranguri ale numrtorului sunt legate cu cea de a doua
schem de comparaie DNC2, la intrrile doi ale creia se aplic rangurile
superioare ale codului de comand KC. Codul de comand capt astfel 32n
133

valori. Ieirea DNC1 se cupleaz la intrarea 1 a distribuitorului pe ase


canale, care se compune din numrtorul CT2, i din decodificator.

kC

R
E

E
A

MV
SINC

DNC
1

1
1
2
NS ...
S
n
n+1
n+2

&
DNC
2

Wi
la
FIi

DISTRIBUITOR

T T
S

a)

kr

b)

km

kC
T/6

c)

t
Stabil

t1
Y=1

t2
Y=0

Stabil

d)

W1 = 1 W2 = 1 W3 = 1 W4 = 1 W5 = 1

Fig.3. 46 Sistemul de comand monocanal numeric de tip vertical (a) i


diagramele de timp ale semnalelor n sistem (b)

Schema funcioneaz n felul urmtor: n momentul comutaiei naturale


a primului tiristor (spre exemplu T1 din figura 3.11.a), acioneaz blocul de
sincronizare Sinc, astfel c la intrarea corespunztoare a numrtorului CT1 se
aplic impulsul care nscrie numrul maxim Km n numrtor. Sinc pune
triggerul n starea Y = 1. Apoi fiecare impuls al multivibratorului micoreaz
codul Kr, nscris n numrtorul CT1, cu o unitate (figura 3.46.b). Dup o
jumtate de perioad a reelei codul se reduce pn la valoarea nul.
134

n a doua jumtate a perioadei codul de referin repet aceleai valori


(de la Km pn la 0), ns se modific starea triggerului T de la 1 la zero (figura
3.46.c). Codul de referin Kr i codul de comand KC se aplic pe ranguri la
DNC1 i la DNC2. n momentul t1 acioneaz n acelai timp ambele DNC,
pentru c se constat egalitatea Kr = KC. n acest caz, Y = 1 i semnalele 1 de
la ieirile DNC1 i DNC2, care se aplic la intrarea elementului I, fac s apar
la ieirea acestuia a semnalului 1 logic, care se aplic la intrarea
corespunztoare a numrtorului CT2 din compunerea distribuitorului.
n acest caz, distribuitorul se stabilete n poziia n care la prima ieire
apare impulsul unitar, datorit cruia formatorul de ieire FI, elaboreaz
impulsul de comand pentru primul tiristor al redresorului (figura 3.46.d). Mai
departe, codul de referin va continua s se micoreze. Dup 1/6 din perioada
reelei, multivibratorul elaboreaz 2n impulsuri i, ca rezultat, n momentul t2
n rangurile inferioare ale numrtorului CT1 se va nscrie din nou aceeai
valoare ca i n momentul t1. Aceast valoare coincide cu valoarea rangurilor
mai mici ale codului de comand, ceea ce se fixeaz de ctre DNC1. La
acionarea DNC1 se aplic impulsul pozitiv, la intrarea 1 a distribuitorului i
el comut n starea n care impulsul de comand se formeaz n FI2 pentru
cuplarea celui de al doilea tiristor al redresorului. Cnd KC nu se modific,
urmtoarea comutare a distribuitorului la acionarea DNC1 are loc dup nc
1/6 din perioada reelei. Astfel, se formeaz succesiv impulsurile de comand
pe toate cele ase tiristoare ale schemei de for a redresorului. Schema din
figura 3.46.a are vitez de reacie maxim. Astfel, la creterea codului de
comand KC, se mrete valoarea rangurilor sale mai mici, i DNC1 fixeaz
egalitatea mai devreme, adic unghiul de comand la tiristorul urmtor se
micoreaz. Calculele arat c schema figura 3.46.a este avantajoas pentru
funcionarea de la reeaua asimetric, fapt explicabil, deoarece codul de
referin se sincronizeaz numai de la o singur faz a reelei. Cheltuielile cu
aparatura pentru realizarea SC monocanal nu se modific practic prin mrirea
numrului de faze ale convertorului, ceea ce face ca realizarea SC monocanal
s fie deosebit de avantajoas pentru comanda convertoarelor multifazate.

3.11. Convertoare autonome


3.11.1. Metode de reglare a tensiunii continue
Convertoarele autonome nu sunt legate cu reeaua electric de putere de
curent alternativ; ca surs de energie, convertoarele autonome folosesc sursele
de curent continuu. O astfel de surs se poate constitui dintr-un redresor, care
transform energia reelei de curent alternativ, din acumulatoare sau din alte
surse de curent continuu. Convertoarele autonome funcioneaz n sarcin de
curent continuu sau alternativ, fiind folosite acolo unde sarcina se afl departe
de alte surse de energie. Tipurile de baz de convertoare autonome sunt
135

convertoarele de impuls de tensiune continu la care, la intrare i la ieire, este


tensiune continu i invertoarele care sunt convertoare de curent continuu n
curent alternativ.
La alimentarea de la surse de tensiune continu, pentru reglarea cu
randament ridicat a puterii de curent continuu n sarcin se folosesc
convertoarele de impuls (regulatoare) de tensiune continu cu regim de
funcionare comutabil.

Fig.3. 47 Schema i diagramele de timp la convertorul de impuls de tensiune


continu n cazul funcionrii pe sarcin activ (a), respectiv activ-inductiv (b)

n figura 3.47.a este prezentat schema unui astfel de convertor cu


comutator ideal, care este cuplat n serie cu sarcina (activ). La comutarea
periodic a Com, tensiunea pe sarcin capt forma impulsurilor
dreptunghiulare cu amplitudinea egal cu tensiunea electromotoare de
alimentare, E.
Raportul dintre perioada de repetiie a impulsurilor, T i durata acestor
T
impulsuri, ti se numete porozitate: Qi = > 1. Mrimea, invers porozitii se
ti
1
t
i . Prin schimbarea duratei strii de
numete coeficient de umplere: =
Qi T
cuplare i decuplare a comutatorului K se poate modifica valoarea medie i cea
efectiv a tensiunii pe sarcin. Tensiunea medie pe sarcin este:

136

t
1
1
Us med = u s dt Edt E i = E
T0
T0
T
Valoarea efectiv a tensiunii este:
T

Us ef =

(3. 33)

t
1 2
1
u s dt
E 2 dt E i E

T0
T0
T

(3. 34)

Ca exemplu de sarcin activ pot fi considerate becurile electrice cu


filament i nclzitoarele electrice cu rezisten. La acestea, prezint
importan valoarea efectiv a tensiunii.

Fig.3. 48 Diagramele de timp ale tensiunii i curentului din schema


din figura 6.1.b pentru diferite metode de reglare a tensiunii

La sarcinile de tipul motorului de curent continuu, bateriei de


acumulatoare sau la cele care funcioneaz cu filtre de netezire, prezint
importan valoarea medie a tensiunii. Dac sarcina are caracter inductiv (de
exemplu conine o inductan pentru netezirea tensiunii redresate, sau pentru
limitarea pulsaiilor curentului statorului la motorul de curent continuu), este
necesar ca atunci cnd circuitul se ntrerupe s nu apar supratensiuni
periculoase, motiv pentru care sarcina se unteaz cu dioda D (figura 3.47.b).
Astfel, curentul n sarcin devine continuu, trecnd fie prin sursa E, cnd
comutatorul este nchis (n intervalul ti energia se acumuleaz n sarcin), fie
prin dioda unt, cnd comutatorul este deschis (n intervalul T ti o parte din
energia acumulat n sarcin se disip). n cazul comutatorului ideal, tensiunea
pe sarcin uS are forma impulsurilor dreptunghiulare, iar curentul iS pulseaz,
137

modificndu-se conform dependenei exponeniale cu constanta de timp S =


Ls
. Astfel, valorile medie i efectiv ale tensiunii se determin cu aceleai
Rs
formule ca i n cazul sarcinii active. Cnd sarcina este activ sau activinductiv valoarea medie a curentului n sarcin se determin pe baza valorii
U s med
medii a tensiunii n sarcin: Is med =
Rs
Exist dou metode de reglare a tensiunii de ieire:
a) reglarea n durat a impulsurilor, cnd pentru modificarea valorii medii a
curentului i tensiunii n sarcin se modific durata strii nchise a
comutatorului (ti = variabil), n condiiile meninerii constante a perioadei
de repetiie (T = ct.) (figura 3.48.a,b);
b) reglarea n frecven a impulsurilor, cnd se modific frecvena de repetiie
n condiiile meninerii duratei constante a impulsurilor (ti = ct., T =
variabil, fig. 3.48.a,c).
n ambele cazuri se acioneaz asupra lui , ceea ce face s se modifice
valorile medie i efectiv ale tensiunii n sarcin n conformitate cu relaiile
(3.33) i (3.34).
Drept comutatoare, la convertoarele de impulsuri de tensiune continu se
pot folosi tranzistoare, tiristoare bioperaionale i monooperaionale, nzestrate
cu blocuri de comutaie impus (scheme i elemente care s asigure cuplarea
tiristoarelor n momente date de timp).
3.11.2. Blocuri de comutaie a tiristoarelor monooperaionale
Se pot deosebi blocuri de comutaie paralel i serie. n ambele cazuri,
pentru decuplarea tiristoarelor, pe acestea se aplic o tensiune invers, sub
aciunea creia se ntrerupe curentul anodic al tiristorului i se refac capacitile
sale de blocare. Sursa tensiunii de comutare este, de obicei, un condensator
care n prealabil este ncrcat la tensiunea i cu polaritatea necesar. La
comutaia paralel, prin comutatorul care se nchide, condensatorul se cupleaz
fie n paralel cu tiristorul de putere (figura 3.49.a), fie n paralel cu sarcina
(figura 3.49.b).
Cnd condensatorul se cupleaz n paralel pe tiristor, tensiunea pe acesta
n timpul comutaiei este uainv = uC, iar tensiunea pe sarcin este egal cu uS =
E + uC. La cuplarea condensatorului n paralel pe sarcin tensiunea anodic pe
tiristor este uainv = uC + E, iar tensiunea pe sarcin este uS = uC. n ambele cazuri,
tensiunea pe sarcin depinde de tensiunea pe condensator, care se modific n
funcie de curentul sarcinii.

138

Fig.3. 49 Metode de baz pentru cuplarea condensatorului de comutare

La comutaia serie, condensatorul de comutaie se introduce n circuit n


serie cu tiristorul, de exemplu atunci cnd condensatorul se cupleaz n paralel
pe o inductan (figura 3.49.c). n intervalul de comutaie tiristorul se gsete
la tensiunea uainv = uC E, iar tensiunea pe sarcin este uS = 0. Circuitul de
ncrcare a condensatorului nu include sarcina, din care cauz, la comutaia
serie, tensiunea pe sarcin nu depinde de procesele din intervalul de comutaie,
adic de condiiile de ncrcare a condensatorului.

Fig.3. 50 Schema i diagramele de timp ale curenilor i tensiunilor blocului de


comutare comandat a tiristorului n cazul ncrcrii liniare a condensatorului

n figura 3.50.a este prezentat schema convertorului cu tiristoare de


impuls de tensiune continu cu bloc de comutaie paralel, n care
condensatorul de comutaie Ck se cupleaz n paralel pe sarcin. n blocul de
comutaie a tiristorului de putere TC intr condensatorul Ck, care comut
139

tiristorul Tk i circuitul pentru ncrcarea oscilatorie a condensatorului, compus


din inductana Lk i dioda D. Polaritatea i mrimea tensiunii pe condensatorul
C necesare pentru comutaia tiristorului TC se obin dup cuplarea la momentul
t = t1 a tiristorului TC, cnd prin circuitul TC D Lk Ck se produce ncrcarea
condensatorului Ck pn la tensiunea VC0 > E (fig. 3.50.b). Astfel, pe sarcin
se aplic tensiunea uS = E. n afara curentului de sarcin iS, prin tiristorul TC,
n momentul t = t2 se aplic impulsul de comand pe tiristorul Tk. La cuplarea
acestuia, tensiunea pe sarcin devine egal cu tensiunea condensatorului
ncrcat Ck, uS = UC0, iar pe tiristorul TC se aplic tensiunea invers egal cu ua
= UC0 E. Curentul prin tiristorul TC se ntrerupe, iar curentul de sarcin se
nchide prin circuitul RS LS Ck Tk. Condensatorul se rencarc cu curentul
de sarcin i, pentru c acest curent este bine netezit, datorit inductanei mari
LS, tensiunea pe condensator, uC i cea pe tiristor, ua variaz liniar. Pe durata
existenei tensiunii inverse, tiristorul TC i reface proprietile de blocare.
Dup terminarea ncrcrii condensatorului, cnd t = t3, curentul iC devine nul,
iar curentul sarcinii se nchide prin dioda unt D0. Acum, montajul este pregtit
pentru formarea urmtorului impuls de tensiune pe sarcin. n momentul t4 se
aplic impulsul de comand pe tiristorul TC, acesta se deschide din nou i
procesele se repet. Ca durat a impulsului pe sarcin se consider intervalul
de timp dintre momentele t1, cnd se aplic impulsul de comand pe tiristorul
de putere i t2, cnd se aplic impulsul de comand pe tiristorul de comutare
(figura 3.50.b). Acest interval corespunde duratei strii deschise a
comutatorului, adic a tiristorului TC. Tensiunea invers pe tiristorul TC se
menine n intervalul tB, cnd condensatorul C se descarc cu un curent egal
U E
dU
C k C0
cu cel al sarcinii de la UC0 pn la E. Astfel, Is = C k
.
dt
tB
U E
De aici, se obine valoarea tB = C k C 0
, unde UC0 = (1,5 1,8)E, n funcie
Is
de pierderile din circuitul de ncrcare TC D Lk Ck. n intervalul de timp
tB, tiristorul TC trebuie s-i refac capacitile de comand.
n figura 3.51.a este prezentat schema practic mai complet a
convertorului de impuls pentru tensiune continu, n care la comutaie
condensatorul se cupleaz n paralel cu tiristorul de putere TC. n componena
blocului de comutare intr condensatorul Ck, tiristorul de comutare Tk i
circuitul pentru ncrcarea oscilatorie a condensatorului, format din Lk i D1.
Cnd se aplic tensiunea E, condensatorul Ck se ncarc prin D1, Lk i circuitul
de sarcin LSRS pn la tensiunea uC = E, cu polaritatea indicat n desen fr
paranteze. Cnd t = t1, pe electrodul de comand al tiristorului TC se aplic
impulsul de comand iU,C. Tiristorul TC se deschide i tensiunea pe sarcin
(diagrama de sus din figura 3.51.b) devine uS = E. Pentru nchiderea lui TC, n
momentul t2, pe electrodul de comand a lui Tk se aplic impusul de comand
140

iCk. Tiristorul Tk se deschide i condensatorul se ncarc prin circuitul Ck TC


Lk Tk pn la o tensiune apropiat de valoarea E, dar cu polaritate invers
(semnele din parantez).

Fig.3. 51 Schema i diagramele de timp ale curenilor i tensiunilor blocului de


comutare comandat a tiristorului n cazul ncrcrii oscilatorii a condensatorului

Procesul are caracter oscilatoriu, iar curentul condensatorului iC are


form sinusoidal, cu durata unei semiperioade t0 = L k C k . Dup ncrcarea
condensatorului, la t = t3, TC se afl la tensiune invers, iar ca rezultat curentul
direct prin acesta, ia, se ntrerupe. Condensatorul se ncarc la curentul
continuu al sarcinii, iar tensiunea pe acesta scade liniar. Cnd t = t4,
condensatorul s-a descrcat pn la tensiunea zero. Intervalul de la t3 la t4 este
egal cu timpul de aplicare pe tiristorul de putere a tensiunii inverse, timp de
decuplare necesar tiristorului TC pentru ca acesta s-i refac proprietile de
comand. Cnd t = t5 condensatorul se ncarc din nou pn la tensiunea
iniial, egal cu E, iar tensiunea pe sarcin uS devine egal cu zero. n
intervalul de timp de la t5 la t6 curentul de sarcin trece prin dioda D0, iar
tensiunea de ieire este uS = 0. Prin modificarea timpului de ntrziere a
impulsului de comand pe tiristorul de comutaie, tC = t2 t1, se poate modifica
coeficientul de umplere al tensiunii i valorile medie i efectiv ale acesteia.
Timpul de decuplare, tB, se determin astfel: se noteaz tensiunea pe
condensator cu kzE, unde kz este coeficientul de ncrcare a condensatorului
(kz = 0,8 0,9), i se consider c prin sarcin circul un curent constant, cu
care se ncarc condensatorul. Atunci,

141

U
U
k E
t = Ck
, de unde tB = Ck z .
Is
Is
t
La modificarea curentului n sarcin, iS, se modific viteza de ncrcare
a condensatorului i, din aceast cauz, se schimb forma i valoarea medie a
tensiunii de ieire uS. Pentru micorarea influenei curentului sarcinii asupra
tensiunii de ieire, adic pentru stabilizarea caracteristicii externe US = f(IS) i
a timpului pentru nchiderea tiristoarelor, tiristorul de putere TC se unteaz cu
dioda D2. n acest fel, ncrcarea condensatorului n intervalul t3 t5 are
caracter oscilatoriu. Curentul iC reprezint jumtate din sinusoida de aceeai
frecven ca i la ncrcarea condensatorului i trece prin circuitul Ck D1 Lk
D2. n acest fel, timpul de nchidere este aproximativ egal cu semiperioada
proprie a circuitului t3 t0 = ct L k C k , iar forma tensiunii de ieire a
convertorului se apropie de cea dreptunghiular.
3.11.3. Invertoare de tensiune
Invertoarele de tensiune sunt convertoare autonome, n care tensiunea
variabil n sarcin se formeaz ca rezultat al cuplrii periodice a acesteia cu
ajutorul comutatoarelor la sursa de curent continuu; prin intermediul lor, se
asigur polaritatea alternativ a impulsurilor de tensiune n sarcin.
Invertoarele de tensiune se construiesc cu dispozitive comandate (tranzistoare,
tiristoare bioperaionale, monooperaionale, nzestrate cu circuite de
comutaie).

Is =Ck

Fig.3. 52 Schema i diagramele de timp ale curenilor i tensiunilor invertorului


monofazat n punte

n figura 3.52.a este prezentat schema invertorului monofazat n punte


cu tiristoare. Cnd tiristoarele T1 i T4 sunt cuplate i T2 i T3 sunt decuplate,
pe sarcin este o tensiune avnd sensul indicat n figur. Dac T1 i T4 se
decupleaz, iar T2 i T3 se cupleaz, atunci tensiunea i curentul n sarcin i
142

schimb sensul. Cnd sarcina este activ (LS = 0), curentul iS n sarcin repet
ca form tensiunea pe sarcin uS. n figura 3.52.b sunt reprezentai cu linie
punctat curentul n sarcin, iS, i curentul de intrare al invertorului, i, cnd LS
= 0. Curentul iS i tensiunea uS au form dreptunghiular.
n cazul sarcinii activ-inductive (LS 0) curentul n sarcin, iS, variaz
L
exponenial, cu constanta de timp = s . La nchiderea lui T1 i T4 n
Rs
momentul t2, cu toate c se aplic impulsurile de blocare pe T2 i T3, datorit
inductanei LS, curentul n sarcin iS tinde s-i menin sensul. Pentru ca,
dup blocarea T1 i T4, s se deschid calea pentru curentul n sarcin,
tiristoarele se unteaz cu diodele D1 D4. Din aceast cauz, cnd t2 < < t1,
iS trece prin D2 i D3 i ntoarce o parte din energia acumulat n inductan la
sursa E. Cnd t = t3 curentul n sarcin iS devine egal cu zero, iar cnd t > t3
curentul ncepe s treac n sens invers prin T2 i T3, pe electrozii de comand
ai crora continu s existe semnalele de deschidere. n mod similar, n
intervalul t0 < < t1, adic dup deschiderea lui T2 i T3, curentul n sarcin
trece prin D1 i D4. Datorit duratei mici a procesului de comutare, tensiunea
de ieire a invertorului de tensiune este apropriat ca form de cea
dreptunghiular i nu depinde de curentul n sarcin. nchiderea tiristoarelor,
chiar avnd n vedere procesele de comutare, dureaz maxim 200 s, dac
invertorul este realizat cu tiristoare monooperaionale. Caracteristica extern
(de sarcin) a invertorului de tensiune, US = f(IS) reprezint o linie dreapt cu
pant foarte mic. Cnd LS 0, curentul de intrare al invertorului, i, (figura
3.52.b) devine alternativ, ceea ce atest schimbul periodic de energie dintre
circuitul sarcinii i sursa de alimentare, adic acumularea energiei n inductana
sarcinii la funcionarea tiristoarelor i ntoarcerea energiei n surs n intervalul
de funcionare a diodelor. Dac sursa de alimentare E este reprezentat de un
redresor, atunci, pentru realizarea n acesta a conduciei inverse, care s
permit preluarea energiei de la invertor, redresorul se unteaz cu un
condensator C de capacitate mare, aa cum se arat n figura 3.52.a.
Pentru determinarea expresiei curentului n sarcin, se procedeaz astfel:
circuitul curentului iS include E, RS i LS. Considernd c iS are dou
componente, forat i liber,

iS = iS,f + iS, = I0 + A e
unde S =

LS
RS

t
S

(3. 35)

este constanta de timp a circuitului de sarcin, iar I0 =

E
RS

este curentul n sarcin cnd t = sau cnd LS = 0.


Pentru c tensiunea pe sarcin se repet periodic, atunci:

143

T
iS(0) = i S
(3. 36)
2
ceea ce permite determinarea constantei A.
Dup introducerea relaiei (3.35) n (3.36) i dup cteva transformri se
obine:
t

S
2e

iS = I 0 1
(3. 37)
T

1 e 2 S

Valoarea maxim a curentului n sarcin se determin din relaia (6.5),

cnd t =

T
2 S

1 2e
T
: iSm = I 0
.
T

2
2 S
1 e

T1

t
t

T2
t
T3
t
T4
uS
t2 t3
t0

t4

t1

iS
t

Fig.3. 53 Diagramele de timp ale curentului i tensiunii i intervalele


de conducie a tiristoarelor din schema din figura 3.52, cnd tensiunea
de ieire este reglabil

Pentru reglarea tensiunii de ieire a invertoarelor de tensiune se modific


fie tensiunea de alimentare E, fie se folosesc aa-numitele mijloace interne,
144

adic se modific forma tensiunii de ieire. n acest scop n schema din figura
3.52.a se deplaseaz impulsurile de comand pe T3 i T4 n raport cu
impulsurile de comand de pe T1 i T2, cu unghiul de comand (pe
diagramele de timp din figura 3.53 sunt prezentate intervalele de conducie ale
tuturor tiristoarelor i forma curentului i tensiunii n sarcin). n intervalul t0
< < t1 sunt deschise T1 i T4, iar pe sarcin uS = E.

Fig.3. 54 Invertor de tensiune trifazat n punte (a) i diagramele de


timp ale tensiunilor n invertor (b)

n momentul t1, T1 se nchide i se aplic impulsul de comand pe T2,


datorit crui fapt curentul iS se nchide n circuitul T4 D2 RS LS, iar
tensiunea pe sarcina scurtcircuitat de T4 i D2 este uS = 0. n momentul t2 se
aplic impulsul de deblocare pe T3, T4 i ntrerupe funcionarea i sarcina se
cupleaz la sursa de alimentare (uS = E). Datorit inductanei sarcinii, la
nceputul intervalului t2 t3 curentul iS circul n sensul anterior, pe circuitul
RS LS D3 E D2, apoi, dup scderea curentului la zero, cnd t = t3,
curentul i schimb sensul i circul n circuitul E T3 RS LS T2. Astfel,
145

n curba uS(t) apare o pauz reglabil. Ordinea de aplicare a semnalelor de


comand pe tiristoarele invertorului a cptat denumirea de algoritm de
comand. Acesta i caracterul sarcinii invertorului de tensiune determin
caracterul i durata de funcionare a tiristoarelor, adic algoritmul de comutare.
n figura 3.54.a este prezentat schema invertorului trifazat de tensiune. S
analizm cel mai simplu regim, cnd fiecare dou tiristoare ale unei faze se
deschid alternativ. Considernd c potenialul bornei negative a sursei de
alimentare E este nul, atunci potenialele punctelor A,B,C vor lua valori fie E,
fie 0. n figura 3,54.b sunt artate curbele de variaie a potenialelor A, B i
C, care sunt defazate ntre ele la 120, ca la sistemele de trifazate. Pe sarcin
se aplic o tensiune liniar, de valoare uAB = A B, a crei form este
reprezentat de asemenea n figura 3.54.b. Tensiunea de ieire (liniar) a
invertorului trifazat este, n regimul analizat, de forma impulsurilor
dreptunghiulare de semn alternativ cu durata de 120.
3.11.4. Invertoare de curent
Invertoarele de curent sunt invertoare autonome legate cu sursa de
alimentare printr-o inductan de netezire, astfel nct tiristoarele invertorului
comut curentul. La invertoarele de curent se folosesc tiristoare
monooperaionale. Pentru comutaia tiristoarelor, de obicei se cupleaz n
paralel cu sarcina un condensator de comutare. n funcie de metoda de cuplare
a condensatorului la sarcin, aceste invertoare se numesc paralele. n figura
3.55 este prezentat schema invertorului de curent monofazat n punte paralel.
Datorit inductanei mari a filtrului de netezire, Ld, curentul de intrare al
invertorului, id (curentul sursei E), se poate considera ideal netezit. La cuplarea
lui T1 i T4, cu ajutorul impulsurilor de la sistemul de comand, se formeaz
circuitul de trecere a curentului Ed Id T1 RS T4 Ed. Sensul curentului n
diagonalele punii este reprezentat n figur. La cuplarea lui T2 i T3, curentul
i schimb sensul. Datorit comutrii periodice asigurate de tiristoare, curentul
de intrare se transform n diagonalele punii n curent alternativ de form
dreptunghiular (figura 6.9.b).
n cazul sarcinii active, datorit constanei curentului i = Id, tensiunea
pe condensator, uC = uS se modific exponenial cu constanta de timp = RSC
i, la sfritul intervalului, cnd sunt deschise tiristoarele T1 i T4, are
polaritatea artat n figura 3.55.a. n momentul t2, semnalul de comand se
aplic la electrozii de comand ai lui T2 i T3. La deschiderea acestora,
condensatorul de comutare C este cuplat n paralel pe ambele tiristoare, T1 i
T4, care anterior erau n conducie. Polaritatea tensiunii pe condensator este
astfel c tensiunea pe tiristoare este n acest caz invers, curentul prin T1 i T4
se ntrerupe i tiristoarele i refac capacitile lor de blocare. Cnd t > t 3,
datorit rencrcrii condensatorului, tensiunea pe tiristoare, ua, devine din nou
146

pozitiv. Cnd t = t4 se produce din nou cuplarea lui T1 i T4 i decuplarea lui


T2 i T3.

Fig.3. 55 Schema (a) i diagramele de timp (b) la invertorul de curent


monofazat paralel n punte

n schema dat, are loc comutaia curentului cu o singur treapt, cnd


curentul de la un tiristor se transfer direct pe altul. Forma i mrimea tensiunii
de ieire a invertorului i timpul de blocare a tiristoarelor depind de regimul
invertorului, determinat de constanta de timp : cu ct este mai mare , cu att
mai lent variaz tensiunea pe sarcin, legea de variaie se apropie de cea liniar,
iar forma tensiunii uS se apropie de cea triunghiular. Tensiunea pe diagonalele
punii, ud este egal n orice moment de timp cu tensiunea pe tiristorul nchis.
Astfel, cnd tiristorul T2 este deschis, ud = ua1 (ua1 fiind tensiunea pe tiristorul
T1), iar cnd este deschis tiristorul T4, ud = ua3.
Valoarea medie a tensiunii ud, cnd se neglijeaz pierderile n inductan,
este egal cu E. Avnd n vedere c ud = ua:

147

1
Ed = u a d
0

(3. 38)

Fig.3. 56 Caracteristica extern (a) schemele echivalente (b, d) i diagramele de


timp (c, e) ale invertorul de curent

La creterea lui (spre exemplu la creterea lui RS) are loc creterea
palierului negativ al curbei ua (curba haurat din figura 3.55.b) i, datorit
faptului c Ed = ct., se observ creterea palierului pozitiv i mrirea tensiunii
pe sarcin, uS. Din aceast cauz, caracteristica extern, uS = f(IS), pe intervalul
respectiv este rapid descresctoare (figura 3.56.a).
S exprimm puterea n sarcin prin puterea cedat de sursa E, avnd n
vedere randamentul invertorului :
USI(1)cos = IdE
(3. 39)
unde I(1) este valoarea efectiv a primei armonici a curentului dreptunghiular i
(figura 3.55.b) i este unghiul de defazare dintre curentul i i tensiunea uS.
Din descompunerea curentului i n serie Fourier se obine I(1) = 0,9Id. nlocuind
aceast valoare n relaia (3.39), se obine:
E
US = 1,1 d
(3. 40)
cos
Unghiul poate fi determinat din schema echivalent din figura 3.56.b,
care arat circuitul prin care trece curentul I(1). Diagrama fazorial pentru
schema echivalent este reprezentat n figura 3.56.c.
Se introduce coeficientul de sarcin, B, care este egal cu raportul dintre
curentul n sarcin, IS i curentul prin condensatorul C:
148

1
I
R
1
1

B= S S
(3. 41)
1
IC
R S C
Xc
Din diagrama vectorial din figura 3.56.c, rezult:
IS
1
cos =
(3. 42)

1
I S2 I C2
1 2
B
Se introduce relaia (3.42) n (3.40) i se obine ecuaia caracteristicii
externe (figura 3.56.a):
1
US = 1,1Ed 1 2
(3. 43)
B
Pe baza valorii date a lui B, se poate determina tensiunea relativ de
U

ieire, S i durata de timp pentru decuplarea tiristoarelor, tB = . n acelai


E

fel, se poate construi caracteristica extern a invertorului de curent pentru


sarcina activ-inductiv, n care scop se construiete schema echivalent, se
determin unghiul i valoarea cos se introduce n relaia (3.40). Se pstreaz
caracterul abrupt de scdere a caracteristicii externe.
Dependena puternic a tensiunii pe sarcin n funcie de caracteristicile
acesteia reprezint o deficien a invertoarelor de curent. Pentru stabilizarea
tensiunii pe sarcin se folosesc diferite soluii, dintre care mai rspndit este
schema invertorului de curent cu aa-numitul regulator inductiv-tiristor (figura
3.57).

Fig.3. 57 Invertor de curent cu regulator inductiv-tiristor

149

n schema invertorului de curent monofazat n punte paralel se introduce


suplimentar convertorul reglabil de tensiune variabil cu sarcin inductiv
(elementele T5, T6, L). Curentul consumat de acesta are ntotdeauna prima
armonic defazat fa de tensiune cu /2. n conformitate cu relaia (3.23),
amplitudinea primei armonici a curentului depinde de unghiul de comand ,
care este egal cu defazarea impulsurilor de comand pe T5 (sau T6) n raport cu
momentul de schimbare a polaritii tensiunii uS. Din aceast cauz, schema
respectiv a convertorului de tensiune variabil poate fi considerat ca o
inductan comandat, cu valoarea determinat de relaia ce urmeaz relaiei
(3.23).
n figura 3.56.d este prezentat schema echivalent iar n figura 3.56.e
diagrama fazorial a invertorului din figura 3.57. Pe diagrama fazorial apare
componenta suplimentar a curentului IL. Prin reglarea acestuia, datorit
modificrii unghiului cu ajutorul sistemului de comand, se stabilete
curentul IL pentru care unghiul de defazare, , dintre curentul i i tensiunea de
sarcin uS rmne neschimbat; n acest fel, n conformitate cu expresia (3.40),
tensiunea pe sarcin va fi constant indiferent de modificarea curentului n
sarcin. Comparnd diagramele fazoriale din figurile 3.56.c i 3.56.e, se
constat c, la cea de-a doua, curentul de sarcin scade (RS crete), dar datorit
curentului IL, unghiul rmne constant i US = ct., ceea ce este reprezentat cu
linie punctat n figura 3.56.a. La scderea curentului n sarcin, unghiul de
comand crete i Lech se micoreaz.

Fig.3. 58 Invertor de curent trifazat n punte

La invertorul din figura 3.57 se poate stabiliza unghiul la alt nivel, de


exemplu prin mrirea sa n comparaie cu valoarea artat n diagramele din
150

figurile 3.56.c i 3.56.e. n acest caz, tensiunea de ieire a invertorului, pentru


aceeai tensiune E, va fi mai mare, ns stabilitatea sa la schimbrile
parametrilor sarcinii se va pstra. Invertoarele de curent se folosesc frecvent
pentru funcionarea n sarcin trifazat. n figura 3.58 se arat schema
invertorului de curent trifazat paralel n punte. Tiristoarele invertorului
funcioneaz n pereche, n aceeai ordine ca i la redresorul trifazat n punte.
Invertoarele de curent cu regulator inductiv-tiristor se utilizeaz frecvent
n industrie, de exemplu la agregatele de alimentare fr ntrerupere, puterea
acestora putnd atinge sute de kilowai. Forma tensiunii de ieire este apropiat
de cea sinusoidal, ceea ce uneori permite utilizarea lor fr filtre n partea de
curent alternativ. La construcia invertoarelor de curent cu frecvena de ieire
variabil apar dificulti n funcionarea la frecvene joase, pentru c, prin
micorarea frecvenei, este necesar mrirea capacitii condensatoarelor de
comutaie. Pentru evitarea acestor dificulti se elaboreaz scheme modificate
de invertoare de curent, la care comutaia curentului de la un tiristor la altul se
face n dou etape, n care scop, n schem se introduc tiristoare ajuttoare.
Soluii mai simple se asigur ns n aceste cazuri cu invertoarele de tensiune.
3.11.5. Invertoare de rezonan
Pentru formarea tensiunii variabile de frecven mai mare (0,5 10 kHz)
se folosesc invertoarele de rezonan. Domeniul de utilizare frecvent al
acestora este electrotermia, unde ele se folosesc pentru alimentarea instalaiilor
de nclzire prin inducie. Invertoarele de rezonan funcioneaz de obicei n
sarcin monofazat. Schema invertorului de rezonan monofazat n punte este
prezentat n figura 3.59.

Fig.3. 59 Invertor de rezonan cu diode inverse

n circuitul de sarcin RSLS este cuplat n serie condensatorul C, din care


cauz acest invertor se numete invertor serie. Circuitul RSLSC reprezint un

151

circuit oscilant serie de calitate superioar (n care scop RS trebuie s fie mic),
1
1
cu frecvena de rezonan: f0 =
.
2 LS C
nchiderea tiristoarelor monooperaionale la acest invertor se face la
scderea curentului la zero n circuitul oscilant. n momentul t1 (figura 3.60.a)
se aplic impulsul de comand pe T1 i T4, sensul curentului n circuitul
oscilant, iS fiind reprezentat n figur. Condensatorul C se ncarc pn la
tensiunea Um, a crei polaritate este reprezentat n figura 3.59. n momentul
t2, curentul iS, care variaz sinusoidal, scade la zero, astfel c T1 i T4 se nchid.
Apoi sensul curentului iS se inverseaz, acest curent ncepe s circule n
circuitul E D4 RS LS C D1 + E i tensiunea pe condensator scade. n
intervalul t2 t3, pe T1 i T4 se aplic o tensiune invers mic, egal cu cderea
de tensiune pe diodele care conduc, D1 i D4. n acest interval are loc refacerea
proprietilor de blocare ale tiristoarelor T1 i T4. Durata intervalului t2 t3 se
alege nu mai mic dect timpul de decuplare a tiristoarelor. Apoi, n momentul
t3 se aplic impulsurile de comand pe T2 i T3 i curentul se transfer de pe
diode pe aceste tiristoare. n intervalul t3 t4 curentul iS circul pe circuitul +E
T3 RS LS C T2 E, tensiunea pe condensator i modific sensul i
atinge maximul n momentul t4, cnd curentul iS se micoreaz pn la zero. n
intervalul t4 t5 (cu durata nu mai mic dect tB) curentul iS trece prin D2 i D3
i, n continuare, procesul se repet. Puterea cea mai mare se disip n sarcin
la frecvena de comand a invertorului, f, care este cea mai apropiat de
frecvena de rezonan a circuitului f0, ns trebuie ca ntotdeauna s se respecte
inegalitatea f0 > f, pentru c, dac durata intervalelor t2 t3 i t4 t5 va fi mai
mic dect cea minim, timpul destinat pentru decuplarea tiristoarelor va fi
insuficient pentru blocarea ferm a acestora. La micorarea frecvenei cu care
se aplic impulsurile de comand pe tiristoare, puterea care se transmite n
sarcin se micoreaz; la micorarea n continuare a frecvenei f intervalele de
trecere a curentului prin circuit alterneaz cu pauzele fr curent (regim de
curent intermitent). Diagramele de timp n acest regim sunt artate n figura
3.60.b.
n intervalul t1 t2 funcioneaz tiristoarele T1 i T4 (sensul curentului iS
este artat n figura 3.59) i puterea din sursa de alimentare se transmite n
sarcin. n momentul t2, curentul n circuit i schimb sensul i curentul trece
prin circuitul E D4 RS LS C D1 + E. n acest caz, sarcina ntoarce o
parte din energia acumulat n elementele reactive, n sursa de alimentare.
Tensiunea pe condensator, uC, se micoreaz, ns, datorit pierderilor din
circuit, aceasta nu ajunge la valoarea nul. n momentul t2 curentul prin diod
scade la zero. Pauza fr curent se prelungete pn cnd, n momentul t 3, nu
se aplic impulsurile de comand pe tiristoarele T2 i T3. Pe durata pauzei fr
152

curent, tensiunea pe condensator este constant. n momentul t3 ncepe s se


formeze a doua semiperioad a frecvenei de ieire a invertorului.

Fig.3. 60 Diagramele de timp ale curenilor i tensiunilor n invertorul rezonant n


regim de curent fr ntrerupere (a) i cu ntrerupere (b)

n acest regim de curent cu ntrerupere, puterea n sarcin este mai mic,


iar curbele de curent i tensiune pe sarcin difer substanial de o sinusoid,
mai mult dect n regim fr ntrerupere. Din aceast cauz, regimul de curent
cu ntrerupere se utilizeaz rar. Pentru a face ca variaia tensiunii pe sarcin s
se apropie de o sinusoid, uneori se cupleaz n paralel cu sarcina un
condensator (invertor serie-paralel).

153

4.

AMPLIFICARE CU TRANZISTOARE

4.1. Caracteristica de transfer a etajului de amplificare


Definit n modul cel mai general, un amplificator este un cuadripol la
intrarea cruia dac se aplic un semnal variabil, la ieire se obine un semnal
de aceeai form i frecven dar cu amplitudine mai mare. Este evident c
sporul de putere la ieirea amplificatorului este obinut datorit unei surse de
energie electric cu care este prevzut acesta.
Amplificatoarele se pot realiza cu elemente amplificatoare
semiconductoare adic cu tranzistoare bipolare i cu efect de cmp. n acelai
scop se folosesc i circuitele integrate amplificatoare care ncorporeaz
totalitatea componentelor de baz ale schemei electronice. Celula de baz cea
mai simpl care realizeaz amplificarea se numete etaj amplificator.
Semnalele electrice la intrarea amplificatoarelor pot fi variabile
continuu, n mod particular sub forma oscilaiilor armonice, sau sub forma
impulsurilor de polaritate diferit. Se poate considera c, n regimuri
stabilizate, majoritatea mrimilor fizice sunt constante sau lent variabile, cum
sunt, de exemplu, tensiunea i frecvena reelei. n regimuri tranzitorii i
ndeosebi n caz de avarie, acelai mrimi se pot modifica rapid.
Amplificatoarele care pot funciona att cu semnale variabile ct i cu semnale
continue sau lent variabile sunt cele mai universale i deci i cele mai des
utilizate n practic. Aceste amplificatoare se numesc de curent continuu, cu
toate c ele amplific i componenta alternativ i, n marea lor majoritate, ele
sunt amplificatoare de tensiune i nu de curent.

Fig. 4. 1 Schema de cuplare cu emitor comun a tranzistorului bipolar

n figura 4.1 este prezentat schema amplificatorului cu emitor comun


cu tranzistor de tipul n-p-n. Semnalul de intrare se aplic n baza tranzistorului
sub forma tensiunii uBE i curentului iB. Relaia uCE = f(uBE) se numete
caracteristica de transfer a etajului. Prin creterea lui uBE, crete curentul iB,
154

precum i curentul iC, conform relaiei (1.4), astfel: iC = (+1)ICB0 + iB. Ca


rezultat, crete cderea de tensiune pe rezistorul RC i se micoreaz tensiunea
uCE = EC iCR. Cnd uCE ajunge la valoarea UCES, creterea n continuare lui
uBE nu mai provoac modificarea tensiunii uCE i a curentului iC, care trece prin
rezistena de sarcin RC (figura 4.2). n acest regim, pe rezistena de sarcin RC
se aplic tensiunea EC UCES i, din acest motiv, curentul de colector este egal
cu iC = ICS =

E C U CES
RC

Fig. 4. 2 Funcia de transfer a amplificatorului cu tranzistor n montaj


emitor comun

Caracteristica de transfer a etajului arat c prin variaia tensiunii uBE


sau a curentului iB n circuitul de mic putere a sursei se semnal se pot modifica
valorile curentului iC i tensiunii uCE din circuitul sursei EC de putere mai mare.
Tensiunea uCE poate varia numai n limitele: UCES uCE EC, iar curentul iC n
limitele: ICB0 iC

E C U CES
RC

care corespunde zonei a II-a pe caracteristica de

transfer din figura 4.2. Pentru valori negative ale lui uBE i n zona I a
caracteristicii de transfer, prin tranzistor trece numai curentul mic necomandat
al jonciunii baz-colector, iar n zona a III-a, uCE = UCES, iar tranzistorul i
pierde calitatea de amplificator. De asemenea, se constat din seciunea a II-a
c, prin creterea lui uBE, se micoreaz uCE. Amplificatorul la care variaia
semnalului la ieire este de sens opus variaiei semnalului de la intrare se
numete amplificator inversor. Regimurile de funcionare ale etajului de
amplificare se numesc clase de amplificare i pot fi analizate pe baza
caracteristicii de transfer. n figura 4.2, este reprezentat semnalul de intrare
uint(t) de form oarecare cu ambele polariti i tensiunea uCE(t) n diferite clase
de amplificare. Clasa de amplificare B este caracterizat de egalitatea uBE = uint.
Datorit neliniaritii caracteristicii de transfer a etajului n clasa B, la ieirea
155

acestuia se transmite numai alternana pozitiv a semnalului, pentru uint > 0.


Aceast clas de amplificare se folosete atunci cnd este necesar
amplificarea impulsurilor de o singur polaritate. n cazul aplicrii la intrare a
unor semnale cu ambele alternane, forma acestuia la ieire este distorsionat,
iar o parte a informaiei coninute n semnal este pierdut definitiv.
n cazul funcionrii etajului n clasa de amplificare A, la intrarea
acestuia se aplic, pe lng semnalul uint(t) i o tensiune constant, care
deplaseaz punctul de lucru pe caracteristica de transfer, astfel nct:
uBE = uint + Ud.
Datorit tensiunii de deplasare, Ud, semnalul de intrare se poate
reproduce n totalitate, fr distorsiuni de form, pentru c valoarea lui uBE
corespunde n mod continuu zonei a II-a pe caracteristica de transfer. Regimul
de repaus corespunde regimului de funcionare a amplificatorului cnd acestuia
i se aplic tensiunea sursei de alimentare i tensiunea de deplasare, dar nu i o
tensiune uint. n acest regim, uBE = UBEr i iB = IBr, iar uCE = UCEr. Cnd se aplic
tensiunea uint negativ sau pozitiv, se micoreaz sau respectiv se mresc
curenii iB i iC, precum i cderea de tensiune pe RC n mod corespunztor,
astfel nct uCE = UCer + UCE, unde UCE = uie reprezint efectul de
amplificare.
n regimul de funcionare cu semnal mare la intrare, modificarea
tensiunii de intrare cuprinde toate seciunile caracteristicii de transfer (I III),
iar forma semnalului transmis este distorsionat i limitat n amplitudine.
Asemenea situaii sunt specifice n tehnica impulsurilor, unde limitarea
amplitudinii impulsurilor dreptunghiulare nu are urmri semnificative.
Alegerea clasei de amplificare i a regimului de repaus determin nu numai
forma semnalului transmis, dar i pierderile de putere care produc nclzirea
T
1
tranzistorului, astfel: PC = u CE i C dt . Pe diagrama din figura 4.2, cu linie
t0
punctat este reprezentat variaia puterii P n regim de repaus n funcie de
tensiunea de deplasare, UBer. Se constat c, alegerea valorii lui UBer n mijlocul
seciunii a II-a pe caracteristica de transfer corespunde pierderilor maxime de
putere n tranzistor.

4.2. Regimul de repaus la amplificatorul cu tranzistor


n montaj cu emitor comun
Pentru analiz, s considerm c etajul cu emitor comun funcioneaz
n clasa de amplificare A. Schema din figura 4.3 conine suplimentar fa de
schema din figura 4.1 rezistorul de sarcin din colector RS, la bornele cruia se
culege tensiunea de ieire, uie, iar circuitul de intrare este reprezentat n mod
convenional sub forma cuplrii n serie a dou surse de tensiune, uint i Ud.
156

Fig. 4. 3 Amplificator n montaj emitor comun

n figura 4.4 se prezint diagramele de timp ale tensiunilor i curenilor


pentru etajul de amplificare cu emitor comun. Cnd uint = 0, n regimul de
repaus, prin tranzistor circul curenii continui IBr, ICr, IEr, iar pe baza i pe
colectorul tranzistorului se aplic tensiunile continue UBEr i UCEr 0. Pentru
c, n regim de repaus, uie = 0, n circuitul sarcinii RS este necesar
introducerea unei surse de tensiune continu pentru compensare: Ucomp = UCEr.
La aplicarea tensiunii de intrare, curenii i tensiunile n tranzistor se
modific cu valorile UBE = uint, IB, IC, IE, UCE = Uie, care sunt
reprezentate n figura 4.4, pentru cazul cnd semnalul la intrare are o form
oarecare. Valorile instantanee ale curenilor i tensiunilor n tranzistor se pot
determina cu ajutorul metodei grafice, care reprezint una dintre metodele
eficiente de analiz a circuitelor neliniare. Caracteristica de ieire a schemei
din figura 4.3, care conine un singur element neliniar tranzistorul, se exprim
astfel:
iC = f(uCE) pentru IB = ct.
(4. 1)
Dac se consider c n circuitul de sarcin se cupleaz sursa de
tensiune de compensare, Ucomp = UCEr, atunci, n regim de repaus, curentul de
colector este:
E U CEr
iC = C
(4. 2)
RC
Pentru rezolvarea sistemului de ecuaii compus din (4.1) i (4.2), se
folosete metoda grafic, n care scop, pe familia caracteristicilor de ieire ale
tranzistorului (figura 4.5), se traseaz dreapta de sarcin n curent continuu,
descris de ecuaia (4.2). Astfel, se obine c, pentru iC = 0, uCE = EC iar pentru
157

EC
. Prin aceste dou puncte stabilite se traseaz dreapta de
RC
sarcin. Intersecia dreptei de sarcin n curent continuu cu caracteristica de
ieire a tranzistorului pentru iB = IBr va corespunde soluiei sistemului de
ecuaii, respectiv punctului de repaus, numit i punct static de funcionare,
O(UCEr, ICr) (figura 4.5). n general, condiia Ucomp = UCEr nu se ndeplinete i
curentul de colector se mparte, trecnd i prin RS. n acest caz, partea schemei
compuse din EC, RC, Ucomp, RS se nlocuiete cu rezistena i tensiunea
echivalente, Rechiv i Eechiv, care se determin pe baza teoremei generatorului
echivalent astfel:
R C R S U comp E C
R CRS

Rechiv =
; Eechiv =
RC RS
R C R S R S
R C
Valorile lui Rechiv i Eechiv se introduc n locul lui RC i respectiv EC n
ecuaia (4.2) i, pe aceast baz, se construiete dreapta de sarcin n curent
continuu.

uCE = 0, iC =

Analiza grafic a etajului n prezena semnalului la intrare se face n


mod analog. n acest scop, se urmrete circuitul de trecere a curentului IC.
Acest curent poate trece prin RC i EC, precum i prin Ucomp i RS. Avnd n
vedere c rezistena surselor de tensiune continu la variaia curentului I,
adic rezistena acestora n componenta alternativ a curentului este egal cu
0, se obine:
Fig. 4. 4 Diagramele tensiunilor i curenilor n amplificatorul n montaj
emitor comun

158

RC RS
(4. 3)
RC RS
n continuare, se rezolv sistemul ecuaiilor (4.1) i (4.3), n care scop,
pe familia caracteristicilor de ieire ale tranzistorului (figura 4.5), se traseaz
dreapta de sarcin n curent alternativ, AOB, prin punctul de repaus O, n
R CRS
concordan cu relaia (4.3). Pentru c RC >
, dreapta AOB este mai
RC RS
nclinat dect dreapta de sarcin n curent continuu.

IC = U CE

Fig. 4. 5 Calculul grafic al etajului de amplificare cu tranzistor n montaj


emitor comun; dreapta de sarcin i influena variaiei de temperatur asupra
punctului de repaus

Prin creterea lui iB, punctul de lucru al etajului, determinat de valorile


lui uCE i iC, se deplaseaz n sus pe dreapta OA, curentul iC crete, iar tensiunea
uCE scade. Prin micorarea curentului bazei, punctul de lucru se deplaseaz pe
dreapta OB, curentul iC scade, iar tensiunea uCE crete. Dreapta AOB reprezint
traiectoria punctului de lucru a etajului.
Metoda grafic de analiz permite studierea neliniaritii
caracteristicilor tranzistorului i analiza aciunii semnalelor oarecare n orice
clas de amplificare. Metoda grafic este totui greoaie i nu permite alegerea
parametrilor elementelor constitutive ale etajului pe baza condiiilor iniiale
date. Calitatea esenial a metodei grafice de analiz const n aceea c ofer o
reprezentare concludent asupra funcionrii etajului ca schem cu elemente
neliniare.
159

Trebuie de remarcat faptul c, prin creterea temperaturii, crete


valoarea lui ICr iar caracteristica de ieire se deplaseaz n sus prin meninerea
egalitii IB = IBr, aa cum se vede n figura 4.5 (reprezentare cu linie
ntrerupt). Punctul de repaus se deplaseaz n sus pe linia de sarcin n curent
continuu din punctul O n O, ceea ce face ca modificrile de semnal s ias
din zona a II-a a caracteristicii de transfer (figura 4.2), iar forma curbei
semnalului s fie distorsionat (curba uie n cazul nclzirii n figura 4.5).
Datorit acestui fapt, la amplificatoarele cu tranzistoare este necesar
stabilizarea punctului de repaus; n mod practic nu se folosesc etaje cu
tranzistoare fr masuri corespunztoare de stabilizare a punctului de repaus.
Aceast stabilizare este de asemenea necesar i pentru prevenirea situaiilor
n care, prin nlocuirea tranzistoarelor, se modific de regul regimurile de
lucru, datorit faptului c marja de variaie a caracteristicilor tranzistoarelor
este destul de mare n jurul datelor de catalog.

4.3. Reacia negativ i stabilizarea regimului de


repaus
Pentru stabilizarea regimului de repaus se introduce o legtur invers
(reacie), care const n transmiterea informaiei sau energiei de la ieirea
etajului sau sistemului la intrarea acestuia. Cu ajutorul legturii inverse
(reaciei) se pot obine scheme noi, cu caliti deosebite. Teoria legturilor
inverse constituie baza teoriei reglrii automate. Semnalul de reacie depinde
de unul din parametrii de ieire ai sistemului: tensiune, curent, frecven, etc.
La intrarea sistemului are loc nsumarea semnalului de intrare i a semnalului
de reacie. Dac aceste semnale se nsumeaz astfel nct tensiunile lor se
nsumeaz algebric, atunci legtura invers se numete n serie. Dac se
nsumeaz algebric curenii, atunci legtura invers este paralel. Dac la
intrare se adun semnale de semne diferite (n opoziie de faz), reacia este
negativ, iar semnalul rezultat este mai mic dect semnalul iniial de la intrare.
n acest caz, semnalul la ieire se micoreaz, ns sporete stabilitatea mrimii
de ieire.
n cazul reaciei pozitive, la intrarea sistemului se aplic suma dintre
semnalul de intrare i semnalul de reacie. Semnalul la ieire se mrete, dar
stabilitatea parametrilor de ieire scade. Reacia pozitiv se folosete pentru
accelerarea proceselor tranzitorii, precum i n schemele generatoarelor i a
instalaiilor cu funcionare n impulsuri.
Pentru stabilizarea punctului de repaus al etajului cu emitor comun, se
introduce n scheme acestuia rezistorul RE (figura 4.3), pe care cade tensiunea
uE = iERE iCRE i care se aplic la intrarea tranzistorului astfel:
uBE = uint + Ud uE
(4. 4)
160

Tensiunea uE reprezint semnalul de reacie, care este proporional cu


curentul de ieire al tranzistorului, iE iC, adic, n cazul dat, reacia este n
curent. La intrare, se produce scderea tensiunilor, din care motiv reacia este
de tip serie i negativ. n paragraful 1.5 s-a artat c, prin nclzirea
tranzistorului, cresc i ICr, datorit crui fapt crete componenta continu a
tensiunii de reacie, UEr = IErRE ICrRE.. Conform relaiei (4.4), UBEr = Ud UEr
se micoreaz, se reduce tensiunea direct pe jonciunea emitor-baz i, ca
rezultat, se micoreaz curenii tranzistorului, IBr, ICr i I Er. Astfel, reacia
stabilizeaz curenii tranzistorului n regim de repaus, cu att mai mult cu ct
este mai mare RE, pentru c, n acest fel, crete semnalul de reacie. Stabilizarea
punctului de repaus se face ns cu pierderi. Astfel, cnd la intrarea etajului se
aplic un semnal de intrare pozitiv sau negativ uint, se mresc sau se micoreaz
curenii iE i iC, precum i cderea de tensiune pe RE, care reprezint semnalul
de reacie. Din expresia (4.4), se determin variaia de tensiune dintre baz i
emitor: UBE = uint UE
Tranzistorul se comand cu tensiunea UBE < uint, din care cauz
IB, IC i UCE devin mai mici, se micoreaz astfel i uie i amplificarea
etajului.
Pentru limitarea aciunii negative a reaciei asupra amplificrii etajului,
n practic se limiteaz tensiunea UEr la nivelul de maximum 0,1EC, chiar dac
i n acest caz aciunea reaciei este suficient de mare.
Contradicia dintre cerinele de stabilitate a punctului de repaus i cele
de obinere a unei amplificri ct mai mari se rezolv n etajul diferenial.
La alegerea punctului de repaus n clasa A este necesar eliminarea
distorsiunilor semnalului, n care scop traiectoria punctului de lucru trebuie
limitat la sectorul AOB din figura 4.5. n acest caz, puterea disipat pe
tranzistor trebuie s fie minim. Pentru ndeplinirea acestor condiii este
suficient alegerea urmtoare:
UCer = UCES + UCr + Uiesmax
(4. 5)
U ies max R C R S
ICr = ( + 1)ICB0 +
(4. 6)
RCRS
unde UCES este valoarea tensiunii care corespunde interseciei sectorului de
cretere rapid a caracteristicilor de ieire a tranzistorului (figura 1.7.a), UCr
este rezerva la deplasarea punctului de repaus O datorit nclzirii i Uie max
este amplitudinea semnalului de ieire.
Prin respectarea relaiilor (4.5) i (4.6), traiectoria punctului de lucru al
etajului nu depete domeniul uC > UCES, IC > ( + 1)ICB0, care corespunde
sectorului II pe caracteristica de transfer din figura 4.2, att pentru temperaturi
minime, ct i maxime.

161

E C U CEr U Er
. Rezistena din circuitul
RC
colectorului se determin din rezolvarea acestei ecuaii mpreun cu (4.6)
E C U CEr U Er U ies max
astfel: RC =
U
1I CB0 ies max
RS

Cnd Ucomp =UCEr, ICr =

4.4. Schema echivalent i parametrii principali ai


etajului amplificator cu tranzistor n montaj emitor
comun
Pentru calculul parametrilor de amplificare ai etajelor de amplificare se
folosete metoda bazat pe liniarizarea caracteristicilor tranzistorului.
Prin metoda liniarizrii caracteristicilor neliniare se pierd informaii
despre elementul real i despre limitrile determinate de neliniaritate. Analiza
amplificatoarelor se poate face numai pentru componentele alternative de
curent i tensiune n clasa de amplificare A. Pentru calculul componentelor
alternative, elementul de amplificare se nlocuiete cu schema liniar
echivalent. n zona n care caracteristicile de ieire sunt paralele cu abscisa
(figura 1.7.a), tranzistorul funcioneaz ca surs de curent iC, a crei variaie se
U CE
U CE
poate scrie sub forma: IC = IB +
, unde rC* =
este
rC*
I C I ct
B

rezistena dinamic de ieire a tranzistorului cu emitor comun, determinat de


panta caracteristicilor de ieire. Rezistena rC* este de ordinul a 104 .
n acest fel, circuitul de ieire, de colector al tranzistorului reprezint o
surs de curent comandat, cu rezistena intern egal cu rC*.
Circuitul de intrare, din baza tranzistorului este descris de expresia: IB
U BE
=
, unde rintE este rezistena dinamic de intrare a tranzistorului cu emitor
rint E
comun, determinat de panta caracteristicilor de intrare ale tranzistorului, aa
cum se arat n figura 1.7.b, pentru UCE > UCES. Pentru tranzistoarele de putere
mic, aceast rezisten are valoarea de ordinul 103 , iar pentru tranzistoarele
de putere mare ea este mai mic. Schema echivalent a tranzistorului n curent
alternativ este prezentat n figura 4.6.
Aceast schem are o serie de avantaje fa de alte scheme echivalente
(figura 4.7.a,b) prin faptul c parametrii ei se determin relativ uor din
caracteristicile tranzistorului, reprezentarea elementelor din schem
corespunde unitilor de msur a mrimilor respective iar formulele de calcul
sunt simple i corespund interpretrii fizice.
162

Fig. 4. 6 Schema echivalent n curent alternativ a tranzistorului cu emitor comun

n tabelul 4.1 se arat corespondena dintre parametrii schemei


echivalente din figura 4.6 i respectiv din figura 4.7.

Tabel 4.1 Corespondena dintre parametrii schemei echivalente din figura


4.6, respectiv 4.7

Fig. 4. 7 Schema echivalent n curent alternativ a tranzistorului


cu emitor comun: cu parametrii hibrizi (a); cu parametrii fizici (b)

Parametrii schemei
din figura 4.6

rint E

rC*

Parametrii corespondeni pentru alte scheme


echivalente
schema cu parametrii
schema cu parametrii
hibrizi (figura 4.7.a)
fizici (figura 4.7.b)
h11E
rB + rE( + 1)
h21E

1
rC*
h 22 E

Succesiunea de calcul pentru componentele variabile ale curenilor i


tensiunilor etajului este urmtoarea:
163

1. Se nlocuiete tranzistorul cu schema sa echivalent din figura 4.6;


2. Se nlocuiete partea linear a schemei etajului cu rezistenele echivalente
pentru curentul alternativ, avnd n vedere c sursele de tensiune constant
(EC, Ud, Ucomp) pentru componenta variabil a curentului au rezisten nul
i deci, se, pot pune n scurt circuit.
3. Se calculeaz, pe baza schemei echivalente a etajului, parametrii electrici
ai circuitului liniar, prin metodele cunoscute.
n figura 4.8.a este prezentat schema echivalent a etajului cu emitor
comun realizat pe baza figurii 4.3. La colectorul tranzistorului se cupleaz n
paralel rezistoarele RC (sursa EC prin scurtcircuiteaz n curent alternativ
punctele 1 i 2 din figura 4.3) i RS (prin scurtcircuitarea Ucomp), la emitor se
cupleaz rezistorul RE, iar ntre baz i punctele 1 i 2 se cupleaz sursa
semnalului de intrare.

Fig. 4. 8 Schema echivalent n curent alternativ a etajului cu tranzistor cu emitor


comun (a); schema echivalent generalizat a amplificatorului (b)

Pe baza schemei echivalente din figura 4.8.a, se determin parametrii ce


caracterizeaz calitile de amplificator ale etajului, fr a lua n considerare
influena lui rC*, datorit faptului c valoarea acesteia este mare:
u
1. Determinarea rezistenei de intrare Rint = int :
i int
uint = IBrint E + IERE = IB[rint E + ( + 1)RE]
pentru c IE = IB + IC = ( + 1)IB. Astfel:
Rint = rint E + ( + 1)RE
(4. 7)
Cnd RE = 0, adic atunci cnd etajul amplificator nu are stabilizare a
punctului de repaus, Rint = rint E. Valoarea lui Rint la etajele cu emitor comun de
putere mic este de ordinul a 103 .

164

2. Determinarea amplificrii n tensiune n regim de mers n gol, adic Au0 =


u ies
, se face prin exprimarea tensiunii n funcie de cureni, astfel:
u int R
S

Au0 =

I C R C
R C

I B R int rint E 1R E

(4. 8)

RC
. Valoarea lui Au0 este de ordinul a 102 la
rint E
etajele la care RC >> RE. Relaia 2.8 arat c, prin mrirea lui RE, adic prin
creterea stabilizrii punctului de repaus, amplificarea n tensiune scade mult.
3. Rezistena de ieire Ries se determin pe baza teoremei generatorului
echivalent. Aceast rezisten se msoar ntre bornele de ieire ale
amplificatorului cnd sunt decuplate toate sursele de semnal, adic sunt
ntrerupte sursele de tensiune i sursele de curent sunt scurtcircuitate. Se
consider c uint = 0; atunci, IB = 0.
Ries = RC
(4. 9)
La amplificatoarele de putere mic, Ries este de ordinul a 103 .
Cu ajutorul schemei echivalente generalizate din figura 4.8.b, se pot
determina i ceilali parametri ai etajului de amplificare cu emitor comun,
parametri derivai din Au0, Rint i Ries. Se consider c generatorul de semnal
Eg are rezistena intern Rg. Amplificarea n tensiune a etajului cnd RS 0 se
determin astfel:
u
R int
RS
A u 0 int ies
Au = ies A u 0
(4. 10)
Eg
R S R g R S R ies
Cnd RE = 0, Au0 =

unde: int i ies sunt coeficieni care iau n considerare pierderea de semnal n
circuitul de intrare pe rezistena Rg i respectiv n circuitul de ieire pe
rezistena Ries. ntotdeauna deci: Au < Au0. Amplificarea etajului n curent se
determin cu relaia:
i
R int
Ai = ies A u 0
(4. 11)
i int
R S R ies
Avnd n vedere c, la etajul de amplificare cu emitor comun, Au0 > 1,
atunci Ai > 1.
Amplificarea n putere este:
P
AP = ies A u A i >> 1
(4. 12)
Pint
Pentru obinerea amplificrii maxime n tensiune este necesar ca Rint >> Rg i
Ries << Rg. La etajele cu emitor comun este dificil ndeplinirea acestor
condiii. Astfel, dac se consider, de exemplu, c 50, rintE = RS = 1 k, RC
= Rg = 2 k, R = 100 din expresia (4.7) se determin c Rint = 6 k. Din
165

expresia (4.8) se determin c Au0 = 16 iar din expresia (4.10) c Au = 4. Se


constat c, datorit raporturilor RintRg i RSRies diferite de valoarea optim,
amplificarea scade de patru ori.

4.5. Tipuri de conexiuni i deriva nulului n


amplificatoarele de curent continuu
La etajele amplificatoare ce funcioneaz n clasa A, la intrare se aplic
tensiunea de deriv i tensiunea de semnal. La colectorul tranzistorului se
culege tensiunea de ieire i componenta continu, Ucr, pentru compensarea
creia se poate introduce tensiunea de compensare. Schema din figura 4.3 se
poate realiza prin utilizarea surselor Ud i Ucomp sub forma unor elemente
galvanice independente. n practic ns ,pentru simplificare, se folosete
schema din figura 4.9.a, n care tensiunea de deplasare Ud i Ucomp se formeaz
cu ajutorul divizoarelor R1-R2 i R3-R4, cuplate la sursa comun de alimentare,
EC1. Deficiena schemei din figura 4.9.a const n lipsa punctului comun pentru
sursa uint i pentru sarcina RS, ceea ce reduce posibilitatea de utilizare a
schemei respective. Aceast situaie poate fi eliminat la amplificatoarele care
folosesc surse duble de alimentare. Schema de cuplare a sursei de semnal la un
asemenea amplificator, care a cptat o utilizare mare, este cea din figura 4.9.b.
Pentru obinerea tensiunii de deplasare, se utilizeaz sursa de tensiune EC2,
la care se cupleaz circuitul de emitor al etajului, care conine rezistena R E.
Sursa de semnal se cupleaz direct ntre baz i conductorul comun pus la
mas.

Fig. 4. 9 Diferite metode de realizare a amplificatorului de curent continuu

Toate metodele de cuplare a sursei de semnal la amplificatorul de


curent continuu, analizate conform schemelor din figurile 4.3, 4.9.a i 4.9.b au
166

o deficien comun, care const n faptul c, prin sursa de semnal, trece


curentul de repaus al bazei. n cazul cnd sursa de semnal nu permite acest
lucru, este necesar utilizarea n etajul de amplificare a unui tranzistor cu efect
de cmp.
Cuplarea sarcinii n schemele cu dou surse de alimentare se poate face
conform figurii 4.9.b. Tensiunea Ucr este compensat de tensiunea pe rezistorul
R1 al divizorului de tensiune R1-R 2. n regim de repaus, tensiunea la ieire este:
R1
uies = Ucr (Ucr + EC2)
R1 R 2
Pentru c, n regim de repaus, se urmrete obinerea valorii uies = 0,
U cr
R
este necesar ca:
1
E C2
R2
Cnd se aplic semnalul util la intrare, uint, o parte din acesta se pierde
ns pe divizorul compus din rezistena R1 i rezistena R2RS. Factorul de
R 2 RS
transfer pentru acest divizor este egal cu: =
,
R1 R 2 R S
Legtura amplificatorului de curent continuu cu sursa de semnal i cu
sarcina reprezint o soluie de compromis care, de regul, se rezolv n
componena circuitelor integrate.
O caracteristic important a funcionrii amplificatoarelor de curent
continuu const n modificarea ntmpltoare a semnalului la ieire fr
existena vreunei modificri a semnalului la intrare (uint = 0), aa-numita
deriv a nulului. Apariia derivei poate fi provocat de cauze multiple, printre
care sunt: instabilitatea surselor de alimentare a amplificatoarelor i, n special,
modificarea parametrilor dispozitivelor semiconductoare i a altor elemente
din schem ca rezultat al schimbrii temperaturii i mbtrnirii acestor
elemente. Astfel, de exemplu, n schema din figura 4.9.a, prin creterea
tensiunii sursei de alimentare EC1 cu E, aceast modificare va fi transmis
prin divizorul R1-R2 n baza tranzistorului, provocnd creterea curentului n
baza acestuia i reducerea potenialului colectorului. Dat fiind c, n schema
cu emitor comun, Au >> 1, aceast modificare, UC, poate fi mult mai mare
dect E. n acest fel, pe sarcin apare modificarea negativ a tensiunii de
ieire, care reprezint semnalul de deriv. Valoarea maxim a tensiunii la
ieirea amplificatorului provocat de deriva nulului se noteaz cu Udr-res-M.
U
Valoarea Udr = dr ies M , unde Au reprezint amplificarea etajului, se
Au
numete semnalul de deriv raportat la intrare i reprezint o mrime lent
variabil. Este necesar ca n funcionarea amplificatorului s se asigure
condiia ca Uint >> Udr, pentru c, n caz contrar, la ieirea etajului, semnalul
util nu mai poate fi distins de tensiunea de deriv. La amplificatoarele de curent
167

continuu se urmrete eliminarea influenei negative a derivei. Se consider


neeficient stabilizarea surselor de alimentare, a regimurilor de funcionare i
a temperaturii, pentru c orice variaie mic a derivei este amplificat. Metoda
eficient de reducere a derivei const n utilizarea etajelor realizate pe
principiul punilor echilibrate, dintre care o larg folosire a cptat n practic,
etajul diferenial.

4.6. Amplificatorul diferenial


Dup cum s-a artat, utilizarea etajelor cu emitor comun este dificil
pentru c stabilizarea regimului de repaus cu ajutorul rezistenei RE este nsoit
de reducerea substanial a amplificrii etajului. De asemenea, cuplarea
etajelor de amplificare este nsoit de micorarea amplificrii datorit
pierderilor pe elementele rezistive (figura 4.9.b) pentru eliminarea scderii
amplificrii fiind necesar utilizarea unei scheme cu surs de alimentare
complex i costisitoare, totui n condiiile de existen a derivei nulului.

Fig. 4. 10 Etaj simetric diferenial

Dificultile artate pot fi substanial reduse n etajul diferenial, a crui


schem n forma cea mai simpl este prezentat n figura 4.10.
Tranzistoarele T1, T2 i rezistoarele RC1 i RC2 formeaz o punte pe una
din diagonalele creia se cupleaz sursele de alimentare +EC1 i EC2, iar pe
cealalt diagonal cupleaz sarcina. Etajul diferenial se mai numete i etaj
paralel. Parametrii superiori se pot ns obine n condiiile asigurrii simetriei
nalte a punii. n etajul simetric, RC1 = RC2 = RC iar tranzistoarele trebuie s fie
identice, condiie care se poate respecta prin realizarea tranzistoarelor pe un
singur cristal i cu aceeai tehnologie, motiv pentru care etajele difereniale se
168

utilizeaz n prezent numai sub forma sau n componena circuitelor integrate.


Regimul de repaus corespunde situaiei cnd uint1 = uint2 = 0. Tensiunea de
deplasare la ambele tranzistoare este aceeai: UBEr1 = UBEr2 = UE iar UEr =
EC + ( IEr1 + IEr2)RE < 0.
Datorit faptului c tensiunile pozitive de deplasare pe bazele
tranzistoarelor sunt egale, curenii vor fi egali:
IBr1 = IBr2, ICr1 = ICr2 i IEr1 = IEr2.
Curenii de colector dau natere la cderi de tensiune pe rezistoarele
RC1 i RC2, din care motiv:
UCEr1 = UCEr2 = EC1 ICr1RC1 UEr = EC ICr2RC2 UEr.
La ieirea etajului, uies = UCE2 = UCE1 = 0. n acest etaj se realizeaz
stabilizarea regimului de repaus. Dac prin nclzire ICr1 i ICr2 cresc, atunci
crete i curentul IEr1 + IEr2, care trece prin rezistena RE iar tensiunea UEr crete,
UEr > 0. Tensiunea UBer1 = UBer2 = UEr se micoreaz, jonciunile bazemitor ale tranzistoarelor vor permite trecerea unui curent mai mic i, ca
rezultat, curenii de colector ICr1 i Icr2 se vor stabiliza.
Tensiunea UEr reprezint semnalul de reacie care stabilizeaz
curentul total (IEr1+IEr2). La etajul diferenial, RE este mare, datorit crui fapt
stabilizarea punctului de repaus se face cu mare precizie, astfel nct se poate
considera c IEr1 + IEr2 = ct. adic prin rezistorul RE se transmite n schema
etajului curent stabilizat. Funcionarea etajului nu se modific dac se
nlocuiete RE cu o surs corespunztoare de curent (IEr1 + IEr2).
Pentru analiza derivei nulului, se consider c sursa de alimentare este
instabil i c EC se modific prin cretere, astfel nct se mrete tensiunea pe
colectoare cu valoarea UCE1 = UCE2. n acest caz, deriva nulului este zero,
pentru c Uies = 0.
Dac prin nclzire se mrete curentul de colector, IC1 = IC2, pentru
c tranzistoarele sunt identice. n acest caz, UCE1 = UCE2, Uies = 0 i deriva
nulului este de asemenea zero. n acest fel, orice modificare simetric ce apare
n schem nu produce deriva nulului. Este de remarcat faptul c, n realitate,
simetria elementelor componente ale schemei este totui relativ, astfel nct
deriva nulului nu se anuleaz complet, ns ea devine att de mic, nct
semnalul pe care l produce este mult mai mic dect semnalul de amplificat, ce
se aplic la intrarea etajului diferenial.
La analiza proprietilor de amplificare, se remarc faptul c etajul
permite cuplarea surselor de semnal n diferite moduri:
a) sursa de semnal se cupleaz ntre bazele tranzistoarelor, aa cum este
reprezentat punctat n figura 4.10. La intrarea tranzistorului T1, se aplic
uint1 = e/2. Dac e > 0. atunci, sub influena tensiunii pozitive pe baz, apare
o variaie pozitiv IB1 i IC1 = ( + 1)IB1. Creterea curentului iC1, care
trece prin RC1, micoreaz tensiunea uCE1, iar UCE1 < 0. La intrarea
tranzistorului T2 se aplic tensiunea uies2 = e/2, care produce micorarea
169

curentului n baz cu IB2 i micorarea curentului colectorului lui T2 cu


IC2 = ( + 1)IB2. Tensiunea uCE1 se mrete: UCE > 0. Pe sarcin,
tensiunea uies = UCE2 UCE1 = 2UCE2. n situaia cnd uint1 = uint2,
IE1 = IE2 i, din acest motiv, iE1 + iE2 = ct., adic semnalul de reacie
UE = 0, iar cderea de tensiune pe RE nu influeneaz asupra amplificrii.
Se poate trage concluzia c, n etaj, este eliminat contradicia dintre
necesitatea stabilizrii regimului de repaus i reducerea amplificrii,
datorit reaciei negative.
b) Sursa de semnal se cupleaz numai la intrarea lui T1: uint1 = e, iar intrarea
celui de-al doilea tranzistor se scurtcircuiteaz: uint2 = 0. Sub influena
semnalului de intrare, se modific curentul bazei cu IB1 > 0 cnd e > 0,
crete iC1 i cderea de tensiune pe RC1 la colector UCE1 < 0. Prin creterea
lui iB1, se mrete i iE1. Reacia negativ corespunztoare curentului (iE1 +
iE2) stabilizeaz acest curent, care trece prin rezistorul RE, adic iE1 + iE2 =
ct., din care cauz IE2 = IE1. n acest fel, rezult IB2 = IB1, IC2 =
IC1, UCE2 = UCE1. Pe sarcin, tensiunea de ieire este egal cu uies =
UCE2 UCE1 > 0. Astfel, prin aplicarea semnalului util numai la o intrare,
se modific tensiunile i curenii la ambele tranzistoare, datorit stabilizrii
curentului iE1 + iE2. n acelai fel, se poate analiza i situaia cnd semnalul
se aplic la intrarea tranzistorului T2: uint2 = e, iar uint1 = 0. Cnd e > 0,
UCE1 > 0, UCE2 < 0, iar pe sarcin, uies = UCE2 UCE1 < 0.

Fig. 4. 11 Schema echivalent n curent alternativ a etajului diferenial simetric

Prin aplicarea semnalului la intrarea lui T1, polaritatea semnalului de


ieire corespunde cu polaritatea celui de la intrare, motiv pentru care intrarea
lui T1 se numete intrare neinversoare (direct). n cazul aplicrii semnalului
la intrarea lui T2, polaritatea semnalului de ieire este invers celei a semnalului
de la intrare, iar intrarea respectiv se numete intrare inversoare.
170

c) la ambele intrri ale amplificatorului diferenial se pot cupla surse de


semnal independente: uint1 i uint2, n regim de amplificare liniar de clas
A. Tensiunea de ieire se poate determina prin metoda superpoziiei, pentru
fiecare dintre semnale.
Pentru aprecierea cantitativ a parametrilor de amplificare ai etajului
diferenial se utilizeaz schema echivalent n curent alternativ (figura 4.11),
construit conform metodei stabilite n paragraful 4.4. Pentru c suma
i i
curenilor iE1 i iE2 este constant, rezult c iB1 + iB2 = E1 E 2 = ct., astfel
1
nct IB2 = IB1. Variaiile curentului de intrare Iint, datorate variaiilor
tensiunii uint1 trec de la T1 la T2 circuitul lor nchizndu-se prin sursa de semnal
uint2 (figura 4.10). Pe circuitul echivalent, acest traseu este marcat cu linie
punctat. Aplicnd legea lui Ohm pentru acest circuit, se obine relaia:
u u int 2
IB1 = int1
= IB2
(4. 13)
2rint E
De aici
u u int 2
IC1 = IB1 = int1
= IC2
(4. 14)
2rint E
Cnd RS = , atunci:
UCE1 = RC1IC1 i UCE2 = RC2IC2 = UCE1
Astfel:

u ies
U CE2 U CE1
R

C
(4. 15)
u int1 u int 2
u int1 u int 2
rint E
Se confirm astfel din nou c circuitul de emitor, care servete pentru
stabilizarea regimului de repaus, nu influeneaz amplificarea etajului
diferenial. n aceste etaje, nu exist reacie n componenta alternativ.
Prin compararea relaiilor (4.15) i (4.8), se constat c ele coincid,
dac se consider c RE = 0.
Din relaia (4.13), rezult c:
u u int 2
Rint = int1
= 2rintE
(4. 16)
I int

Au0 =

unde Iint = IB1.


Comparnd relaia (4.16) cu relaia (4.7), se constat dublarea lui Rint,
datorit trecerii curentului surselor de semnal prin ambele tranzistoare. Punnd
Uint1 = 0 i Uint2 = 0, se determin Ries.
n cazul semnalelor de intrare nule, IB1 = 0 i IB2 = 0, rezistena de ieire
a etajului este:
Ries = 2RC
(4. 17)
171

n aceast situaie, rezistena de ieire crete de dou ori n comparaie


cu valoarea determinat cu relaia (4.9). Valorile obinute pentru Au0 (fr
semnal la intrare) pentru Rint i Ries se utilizeaz pentru realizarea schemei
echivalente generalizate a etajului diferenial (figura 4.8.b), la intrarea cruia
se aplic diferena semnalelor uint1 uint2. Calculul parametrilor de amplificare
ai etajului se face n continuare pe baza relaiilor 4.10 4.12.
Etajul diferenial amplific diferena semnalelor de intrare i, din
aceast cauz, semnalul la ieire este uies = Au(uint1 uint2) = 0, atunci cnd la
ambele intrri ale etajului diferenial semnalele sunt egale: uint1 = uint2. n
aceast situaie, amplificatorul funcioneaz n regim de semnale n faz.
Datorit faptului c etajul nu poate fi, n principiu, absolut simetric, n condiii
reale, la ieirea acestuia n regim de semnale n faz se obine semnal diferit de
zero: uies = Asuint, unde As este factorul de transfer pentru semnale n faz.
Capacitatea de reducere a semnalelor n faz este caracterizat de factorul: Kred,
kS
. Datorit bunei simetrii a etajelor realizate sub form integrat,
sf = 20log
Au
se obin valori Kred, sf = 80 100 dB.

Fig. 4. 12 Scheme practice pentru etajele difereniale simetric (a) i asimetric (b)

n figura 4.12.a este prezentat schema etajului diferenial realizat n


form integrat.
Rezistena de valoare mare, RE, care este dificil de realizat n
componena circuitului integrat, este nlocuit cu sursa de curent (iE1+iE2),
realizat cu tranzistorul T3. n circuitul de emitor al acestuia, se introduce o
rezisten R'E de valoare mic, ce asigur aplicarea pe jonciunea de emitor a
172

semnalului de reacie negativ. La nclzire, crete tensiunea uE = iERE, sub


influena creia curentul prin jonciunea baz-emitor a lui T3 scade. Dioda D
servete, de asemenea, pentru stabilizarea curentului. Prin creterea
temperaturii, tensiunea pe aceast diod i, ca urmare, i cea pe baza lui T3 se
reduce, micorndu-se astfel curentul prin jonciunea baz-emitor a lui T3.
Deficiena etajului diferenial const n lipsa punctului comun dintre sursele de
semnal i sarcin. Aceasta deficien este eliminat n etajul diferenial
asimetric din figura 4.12.b, la care semnalul se culege de pe colectorul lui T2.
Schema aceasta are de asemenea stabilizarea punctului de repaus, pentru c iE1
+ iE2 = ct., n condiiile cnd la aceasta nu exist reacie n componenta
alternativ, pentru c nici circuitul de emitor nu influeneaz asupra
amplificrii. n amplificatoarele cu mai multe etaje, primul etaj se realizeaz
sub forma etajului diferenial simetric, ce asigur amplificarea iniial a
semnalului, practic fr deriv. Amplificarea ulterioar se poate obine ntr-un
etaj diferenial asimetric.

4.7. Etajul amplificator cu tranzistor n montaj colector


comun
Aa cum s-a artat, etajul amplificator n montaj cu emitor comun nu
permite obinerea unei amplificri superioare n tensiune, pentru care ar trebui
ca Rint i Ries .
Datorit valorii mici a lui Rint, aceste amplificatoare consum o putere
semnificativ din sursa de alimentare. Valoarea mare a lui Ries nu permite
funcionarea etajului n sarcin cu rezisten mic, datorit pierderii semnalului
pe Ries.
n etajul de amplificare cu tranzistor n montaj colector comun se pot
obine valori mari ale lui Rint pentru valori mici ale lui Ries. Acest avantaj se
obine ns prin sacrificarea amplificrii, la aceste scheme aceasta fiind
subunitar, Au < 1. Etajul cu colector comun nu permite amplificarea n
tensiune a semnalului. Etajul se folosete numai ca etaj auxiliar, de legtur
ntre etajul cu emitor comun i sursa semnalului de mic putere (Rg este mare),
sau cu rezisten de sarcin de mic valoare. Dei rolul acestui etaj este
auxiliar, utilizarea sa este totui frecvent. Schema etajului cu colector comun
este prezentat n figura 4.13.a.
Colectorul tranzistorului este cuplat la sursa de alimentare EC. n
circuitul de emitor se introduce rezistorul RE, care formeaz reacia negativ
de stabilizare a punctului de repaus. n clasa A de amplificare, la intrare se
aplic tensiunea uint i tensiunea de deplasare, Ud. Sursa de semnal uint se leag
ntre baz i mas, iar sarcina RS ntre emitor i mas. Schema cu colector
comun se mai numete i repetor pe emitor.
173

Fig. 4. 13 Etaj amplificator cu tranzistor n montaj colector comun (a) i schema


sa echivalent n curent alternativ (b)

n regim de repaus, uint = 0; tensiunea Ud determin curentul IBr, n


circuitul emitorului apare curentul IEr, care determin o cdere de tensiune pe
RE. Este necesar ca, n regim de repaus, uies = 0, n circuitul de sarcin s se
introduc o surs de tensiune de compensare, Ucomp = UEr. n regim de repaus,
pe jonciunea baz-emitor se aplic tensiunea UBEr = Ud UEr.
Cnd semnalul de intrare uint este pozitiv sau negativ, curenii n baz
i emitor se mresc sau se micoreaz i, n mod corespunztor, se modific i
cderea de tensiune pe RE. Polaritatea semnalelor de intrare i ieire n schema
cu colector comun coincid, etajul fiind amplificator neinversor.
Pe jonciunea baz-emitor a tranzistorului se aplic tensiunea de
comand UBE = uint uies. Semnalul uies se aplic la intrare ca semnal de
reacie negativ: Ur = uies. Pentru c, ntotdeauna tensiunea UBE este pozitiv,
uies < uint, adic Au = uies/uint < 1.
Schema echivalent a etajului cu colector comun este prezentat n
figura 4.13.b. Parametrii de baz ai montajului se determin astfel:
u
1. Rezistena de intrare, Rint = int :
I int
R R
Rint = rintE + 1 E S
(4. 18)
R E RS
R ERS
Cnd
este mare, Rint atinge valori de ordinul 104 .
R E RS
u
2. Amplificarea n tensiune la mers n gol, Au0 = ies , se determin
u int
prin exprimarea tensiunilor n funcie de cureni, astfel:
1I B R E
1R E < 1 (4. 19)
I E R E

Au0 =
I B R int 0 I B rint . E 1R E rint E 1R E
174

Cum rint E << ( + 1)RE, Au0 1.


Rezistena de ieire se determin pe baza teoremei generatorului
U ies
RE
I E
echivalent; consider eg = 0. Atunci: Ries =
. Pe baza
U ies
RE
I E
I
schemei echivalente: Uies = IB(rint E + Rg) = E (rint E + Rg), de
1
unde:
R int E R g
RE
1
Ries =
(4. 20)
R int E R g
RE
1
La etajele cu colector comun, Ries este de ordinul 10 102 .
Ceilali parametri de amplificare pot fi determinai pe baza expresiilor
(4.10) (4.12). Datorit faptului c semnalul de comand n schema cu
colector comun este mic, forma semnalului transmis nu este distorsionat dect
pentru tensiuni de intrare foarte mari, cnd amplitudinea semnalului este de
(0,2 0,4)EC.

4.8. Etajul amplificator cu tranzistor cu efect de


cmp n montaj surs comun
Cele mai mari valori pentru rezistena de intrare se pot obine n etajele
cu tranzistoare cu efect de cmp, care sunt comandate n tensiune i, practic,
nu consum curent din circuitul de intrare n condiiile cnd prin sursa de
semnal nu trece componenta continu a curentului. n figura 4.14 este prezentat
amplificatorul realizat cu tranzistor de cmp MOS cu canal iniial. Tranzistorul
cu canal de tip n se cupleaz la sursa de alimentare prin rezistorul de sarcin
RD. Sarcina este astfel cuplat ntre dren i mas. Sursa tranzistorului este
legat la mas prin rezistorul RS, care se introduce n vederea realizrii reaciei
negative de stabilizare a punctului de repaus. Semnalul de intrare se cupleaz
direct ntre gril i mas. n regim de repaus, prin canalul tranzistorului trece
curentul IDr = ISr, care provoac o cdere de tensiune pe rezistorul RS, USr =
ISrRS. Tensiunea UGS = USr, adic tranzistorul cu efect de cmp funcioneaz
cu tensiune negativ mic pe gril.
n regim de repaus, pe dren exist tensiunea UDr, astfel nct pentru
asigurarea valorii nule uies = 0, n schem se introduce sursa de compensare a
tensiunii Ucomp = UDr.
175

Fig. 4. 14 Amplificator cu TEC-MOS n montaj surs comun

Cnd se aplic un semnal de intrare pozitiv sau negativ pe gril,


tensiunea uint crete sau respectiv scade, curenii ID i IS cresc i respectiv scad,
crete sau respectiv scade cderea de tensiune pe rezistorul RD i se micoreaz
sau respectiv crete tensiunea UDS, a crei variaie reprezint tensiunea de
ieire a etajului: UDS = uies. Etajul cu surs comun este amplificator inversor
i el se aseamn cu etajul cu tranzistor bipolar n montaj emitor comun.
Calculul etajului se realizeaz n mod analog celui de la amplificatoarele cu
tranzistoare bipolare.

Fig. 4. 15 Schema echivalent n curent alternativ a TEC-MOS (a) i a


amplificatorului cu TEC-MOS n montaj surs comun (b)

n figura 4.15.a este prezentat schema echivalent a tranzistorului cu


efect de cmp. Caracteristica de ieire a tranzistoarelor cu efect de cmp arat
c acestea reprezint surse comandate de curent, cu rezisten intern Ri foarte
mare.
U DS
I D
ID = SUGS +
, unde S =
este parametrul ce caracterizeaz
Ri
U GS U ct
DS

influena tensiunii de intrare asupra curentului de ieire, numit panta


176

caracteristicii de transfer. Valoarea lui S este de ordinul a civa mA/V. R i =


U DS
este rezistena dinamic de ieire a tranzistorului, determinat de
I D U ct
GS

nclinarea poriunii liniare a caracteristicii de ieire a tranzistorului. Circuitul


de intrare al tranzistorului cu efect de cmp, ntre gril i surs, nu permite
trecerea curentului i, n schema echivalent, este reprezentat de rezistorul rint
de valoare foarte mare de ordinul 106 . Comparnd schemele echivalente ale
tranzistorului cu efect de cmp i bipolar, din figurile 4.6 i 4.15.a, se constat
c ele se deosebesc prin faptul c, la tranzistorul cu efect de cmp, sursa
curentului de ieire este comandat n tensiune i nu n curent, ca la tranzistorul
bipolar, precum i prin rint >> rint E.
Folosind metodele prezentate n paragraful 4.4, se construiete schema
echivalent a etajului cu surs comun, din figura 4.15.b. Parametrii de intrare
se determin astfel:
u
1. Rezistena de intrare rint = int la etajele pe tranzistoare de cmp este
i int
6
foarte mare de ordinul 10 .
u
2. Amplificarea n tensiune n regim de mers n gol, Au0 = ies :
u int
S U GS R D
I D R D
S RD

Au0 =
(4. 21)
U GS I S R S U GS S U GS R S 1 S R S
Cnd RS = 0, Au0 = SRD, dar lipsete stabilizarea punctului de repaus. n
etajul cu surs comun se poate obine Au0 >> 1, dac se asigur condiiile SRD
>> 1 i RD >> RS.
3. Rezistena de ieire, Ries se determin prin anularea uint = 0. Rezistena
de ieire n acest caz este Ries = RD. Rezistena de ieire a etajului cu
surs comun este destul de mare, de ordinul 103 .
n etajele realizate cu tranzistoare cu efect de cmp de alte tipuri, cum
sunt cele cu poart jonciune sau cu canal indus, se introduce n circuitul de
intrare tensiunea de deplasare. Se pot realiza cu tranzistoare cu efect de cmp
etaje difereniale i etaje n montaj dren comun, numite i repetor pe surs,
care sunt analoage cu repetorul pe emitor. Se folosesc, de asemenea,
amplificatoare realizate pe baza combinrii tranzistoarelor bipolare i a celor
cu efect de cmp.

4.9. Amplificatorul operaional


Dezvoltrile n domeniul tehnologiei de fabricare a circuitelor integrate
au modificat metodele de proiectare i realizare a dispozitivelor amplificatoare.
La proiectarea acestora, se caut utilizarea circuitelor integrate, care, din punct
de vedere al eficientei economice a soluiilor tehnice utilizate, sunt mai
177

eficiente n comparaie cu soluiile de realizare a amplificatoarelor cu


componente discrete, unde se urmrete n proiectare reducerea numrului de
componente i asigurarea fiabilitii n funcionare. n prezent, se realizeaz n
producie de mas circuite integrate pentru utilizare general, ceea ce face ca
preul acestora s scad substanial. De aceea, n prezent, criteriul de producie
i valorificare consta n obinerea calitii i generalitii superioare i mai
puin n realizarea celor mai simple soluii.
Utilizarea circuitelor integrate este recomandabil chiar i n situaiile
cnd, n soluii concrete, nu este utilizat n totalitate capacitatea acestora.
Printre cele mai frecvent utilizate circuite integrate este i amplificatorul
operaional, n care sunt concentrate calitile fundamentale ale schemelor de
amplificare. Amplificatorul operaional ideal are amplificarea n tensiune
u
foarte mare, Au = ies , rezistena de intrare foarte mare, Rint ,
u int
rezistena de ieire foarte mic, Ries 0.

Amplificatorul operaional este i amplificator de curent continuu adic


este amplificator cu spectru foarte larg, n condiiile n care deriva nulului este
foarte mic.
Amplificatorul operaional are intrare diferenial i tensiunea la ieire
este: uies = Au(uint1 uint2); cnd semnalul se aplic la intrarea neinversoare,
tensiunea la ieire este uies = Auuint1, iar cnd semnalul se aplic la intrarea
Fig. 4. 16 Reprezentarea n scheme (a) i schema bloc simplificat a
amplificatorului operaional

inversoare, la ieire uies = Auuint2. n figura 4.16.a este artat modul de


reprezentare a amplificatorului operaional (AO) n scheme, iar n figura 4.16.b
este prezentat schema bloc a acestuia. Primul etaj se realizeaz pe baza
schemei amplificatorului diferenial simetric, n care se face compensarea
maxim a derivei nulului. n al doilea etaj se folosete frecvent amplificatorul
diferenial cu ieire asimetric. Ultimul etaj se realizeaz pe schema repetorului
pe emitor (cu colector comun) ceea ce asigur o rezisten de ieire mic.
Amplificatorul operaional utilizeaz scheme mult mai complexe n raport cu
178

cele analizate, elementele suplimentare avnd rolul de cretere a valorii


rezistenei de intrare, de stabilizare suplimentar a regimului static i de
cretere a amplificrii. Aceste amplificatoare operaionale pot fi constituite
chiar din cteva zeci de tranzistoare.
Principalii parametri ai amplificatoarelor operaionale sunt: tensiunea
de alimentare Ea ( 10 15 V) i consumul de curent Icon (2 10 mA), care
permit alegerea corespunztoare a tensiunii i puterii sursei bipolare, Au
(30000 150000), Rint (> 100 k) i Ries (< 200 ), care caracterizeaz

Fig. 4. 17 Caracteristica de transfer a amplificatorului operaional

calitile de amplificare, Iint (< 1 mA), ce caracterizeaz curentul de repaus la


intrarea amplificatorului operaional n regim static, factorul de atenuare a
semnalului sinfazat, Ka sf (> 60 dB). Uneori, n datele de catalog sunt prezentai
i ali parametri, cum sunt: tensiunea limit la intrri i ntre acestea (n cazul
absenei acestor specificaii n datele de catalog, se consider c sunt egale cu
Ea). n amplificatoarele operaionale reale, regimului uies = 0 i corespunde o
tensiune diferit de zero la intrare, numit tensiune de deriv a nulului, Ud =
uint1 uint2 (< 5 mV). Tensiunea limit la ieirea amplificatorului operaional
este Uies max.
Schema echivalent generalizat a amplificatorului operaional n
curent alternativ corespunde schemei prezentate n figura 4.8.b, n cazul cnd
la intrare se aplic tensiunea uint1 uint2. n multe cazuri, n schem nu se face
reprezentarea surselor de alimentare la care se cupleaz amplificatorul
operaional.

4.10. Amplificatorul operaional neinversor cu reacie


Amplificatoarele operaionale nu pot fi folosite ns singure n
schemele de amplificare, datorit faptului c regiunea liniar AOB pe
caracteristica de transfer este limitat de valori relativ mici ale tensiunilor
u ies max
(figura 4.17). Prin creterea tensiunii de intrare n afara acestor limite,
Au
tensiunea de ieire nu se modific, cu alte cuvinte se constat distorsionarea
neliniar a semnalului.
179

Fig. 4. 18 Amplificator operaional neinversor cu reacie negativ


(a) i caracteristica sa de transfer (b)

De asemenea, amplificarea Au variaz n limite mari de la un exemplar


la altul i ea depinde de regimul de funcionare, n special de temperatura de
lucru, datorit dependenei puternice de temperatur a lui al tranzistoarelor
care compun amplificatorul operaional. Pentru mbuntirea parametrilor
dispozitivelor de amplificare cu amplificator operaional se folosete reacia.
n figura 4.18.a este reprezentat schema amplificatorului neinversor cu
amplificator operaional. De la ieirea amplificatorului operaional se culege
tensiunea pentru reacia negativ, care se aplic la intrarea inversoare a
amplificatorului operaional. n acest fel, la intrarea neinversoare a
amplificatorului operaional acioneaz tensiunea de intrare, uint, iar la intrarea
inversoare tensiunea uri. Tensiunea la ieirea amplificatorului operaional este
determinat de diferena (uint uri), iar reacia este negativ.
Amplificarea se determin pe baza schemei din figura 4.18.a. n acest
scop, se consider c RS >> Ries, Rint >> R1 i R2 >> Ries, condiii care sunt
ndeplinite n amplificatorul operaional. Tensiunea de reacie este:
R1
uri = uies
= uies

(4. 22)
R1 R 2
Tensiunea de ieire se determin de diferena tensiunilor la intrarea
amplificatorului operaional astfel:
uies = Au(uint uri) = Au(uint uies)
(4. 23)
n acest fel, amplificarea amplificatorului operaional cu reacie
negativ este:
u
Au
Auri = ies
< Au

(4. 24)
u int 1 A u
Datorit faptului c la amplificatoarele operaionale Au este foarte
mare, din expresia (4.23), pentru Au , se obine:
180

R
1 R1 R 2

1 2

(4. 25)

R1
R1
adic Auri este determinat numai de raportul rezistentelor i nu depinde de
valoarea lui Au. n acest fel, introducerea reaciei negative permite stabilizarea
amplificrii circuitului integrat. Astfel, dac Au se micoreaz, se micoreaz
i uies i uri, crete diferena acestor valori, ceea ce face ca uies s creasc,
compensnd scderea iniial a tensiunii de ieire. Tensiunea la ieirea
amplificatorului operaional uies Uies max, amplificarea circuitului integrat Au
u
, de unde rezult c uint uri = ies = 0, adic uint uri. Astfel, lund n
Au
considerare expresia (4.22), se obine expresia (4.24). n regim de amplificare
liniar, tensiunea diferenial ntre intrrile amplificatorului operaional este
foarte mic, iar aceast calitate apare n toate schemele de utilizare a
amplificatorului operaional.
Dei amplificarea schemei depinde numai de raportul rezistenelor R1
i R2, rezistena minim a lui R1 este limitat de capacitatea de sarcin a
circuitului integrat. Pe de alt parte, valoarea maxim a lui R2 este limitat,
ntruct curenii mici care trec prin rezistene de mare valoare sunt comparabili
cu cei de intrare ai amplificatorului operaional i aceast situaie amplific
influena faptului c amplificatorul operaional nu este ideal asupra funcionrii
schemei. Practic, valoarea rezistenei R2 se gsete n limitele 103 106 .
Stabilizarea amplificrii amplificatorului operaional datorit
introducerii reaciei face ca rezistena de ieire a schemei din figura 4.18.a s
fie mai mic dect rezistena de ieire a amplificatorului operaional nsui: Ries
ri << Ries, ceea ce reprezint de asemenea o calitate obinut datorit reaciei.
Rezistena de intrare a schemei din figura 4.18.a se determin cu relaia R int ri
u
= int , unde iint este curentul diferenial dintre intrrile amplificatorului
i int
u u ri
operaional: iint = int
, Rint fiind rezistena de intrare a AO. Dat fiind c
R int
uint uri 0, iint 0, iar rezistena de intrare se mrete substanial: Rint ri >>
Rint, ceea ce, de asemenea, reprezint o calitate datorat reaciei.
Rint ri = Rint(1 + Au); pentru Au , Rint ri
R ies
Ries ri =
; pentru Au , Ries ri 0
1 Au
Tensiunea de ieire a amplificatorului operaional este limitat de
valorile Uies max. n schema din figura 4.18.a, regimul de amplificare liniar
U ies max
corespunde tensiunilor de intrare limitate de valorile
. Dat fiind c
A uri

Auri =

181

Auri << Au, caracteristica de transfer a amplificatorului operaional cu reacie


negativ are un domeniu mare de amplificare liniar (figura 4.18.b).
nclinarea caracteristicilor de transfer n sectorul liniar AOB este
determinat de amplificarea Auri: linia 1 este trasat pentru Auri = 4, linia 2
pentru Auri = 10. n acest fel, introducerea reaciei negative permite lrgirea
domeniului liniar al caracteristicilor de transfer i micorarea distorsiunilor
neliniare.

Fig. 4. 19 Forma semnalelor la intrarea i ieirea AO din figura 4.18


pentru diferite valori ale amplificrii

n figura 4.19 este prezentat forma tensiunii de intrare uint, care se


aplic la intrarea AO din schema din figura 4.18.a i cea a tensiunii de ieire,
uies, pentru diferite valori ale amplificrii Auri: Auri1 < Auri2 < Auri3. Lrgirea
domeniului de amplificare liniar se obine datorit reducerii amplificrii.

4.11. Amplificatorul operaional inversor cu reacie


Schema amplificatorului operaional inversor cu reacie negativ este
prezentat n figura 4.20. Semnalul de intrare i semnalul de reacie negativ
se aplic la intrarea inversoare a amplificatorului operaional, unde are loc
nsumarea curenilor iint i iri, adic se produce reacia negativ paralel. Pentru
nsumarea curenilor este necesar eliminarea posibilitii de cuplare
nemijlocit la intrarea amplificatorului operaional a surselor de alimentare,
adic este necesar sa se asigure ca R1 0 i R2 0.

182

Fig. 4. 20 AO inversor cu reacie negativ (a) i caracteristica de transfer a


acestuia (b)

Pentru determinarea parametrilor de amplificare, se consider c sunt


satisfcute condiiile: RS >> Ries, Rint >> R1, Ries << R2, condiii care sunt
realizate n mod obinuit n amplificatorul operaional. Pentru c la circuitele
integrate: Rint , iint = iri = i.
Tensiunea diferenial dintre intrrile amplificatorului operaional, u*
este nul pe sectorul liniar al caracteristicii de transfer. Atunci:
uint = iintR1 = iR1

(4. 26)
uies = iriR2 = iR2

(4. 27)
Amplificarea schemei din figura 4.20 se determin astfel:
u
R
Auri = ies 2

(4. 28)
u int
R1
Semnul minus arat c polaritatea semnalelor la intrare i ieire este
diferit, ele fiind n opoziie de faz, motiv pentru care montajul este inversor.
Amplificarea Auri << Au, dar Auri depinde numai de raportul rezistenelor,
datorit crui fapt stabilitatea montajului este foarte mare.
u
Rezistena de intrare a AO inversor este: Rint ri = int . Folosind relaia
i int
(4.25), rezult: Rint ri = R1. Deosebirea dintre amplificatorul operaional analizat
i cel din figura 4.18.a const n faptul c valoarea rezistenei la intrare este
finit.
Prin stabilizarea amplificrii, rezistena de ieire se micoreaz, astfel
nct Ries ri << Ries, ceea ce reprezint o calitate obinut datorit reaciei
R ies
R1
negative. Ries ri =
, unde *
. Cnd Au , Ries ri 0.
R1 R 2
1 Au *
Caracteristica de transfer a amplificatorului inversor este prezentat n
figura 4.20.b. Ea se deosebete de caracteristica din figura 4.18.b prin aceea c
183

este dispus n cadranele al II-lea i al IV-lea, ceea ce caracterizeaz schemele


inversoare a polaritii semnalului. Zona liniar a caracteristicii este limitat
U ies max
de tensiunile
. Pentru c Auri << Au, partea liniar a caracteristicii
A uri
de transfer se lrgete datorit introducerii reaciei negative, iar semnalele de
amplitudine mare se transmit fr distorsiuni. n acest mod, introducerea
reaciei negative n schema amplificatorului operaional inversor permite
mbuntirea parametrilor acestuia astfel: se mrete amplificrii, se
micoreaz rezistena de ieire, se lrgete domeniul liniar al caracteristicii de
transfer i se reduc distorsiunile n cazul semnalelor mari. Aceleai rezultate se
obin i prin introducerea reaciei negative n amplificatoarele operaionale
neinversoare cu singura deosebire referitoare la valoarea rezistenei de intrare.
Deci, cu ajutorul reaciei negative, prin mbuntirea unui singur parametru
de reducere a amplificrii, se pot mbunti ceilali parametri. n cazul cnd
se dorete amplificarea puternic a semnalelor, se folosesc mai multe etaje de
amplificare, fiecare dintre etaje fiind realizat cu amplificatoare operaionale cu
reacie negativ.

4.12. Scheme operaionale


Cu ajutorul amplificatorului operaional se pot construi scheme care s
realizeze operaii matematice asupra semnalelor de intrare: nsumarea,
scderea, derivarea, integrarea, extragerea modulului funciei, etc. Aceste
scheme sunt frecvent utilizate n instalaiile de control automat i constituie
baza material a calculatoarelor analogice. Dintre aceste scheme, prezint
interes deosebit schemele cu amplificator operaional pentru nsumare i
integrare, precum i schemele n care amplificatorul operaional se folosete n
regim neliniar. n figura 4.21.a este prezentat schema sumatorului inversor
realizat pe baza amplificatorului operaional cu intrare inversoare i circuit
paralel de reacie negativ.
Pentru c Rint la AO este mare, i1 + i2 + i3 = iri. Ca i n schema din
u
figura 4.20.a, iri = ies . Curenii de intrare se determin avnd n vedere c
R ri
u
u
ntre intrrile circuitului integrat AO tensiunea este nul: i1 = int1 ; i2 = int 2 ;
R
R
u
i3 = int 3 .
R

184

Fig. 4. 21 Sumator inversor cu AO (a) i diagramele de timp ale semnalelor la


intrrile i la ieirea acestuia (b)

Din relaia 4.28, rezult:

u int1 u int 2 u int 3


u
ies , de unde:
R
R ri

uint1 + uint2 + uint3 = uies

R ri

(4. 29)

iar dac se alege R = Rri,


uint1 + uint2 + uint3 = uies

(4.29)
Semnul minus indic faptul c, pe lng adunarea semnalelor, se
produce i inversarea polaritii. n figura 4.21.b sunt prezentate diagramele de
timp care ilustreaz funcionarea sumatorului inversor.
n figura 4.22.a este prezentat schema sumatorului neinversor. La baza
realizrii acestei scheme st AO neinversor cu reacie din figura 4.18.a. Prin
nlocuirea acestuia cu schema echivalent, care conine Rint ri = i sursa de
tensiune Auriusum (rezistena de ieire este nul), se obine schema din figura
4.22.b.
Pentru c Rint ri = , i1 + i2 + i3 = 0 i, pe baza legii lui Ohm, rezult:
u int1 u sum u int 2 u sum u int 3 u sum

0 , de unde:
R
R
R
uint1 + uint2 + uint3 = 3usum, sau, pentru n intrri, uint1 + uint2 + uint3 = nusum
Tensiunea la ieirea AO se determin avnd n vedere relaia (4.24),
astfel:
R R 2 u int1 u int 2 u int 3
uies = Auriusum = 1
R1
n
185

Rezult c tensiunea de ieire este proporional cu suma semnalelor


de intrare. Factorul de transfer n tensiune al schemei din figura 4.22.a depinde
ns de numrul intrrilor, n. Tensiunea de ieire, uies, este determinat de
n

u
valoarea medie a semnalelor de la intrare:

i 1

int i

.
n
n figura 4.22.c este prezentat schema scztorului (substractorului)
de semnale, realizat cu AO. Pentru analizarea acestei scheme se folosete
metoda superpoziiei. Pentru nceput, se consider c uint0 = 0, adic se
scurtcircuiteaz sursa uint2, n care caz, schema devine neinversoare (figura
4.18.a), la intrarea creia se cupleaz divizorul de tensiune cu coeficientul de
R4
transfer int =
. Avnd n vedere relaia (4.24), rezult:
R3 R4
R4
R1 R 2
uies = uint1
R3 R4
R1
n continuare, se consider c uint1 = 0, schema transformndu-se astfel
n amplificator operaional inversor (figura 4.20.a), deoarece cuplarea la
intrarea neinversoare a rezistoarelor R3 i R4 nu modific potenialul la intrarea
neinversoare a AO ideal, la care curentul de intrare este foarte mic. n acest
R
caz, n conformitate cu expresia (4.27), uies = uint2 2 . Ca rezultat al aciunii
R1
ambelor semnale, tensiunea de ieire a amplificatorului operaional este egal
cu:
R4
R1 R 2
R
uies = uies + uies = uint1
uint2 2
R3 R4
R1
R1
Dac R1 = R3 i R2 = R4, se obine:
R
uies = 2 (uint1 uint2)
R1
Sursa de tensiune comandat n curent se obine din schema din figura
4.20.a pentru R1 = 0. n acest caz, Rint = 0 i sursa de semnal uint funcioneaz
n regim de surs a curentului iint. Tensiunea de ieire se determin avnd n
vedere c u* = 0, astfel: uies = =iriR2 = iintR2. Sursa de curent comandat n
tensiune se obine, de asemenea, din schema 4.20.a, dac se cupleaz sarcina
u
n locul lui R2. Atunci: iies = iri = iint = int . Integratorul cu amplificator
R1
operaional se realizeaz de asemenea pe baza AO neinversor din figura 4.23.a.
n circuitul de reacie se cupleaz condensatorul C, astfel nct tensiunea pe
acesta este egal cu:
186

1
i C t dt
C
Pentru c Rint = ,
u
IC = iint = int
R

uC =

(4. 30)

(4. 31)

Tensiunea de intrare diferenial a AO este egal cu zero, din care motiv


uies = uC. Avnd n vedere expresiile (4.30) i (4.31), se obine:
1 u t
1
u int t dt
uies = int dt
(4. 32)
C
R
RC
n acest fel, schema realizeaz operaia matematic de integrare, care
n form definit, se poate scrie:
1 t
u int t dt
uies = uies(0)
RC 0
Tensiunea de ieire depinde de condiiile iniiale, adic de tensiunea
iniial pe condensator n momentul t = 0, uies(0). n figura 4.23.b sunt
prezentate diagramele de timp care ilustreaz funcionarea integratorului.
Cnd la intrarea acestuia se aplic o tensiune constant, la ieire se
obine tensiune liniar variabil.

Fig. 4. 22 Sumator neinversor cu AO (a), schema sa echivalent (b) i scztor


(substractor) cu AO (c)

187

Fig. 4. 23 Integrator cu AO (a) i diagramele de timp ale semnalelor la


intrarea i ieirea acestuia (b)

4.13. Compensarea curenilor de intrare i a


tensiunii de deplasare a nulului
Utilizarea n practic a AO impune luarea unor msuri speciale. Astfel,
trebuie menionat faptul c, la realizarea etajelor de intrare ale AO cu
tranzistoare bipolare (figura 4.12.a) curenii de baz ai tranzistoarelor de
intrare trec prin circuitul de intrare al AO. n figura 4.24 se prezint schema cu
amplificator operaional inversor cu reacie, unde sunt specificai curenii de
intrare, iint.

Fig. 4. 24 AO inversor cu compensarea influenei curenilor de intrare

Cderea de tensiune la trecerea curenilor de intrare n regim static este


uint = 0. Curentul iint la intrarea inversoare trece prin rezistoarele R1 i R2, ceea
RR
ce provoac la aceast intrare cderea de tensiune: U = iint 1 2 .
R1 R 2
Dat fiind c, la AO, Au este mare, valoarea dei mic a tensiunii U poate
provoca modificarea substanial a tensiunii la ieire, Uies = AuU, ceea ce
nseamn existena unei tensiuni la ieire cnd semnalul la intrare este nul, fapt
care creeaz dificulti de utilizare a AO. Pentru eliminarea influenei negative
a curenilor de intrare, la intrarea direct a AO se cupleaz rezistorul R =
188

R 1R 2
. Curentul de intrare de la intrarea neinversoare creeaz pe R o cdere
R1 R 2
de tensiune; semnalul de intrare se determin ca diferena tensiunilor dintre
intrrile neinversoare i inversoare i, cnd curenii de intrare sunt egali la
ambele intrri, Uies = 0. Schema din figura 4.24, cu rezistorul R, reprezint
practic schema AO inversor. Completri similare se introduc de asemenea i
n schemele integratorului i sumatorului inversor. n schema din figura 4.18.a
se urmrete alegerea rezistoarelor n circuitul de reacie astfel nct, pentru
curenii de intrare de la intrrile neinversoare i inversoare, rezistena s fie
aceeai. n acest caz, se are n vedere c, la intrarea neinversoare, curentul trece
prin rezistena intern a sursei Uint.

Fig. 4. 25 Exemple de scheme de compensare a tensiunii de deplasare a


nulului

La AO reale, caracteristica de transfer este asimetric n raport cu nulul


(figura 4.17), asimetrie determinat de tensiunea de deplasare a nulului, Ud,
care poate fi diferit la diferite exemplare de circuite integrate, dar limitat de
valoarea indicat de catalog pentru AO respectiv. Tensiunea de deplasare a
nulului Ud face ca uies 0 atunci cnd la intrare semnalul este nul. Pentru
compensarea influenei negative a tensiunii de deplasare a nulului, schemele
realizate cu AO sunt nzestrate cu circuite speciale, care, prin reglare, pot
elimina influena negativ a Ud. n figura 4.25 se prezint pentru exemplificare
schemele AO inversoare i neinversoare cu reacie, prevzute cu circuitele
corespunztoare pentru compensarea tensiunii de deplasare a nulului; aceste
scheme conin poteniometre, care se regleaz la punerea n funciune a
schemei. Introducerea elementelor suplimentare n schemele practice nu
modific ns concluziile analizei funcionale a AO.

189

4.14. Caracteristicile de frecven ale


amplificatoarelor i autoexcitaia
Capacitatea de amplificare a semnalelor de nalt frecven n AO este
limitat n realitate de ineria tranzistoarelor. Odat cu creterea frecvenei,
scade Au i apare o defazare a semnalului de ieire n urma celui de la intrare,
ceea ce nseamn c Au se poate reprezenta sub form complex.

Fig. 4. 26 Forma semnalelor la intrarea i ieirea amplificatorului operaional

n figura 4.26 este prezentat forma Uint(t) i Uies(t) la AO, cnd


semnalele la intrarea acestuia sunt dreptunghiulare. Ineria tranzistoarelor
distorsioneaz forma impulsurilor la ieire, acestea devenind trapezoidale, iar
prin creterea la valori a frecvenei de repetiie, devin triunghiulare. La
frecvene nalte, amplitudinea impulsurilor la ieire se micoreaz, pentru c,
pe durata impulsului, tensiunea nu reuete s ating valoarea limit. Nivelul
de reducere a amplificrii depinde de numrul etajelor amplificatorului. n
domeniul frecvenelor nalte, amplificarea etajului i, Aui se micoreaz de Mi
ori i apare ntrzierea de faz i. La amplificatorul cu mai multe etaje, modulul
amplificrii se determin astfel:
Au = Au1 Au2 Au3 =

A u 01 A u 02 A u 03
,
M1 M 2 M 3

unde Au01, Au02, Au03, ... reprezint modulul amplificrilor etajelor la frecvene
medii. Defazarea se acumuleaz de la un etaj la altul, astfel nct, la
amplificatorul cu mai multe etaje: = 1 + 2 +3 +
190

Funcia de tipul Au(f) se numete caracteristica amplitudine-frecven


a amplificatorului, iar (f) caracteristica faz-frecven a acestuia. n figura
4.27 este prezentat calitativ forma acestor funcii.

La caracteristicile de frecven se pot evidenia dou domenii distincte:


atunci cnd Au este maxim i defazarea este nul, domeniul reprezint
domeniul spectral al benzii de trecere a amplificatorului. n domeniul
frecvenelor nalte, Au scade odat cu creterea frecvenei, iar defazarea se
mrete.
Cele dou domenii sunt desprite de valoarea lui f, care, de regul, se
A
consider corespunznd valorii Au = u 0 , adic pentru M = 2 . La utilizarea
2
reaciei, este necesar s se ia n consideraie caracteristicile de frecven.
Amplificarea complex este:

Fig. 4. 27 Caracteristicile de frecven ale amplificatoarelor operaionale

Au
(4. 33)
1 Au
La frecvena f*, din figura 4.27, rezult c valoarea unghiului de
defazare este = 180. Amplificarea Au la aceast frecven este o mrime
real, dar negativ, deci Au = A*. nlocuind aceast valoare n expresia (4.34),
se obine:
A*
Auri(f*) =
(4. 34)
1 A *
Auri =

191

Deci, la frecvena f*, Auri > Au adic, datorit defazrii ( ), reacia


negativ se transform n reacie pozitiv, care mrete amplificarea la aceast
frecven. Cnd A* 1, din expresia (4.25) se obine Auri , ceea ce
nseamn c, n lipsa semnalului la intrare (uint 0), la ieire tensiunea nu mai
este nul. Aceast situaie indic faptul c amplificatorul este n regim de
autoexcitaie, datorit reaciei pozitive, cnd semnalul de la ieire adus la
intrare menine tensiunea de ieire, care prin circuitul de reacie excit din nou
AO. Cnd A* 1, tensiunea de ieire crete pn cnd distorsionarea formei
semnalului face ca A* s scad, astfel nct s fie ndeplinit relaia A* 1.
Condiiile de autoexcitaie se formuleaz astfel:
1. a + ri 2, unde a ri reprezint defazarea cauzat de transferul
semnalului prin AO i respectiv prin circuitul de reacie. Cnd suma acestor
defazri este egal cu 2, nseamn c sunt ndeplinite condiiile de faz
pentru reacia pozitiv.
2. A* 1
n scopul eliminrii posibilitii de autoexcitaie, la amplificatoare se
iau diferite msuri, astfel: se limiteaz numrul etajelor cuprinse n reacie,
pentru c fiecare etaj introduce o defazare la nalt frecven. Din acest motiv,
AO sunt prevzute cu numai dou etaje, ceea ce limiteaz posibilitatea de
obinere a unor amplificri foarte mari n circuitele integrate respective. De
asemenea, n scopul reducerii posibilitilor de autoexcitaie, se folosesc
circuite RC de corecie, care se cupleaz la anumite borne ale AO, ceea ce
reduce la minim valoarea lui Au la frecvena f*, pentru care condiiile de
autoexcitaie nu se ndeplinesc, adic A* 1 pentru . n aceste cazuri,
caracteristica amplitudine-frecvent a AO se modific dup linia punctat din
figura 4.27.a.
Schemele de corecie n soluii tipizate se gsesc n literatura de
specialitate. Calculul acestora se face prin metodele utilizate n teoria reglrii
automate pentru analiza i sinteza sistemelor automate continue i liniare.
Exist AO care conin n structura circuitelor integrate respective i circuite de
corecie. Trebuie remarcat faptul c banda de trecere a amplificatoarelor la care
sunt luate msurile necesare de prentmpinare a autoexcitaiei este de regul
mai mic, ceea ce reprezint pierderea necesar pentru obinerea avantajelor
substaniale pe care le ofer reacia negativ. Reducerea benzii de trecere a
amplificatorului protejat la autoexcitaie este mai mare dect lrgirea benzii
care se obine datorit folosirii reaciei negative.

192

4.15. Amplificatoare selective i generatoare de


oscilaii sinusoidale
Un sistem care are amplificarea maxim ntr-o band de frecven
ngust, n apropierea frecvenei f0, se numete amplificator selectiv. n afara
limitelor acestei benzi spectrale nguste, amplificarea scade rapid. O larg
utilizare au cptat amplificatoarele selective realizate pe baza AO. Dup cum
s-a artat n paragrafele 4.10 i 4.11 amplificarea AO cu reacie negativ este
determinat numai de parametrii circuitului de reacie. Dac n circuitul de
reacie se folosesc celule RC, ale cror factor de transfer i defazare depind de
frecven, atunci se poate asigura dependena necesar a amplificrii n funcie
de frecven. n figura 4.28.a este prezentat schema amplificatorului selectiv
cu punte Wien, aceasta fiind ncadrat n dreptunghiul desenat cu linie
punctat. Cnd se aplic o tensiune nesinusoidal uint(t), de frecven f0 la
intrarea amplificatorului, la ieirea acestuia se obine un semnal sinusoidal
(figura 4.28.b).

Fig. 4. 28 Amplificator selectiv (a) i diagramele de timp ale semnalelor la


intrarea i ieirea acestuia (b)

Puntea se compune din celulele serie (CR) i paralel (CR). La


trecerea prin punte, semnalul de joas frecven se pierde pe condensatorul C,
iar semnalul de nalt frecven se atenueaz pe divizorul de tensiune compus
din celule serie i paralel, pentru c, prin creterea frecvenei, reactana
condensatorului C scade. n acest fel, factorul maxim de transfer al punii este
corespunztor unei anumite frecvene, f0.
Defazarea, introdus de punte la frecvena f0 este nul. n cazul
raporturilor optime C = C = C, R = R = R, f0 =

1
2 RC

; la f = f0, factorul de

transfer al punii este p = 1/3.


La frecvene diferite de f0, factorul de transfer al punii este mic i se
poate considera c semnalul la intrarea neinversoare a AO, urip = 0. Schema
este identic cu AO inversor din figura 4.20.a i are amplificarea determinat
193

R2
. La frecvena f0, factorul de transfer al punii este
R1
maxim. Prin punte, la intrare a AO se transmite semnalul de reacie pozitiv,
care mrete puternic amplificarea schemei, Am n raport cu A. Caracteristica
de frecven a amplificatorului selectiv este reprezentat n figura 4.29.b. Cu
ct este mai mare amplificarea A, cu att este mai ngust banda de frecven
a amplificatorului i este mai mare raportul Am/A.

de relaia (4.28), A =

Fig. 4. 29 Caracteristicile de frecven ale punii Wien (a) i ale


amplificatorului selectiv (b)

R2
= 2, se ndeplinesc condiiile de autoexcitaie iar
R1
amplificarea schemei, Am, la frecvena f0 devine infinit, ceea ce nseamn c,
la ieire, se obin semnale sinusoidale de frecven f0 atunci cnd la intrare
semnalul este nul. n aceast situaie, schema din figura 4.28.a se transform
n generator de tensiune sinusoidal, iar circuitul sursei tensiunii de intrare
poate fi decuplat. Exist o multitudine de variante de realizare a
amplificatoarelor selective i a generatoarelor sinusoidale pe baza altor celule
RC, ale cror caracteristici depind de frecven.

Atunci cnd

4.16. Amplificatoare cuplate capacitiv


Calitatea esenial a amplificatoarelor cuplate prin capacitate const n
lipsa derivei nulului, deoarece condensatoarele nu permit trecerea
componentei continue i, implicit, a derivei nulului. n figura 4.30 este
prezentat pentru exemplificare etajul realizat pe baza AO cu legtur prin
sarcin. Cuplarea prin capacitate se utilizeaz ns rar, pentru c aceste
capaciti relativ mari sunt dificil de realizat n structura circuitelor integrate.

194

Cnd la intrare se aplic semnal fr componenta continu, aa cum se


arat n figura 4.31.a, semnalul la ieire reprezint ca form copia semnalului
de intrare. n cazul aplicrii la intrare a unui semnal de intrare ce conine i o
component continu (figura 4.31.b), aceasta din urm nu trece prin
condensatoarele C1 i C2 i semnalul la ieire nu mai corespunde ca form celui
de la intrare. Limitarea spectral specific amplificatoarelor cuplate prin
condensator reprezint principala deficien a acestora.

Fig. 4. 30 Amplificator cu cuplaj capacitiv i schema sa echivalent

Domeniul de frecven al amplificatoarelor cuplate prin capaciti se


poate mpri n trei zone, astfel:
- domeniul frecvenelor medii, corespunztor benzii de trecere a
amplificatorului se caracterizeaz prin faptul c, la aceste frecvene,
reactanele capacitive ale condensatoarelor, 1/C1 i 1/C2, sunt mici, ceea
ce face ca semnalul s se transmit la ieire practic fr pierderi;
amplificarea n acest domeniu spectral este constant;
- domeniul frecvenelor nalte, n care apare influena ineriei tranzistorului,
amplificarea scade i se produce o defazare ntre semnalele de intrare,
respectiv de ieire;
- domeniul frecvenelor joase, n care reactanele capacitive ale
condensatoarelor sunt mari; o parte din semnal se pierde pe aceste reactane
i amplificarea scade.
n figura 4.31.c sunt reprezentate caracteristicile amplitudine-frecven
i faz-frecven ale amplificatorului cuplat prin capaciti. Banda de trecere a
acestuia este limitat de frecvenele fj i fi, pentru care amplificarea este: Au
A
= u0 .
2

195

Fig. 4. 31 Diagramele de timp ale semnalelor la intrarea i ieirea


amplificatorului cu cuplaj capacitiv (a, b) i caracteristicile de frecven
ale acestuia (c)

Analiza amplificatorului din schema din figura 4.30.a se face pe baza


schemei echivalente din figura 4.30.b. Amplificarea montajului este:
U
A u ies int A u 0 ies
(4. 35)
E int
unde int este factorul de transfer al circuitului de intrare i ies este factorul
de transfer al circuitului de ieire.
U
R int
int int
(4. 36)
E int R 1 R
g
int
iC1
RS
U ies
ies

(4. 37)
A u 0 U int R 1 R
ies
S
iC 2
Relaia (4.37) se scrie sub forma:
R int
R int R g
int 0
(4. 38)
int

1
1
1
1
iC1 R int R g
i1
196

R int
unde int 0 R R este factorul de transfer al circuitului de intrare cnd
int
g
1
= 0 (zona frecvenelor nalte) i 1 = C1(R1 + Rint) este constanta de timp
iC 1
a circuitului de ncrcare a condensatorului C1. Modulul factorului de transfer

int este int int 0 , unde M1 este un indice care arat de cte ori scade
M1
factorul de transfer al circuitului de intrare la pulsaia Din relaia (4.39), se
obine:
1
M1 = 1
(4. 39)
0

Cnd frecvena scade, crete M1, iar int scade, pentru c se mrete
reactana lui C1, pe care se pierde o parte din semnalul sursei Eint. n acest caz,
prin circuitul R1 C1 Rint trece un curent care provoac o cdere de tensiune
Uint, care depete Eint. Din relaia (4.39), se obine:
1

1 = arctg
(4. 40)

1
Aceeai analiz se poate face i pentru circuitul Ries C2 RS. n acest
scop, n relaiile (4.40) i (4.41), n locul lui 1 se introduce valoarea lui 2 =
C2(Ries + RS), unde 2 reprezint constanta de timp de ncrcare a
condensatorului C2. n acest fel, se obin expresiile pentru M2 i 2, care sunt
similare cu relaiile (4.40) i (4.41). Amplificarea montajului cu cuplaj
capacitiv este, n concordan cu relaia (4.36):

A
Au = int 0 A u 0 ies 0 int 0 u 0 ies 0
M1
M2
M
unde M = M1M2 este coeficientul care arat de cte ori scade amplificarea n
raport cu valoarea sa maxim, Au0, pentru frecvena = 2f. Distorsiunile de
faz introduse de cuplajul capacitiv se nsumeaz: = 1 + 2.
Pe caracteristicile din figura 4.31.c se poate constata scderea
modulului amplificrii i apariia defazrii . Pentru mrirea benzii de trecere
la frecvene joase, este necesar creterea capacitii condensatoarelor C1 i C2,
ceea ce influeneaz negativ asupra gabaritului amplificatorului.
Scderea amplificrii la frecvene joase provoac distorsionarea
semnalului transmis. Din figura 4.31, se poate constata c, n cazul
impulsurilor dreptunghiulare, acestea prezint o scdere la vrf, determinat
de incapacitatea amplificatorului de a transmite semnale de joas frecven.
Aceste distorsiuni sunt cu att mai mari, cu ct impulsurile au durat mai mare.
197

4.17. Etaje amplificatoare de putere


Situaiile analizate pn acum s-au caracterizat de un consum mic de
putere, de ordinul fraciunilor de W. La acest nivel de putere, randamentul
amplificatorului nu este esenial, important fiind transmiterea informaiei ct
mai complet n banda sa spectral.
Exist ns numeroase situaii cnd problema randamentului i cea a
asigurrii puterii necesare devin de prim importan. Etajele amplificatoare
de putere se deosebesc de cele analizate anterior nu numai prin alctuirea lor,
dar i prin metodele de calcul al amplificatorului. Pe baza regimului de
funcionare, etajele de putere se clasific n clase de funcionare.

Fig. 4. 32 Amplificator de putere n clas A: schema (a), construcia dreptei


de sarcin (b) i diagramele de timp ale curenilor i tensiunilor (c)

A. Etajul amplificator de putere funcionnd n clas A este prezentat n figura


4.32.a, caracteristic pentru acesta fiind cuplarea prin transformator.
Acesta nu transmite componenta continu a semnalului, motiv pentru
care caracteristica de frecven a etajului este asemntoare cu cea a etajelor
cuplate capacitiv. n regim static (uint = 0), datorit tensiunii de deplasare Ud,
care curenii IBr i ICr = IBr + ( + 1)ICB0. Considernd un transformator ideal
(pierderi neglijabile, inductana de magnetizare foarte mare, inductana de
disipare foarte mic), impedana primarului transformatorului n curent
continuu este nul i, n regim static, Ucr = EC. Pe caracteristicile de ieire ale
tranzistorului, dreapta de sarcin n acest caz este vertical (figura 4.32.b).
Punctul static de funcionare, O, are coordonatele (Ucr, Icr). Aplicnd la intrare
un semnal, uint, are loc modificarea curenilor bazei, IB i colectorului, IC =
R S n 12

IB. Sarcina tranzistorului este reprezentat de rezistena S


, unde
n 22
n1 i n2 reprezint numrul de spire ale primarului, respectiv secundarului
transformatorului. Dreapta de sarcin n acest caz este nclinat (n funcie de
RS) i trece tot prin punctul O. Cnd semnalul la intrare este pozitiv, crete IC,
crete astfel i tensiunea la bornele primarului transformatorului, scznd astfel
198

tensiunea UCE (segmentul OA pe dreapta de sarcin). La valori negative ale lui


uint, IC scade i crete tensiunea UCE (segmentul OB, pe dreapta de sarcin).
Pentru valori mari ale lui uint, UCE atinge, la limit valoarea 2EC, ceea ce trebuie
avut n vedere la alegerea tranzistorului. n figura 4.32.c sunt reprezentate
variaiile n timp ale tensiunilor uint, uCE, uies i curentului iC, pentru semnale
dreptunghiulare.
P
Determinarea randamentului, = S , unde PS este puterea transmis n
P0
sarcin i P0 este puterea consumat din sursa de alimentare se face astfel:
2
E C 2
U2
U CE
PS = iesm
(4. 41)

RS
R S
R S
U CE
unde =
, iar Uiesm este amplitudinea tensiunii uies. n clas A,
EC
ntotdeauna:
P0 = ECIcr
(4. 42)

Pentru obinerea amplitudinii maxime (pentru 1), dup cum rezult


E
din figura 4.32.b, este necesar alegerea valorii Icr C , astfel nct expresia
R S
(4.43) se rescrie sub forma:
E2
P0 = C
(4. 43)
R S
Fig. 4. 33 Dependenele (), P0() i PC() la amplificatorul de putere n clas A

199

Din relaiile (4.42) i (4.44) se obine: = 2.


n figura 4.33, sunt reprezentate dependenele , P0 i PC = P0 PS n
funcie de , de unde se pot trage urmtoarele concluzii:
U CE
a) randamentul maxim se obine pentru valori mari ale lui =
,
EC
adic n cazul amplificrii semnalelor mari;
b) puterea consumat din surs, P0, nu depinde de semnalul transmis;
c) pierderile maxime de putere, PC = P0 PS, au loc n regim static, cnd
uint = 0; puterea pierdut reprezint putere disipat sub form de cldur
pe tranzistor, astfel nct, pentru regimul n clas A trebuie alei
tranzistori la care este ndeplinit condiia: PC max P0 = IcrEC; n cazul
unor semnale de intrare oarecare, randamentul este determinat de
valoarea medie a lui , care este, n acest caz, mult mai mic.

n concluzie, amplificatorul de putere n clas A ofer posibilitatea


transmiterii semnalelor alternative cu distorsiuni minime, dar au o serie de
deficiene: randament sczut, n special la semnale de intrare mici, puterea
consumat din surs este independent de semnalul de intrare i are valoare
mare, utilizarea unui transformator pentru cuplajul etajului, cu influene
negative asupra caracteristicii de frecven i gabaritului montajului.
B. Etajul amplificator de putere n clas B este reprezentat n figura 4.34.a. n
acest caz, sarcina se cupleaz direct n circuitul de colector al tranzistorului.
n repaus, cnd tensiunea de intrare este uint = 0, Ud = 0, Icr = ICE0 0,
PC = 0, ceea ce nseamn c tranzistorul nu disip cldur. La aplicarea
Fig. 4. 34 Amplificator de putere n clas B: schema (a) i
diagramele de timp ale curenilor i tensiunilor n circuit (b)

semnalului de intrare pozitiv, curentul de colector crete, uies = iCRS.

200

La aplicarea la intrare a unei tensiuni negative, tranzistorul se blocheaz


i uies = 0. Un astfel de amplificator poate amplifica numai semnale de o singur
polaritate, ceea ce elimin necesitatea utilizrii unui transformator pentru
cuplarea sarcinii. n figura 4.34.b sunt prezentate diagramele de timp ale
curenilor i tensiunilor n amplificator, pentru semnale de polaritate unic.
Puterea transmis n sarcin se determin astfel:
E C 2
U2
PS = iesm
(4. 44)
RS
R S
Puterea consumat din sursa de alimentare depinde de curentul mediu
ce trece prin sarcin:
U
E2
P0 = ECIC = E C iesm
(4. 45)
RS
RS
Astfel, se obine:
=
(4. 46)

Fig. 4. 35 Dependenele (), P0() i PC() la amplificatorul de putere n clas B

n figura 4.35 este reprezentat dependena , P0 i PC n funcie de ,


de unde se pot face urmtoarele aprecieri:
a) randamentul amplificatorului de putere n clas B este mai mare fa de
cel al etajului n clas A, n special la valori mici i medii ale semnalului
de intrare;
b) puterea consumat din sursa de alimentare este minim n regim de
repaus i crete odat cu creterea semnalelor de intrare;
201

c) pierderile de putere sun maxime la valori medii ale lui , dar mult mai
mici dect cele de la amplificatorul n clas A; pentru valori mici ale
lui , PC este mic, deoarece curenii prin tranzistor sunt i ei mici; la
valori mari ale lui , PC este, de asemenea mic, deoarece cderea de
tensiune pe RS este mare, deci uCE = EC uies este mic.
Se poate evidenia astfel avantajul utilizrii etajelor de amplificare n
putere n clas B, singura problem fiind aceea aprut n cazul semnalelor de
ambele polariti. Aceasta poate fi rezolvat prin utilizarea, n acest caz, a dou
etaje n clas B, funcionnd n contratimp (figura 4.36.a).

Fig. 4. 36 Amplificatoare de putere n clas B n contratimp

n regim de repaus, ambele tranzistoare sunt blocate. La aplicarea unei


tensiuni de intrare pozitive, crete curentul iC1, n colectorul lui T1 (n-p-n).
Polaritatea tensiunii pe sarcin este reprezentat n figur. Schema
funcioneaz la fel ca cea din figura 4.34.a. n acest timp, T2 rmne nchis. La
schimbarea polaritii, uint < 0, T1 se blocheaz i funcioneaz partea schemei
cu T2 (p-n-p) Astfel, tranzistoarele intr n funciune pe rnd, n funcie de
polaritatea semnalului amplificat. Pe tranzistorul blocat se aplic o tensiune
uCE = EC + uies, care, la limit, pentru mari, tinde la 2EC, ceea ce trebuie avut
n vedere la alegerea tranzistorului. Pentru schema n contratimp sunt valabile
graficele din figura 4.35. Amplificatoarele n contratimp n clas B se pot
realiza i cu acelai tip de tranzistoare, aa cum se poate vedea n figura 4.36.b,
unde sarcina este cuplat direct. Funcionarea este asemntoare cu cea a
schemei cu tranzistoare complementare. Tranzistorul T1 este cuplat n montaj
emitor comun, n timp ce tranzistorul T2 este cuplat n montaj colector comun.
Cnd uint > 0, T1 este deschis i T2 blocat prin tensiunea kuint. Curentul de
colector iC1 trece prin RS, provocnd cderea de tensiune uies. Cnd uint < 0, T1
se nchide i T2 se deschide datorit aplicrii, pe baza sa, a tensiunii pozitive
kuint. Pentru ca amplificarea semnalelor pozitiv, respectiv negativ s fie egal,
este necesar ndeplinirea condiiei: Au EC = kAu CC, unde Au EC este
amplificarea n tensiune a prii de montaj coninnd T1, iar Au CC este
amplificarea n tensiune a prii de montaj coninnd T2. Pentru ndeplinirea
acestei condiii, semnalul de intrare se aplic la intrarea lui T2 printr-un
202

amplificator inversor, cu amplificarea k. Cnd este necesar decuplarea


galvanic a sarcinii, schemele din figurile 4.36.a i 4.36.b pot fi modificate prin
cuplarea sarcinii prin transformator, ceea ce permite i utilizarea unei singure
surse de alimentare. n aceast schem, ambele tranzistoare sunt montate n
schema cu emitor comun, pe bazele lor aplicndu-se semnalul de intrare uint,
respectiv uint. Graficele din figura 4.35 sunt valabile i pentru schemele din
figurile 4.36.b i 4.36.c.

203

5.

CIRCUITE DE IMPULSURI

5.1. Avantajele transmiterii informaiei sub forma


impulsurilor
Dintre avantajele transmiterii informaiei sub forma impulsurilor, cele
mai importante sunt:
1. Numeroase procese industriale au caracter de impuls.
2. Transmiterea informaiei sub forma impulsurilor permite micorarea
puterii consumate din sursa de alimentare.
3. Transmiterea informaiei sub forma impulsurilor permite creterea
substanial a stabilitii la perturbaii, a preciziei i siguranei n
funcionarea sistemelor electronice.

Fig. 5. 1 Diferite metode de transmitere a informaiei cu ajutorul impulsurilor

Exist o multitudine de metode de transmitere a semnalelor continue


(figura 5.1.a) sub forma impulsurilor dreptunghiulare (figurile 5.1.b,c,d). La
modulaia impulsurilor n amplitudine (MIA), amplitudinea acestora este
proporional cu semnalul de intrare (figura 5.1.b), durata i frecvena de
repetiie a acestora pstrndu-se constante. n acest caz, se pstreaz influena
negativ a derivei nulului amplificatorului i cea a altor factori asupra preciziei
funcionrii sistemului. La modulaia n durat a impulsului (MID),
amplitudinea i frecvena de repetiie a impulsurilor se pstreaz constante,
durata acestora fiind proporional cu semnalul de intrare (figura 5.1.c), iar la
204

modulaia n frecven (MIF), amplitudinea i durata impulsurilor rmn


constante, frecvena de repetiie a acestora fiind proporional cu semnalul la
intrare (figura 5.1.c). La MID i MIF, deriva nulului amplificatoarelor nu
influeneaz asupra preciziei de transmitere a semnalului de intrare, care, n
acest caz, depinde numai de precizia de fixare a poziiei n timp a impulsurilor.
Cea mai mare precizie i stabilitate la perturbaii este asigurat prin

Fig. 5. 2 Parametrii de baz ai impulsurilor dreptunghiulare

metode numerice de impuls: informaia se transmite sub form numeric, prin


care numerelor le corespunde un ansamblu determinat de impulsuri (cod). La
MID i MIF, deriva nulului amplificatoarelor nu influeneaz asupra preciziei
de transmitere a semnalului de intrare, care, n acest caz, depinde numai de
precizia de fixare a poziiei n timp a impulsurilor. Cea mai mare precizie i
stabilitate la perturbaii este asigurat prin metode numerice de impuls:
informaia se transmite sub form numeric, prin care numerelor le corespunde
un ansamblu determinat de impulsuri (cod). n figura 5.2.a este reprezentat
succesiunea periodic a impulsurilor dreptunghiulare, iar n figura 5.2.b este
reprezentat un impuls i sistemul parametrilor de definire a acestuia. Aceti
parametri sunt:
Um amplitudinea pulsului
ti durata impulsului
tp durata pauzei dintre impulsuri
T = ti + tp perioada de repetiie a impulsurilor
f = 1/tp frecvena impulsurilor
Q = T/ti porozitatea impulsurilor
tf timpul de cretere, definit ca fiind intervalul de timp n care
tensiunea semnalului crete de la 0,1Um, la 0,9Um, pe frontul anterior
al impulsului
205

tc timpul de scdere, definit ca fiind intervalul de timp n care


tensiunea semnalului scade de la 0,9Um, la 0,1Um, pe frontul posterior
al impulsului

Fig. 5. 3 Diferite forme de semnale n impulsuri

Pentru funcionarea normal a dispozitivelor de impulsuri, este necesar


ca tf << ti i tc << ti, n caz contrar poziia n timp a impulsului neputnd fi
fixat cu precizie.
Pe lng impulsurile dreptunghiulare, se folosesc frecvent impulsuri n
forma de dinte de ferstru (figura 5.3.a), exponeniale, (figura 5.3.b), sau n
form de clopot (figura 5.3.c).

5.2. Regimul de comutaie al tranzistorului


n tehnica de impulsuri au cptat o utilizare larg etajele cu tranzistor
n montaj emitor comun (figura 5.4.a) n figura 5.4.b sunt reprezentate
caracteristicile de ieire ale tranzistorului, pe care este trasat, de asemenea,
dreapta de sarcin.
n regimul de comutaie, tranzistorul se poate gsi n dou stri:
a) starea blocat (nchis), n care, prin tranzistor trece un curent minim;
starea corespunde punctului A pe diagrama din figura 5.4.b: iC = ICB0 0,
uC EC. n acest caz, schema echivalent a tranzistorului este cea din figura
5.5.a, care conine numai o surs de curent, ICB0, cuplat ntre baz i
colector. Pentru ca tranzistorul s se gseasc n stare blocat, este necesar
ndeplinirea condiiei (pentru tranzistoare n-p-n).
uB < 0
(5. 1)
Puterea care se pierde pe tranzistor n aceast stare, PC = uCiC, este
mic, deoarece iC este mic.
b) starea de saturaie, n care tensiunea minim colector-emitor, uCE este uCE
= UCES 0, starea corespunznd punctului B pe diagrama din figura 5.4.b.
Curentul iC este limitat de rezistorul RC i are valoarea:
206

ICS =

E C U CS E C

RC
RC

(5. 2)

n regim de saturaie, ambele jonciuni ale tranzistorului sunt polarizate


n sens direct, din care cauz, tensiunile dintre electrozii tranzistorului sunt
mici. Schema echivalent a tranzistorului n regim de saturaie este dat n
figura 5.5.b, care corespunde scurtcircuitului dintre toi electrozii
tranzistorului.

Fig. 5. 4 Comutator cu tranzistor: schema (a) i traiectoria punctului de lucru (b)


Fig. 5. 5 Schema echivalent a tranzistorului n regim blocat (a) i de saturaie (b)

I CS
. Creterea n
h 21E
continuare a curentului n baz, iB > IBS nu modific curentul de colector.
Astfel, condiia de saturare a tranzistorului se scrie sub forma:
I
iB IBS = CS
(5. 3)
h 21E
E
unde ICS C .
RS

Regimul de saturaie se obine atunci cnd iB = IBS =

207

Pentru saturarea ferm a tranzistorului, este necesar ndeplinirea


i
condiiei (5.3) pentru h21E = h21E min. Mrimea SS = B 1 se numete factor
I BS
de saturaie al tranzistorului. n regim de saturaie, puterea care se pierde pe
tranzistor, PC = UCic este mic, ntruct tensiunea este mic. Tensiunea UCES
este o dat de catalog. Pentru realizarea comutatoarelor electronice cu
tranzistor, este recomandabil alegerea unor tranzistoare cu UCES << EC.
Trecerea tranzistorului dintr-o stare n alta are loc n salt, iar pierderile n acest
caz sunt neglijabile.

Schema comutatorului cu tranzistor folosit n mod frecvent este cea


din figura 5.6.a. La aplicarea tensiunii pozitive la intrare, uint, tranzistorul intr
n starea de saturaie. Cnd uint = 0, sursa de tensiune Ed, din baza
tranzistorului, prin rezistorul R2, asigur starea blocat a tranzistorului.
O utilizare larg au cptat schemele de comutatoare cu tranzistoare cu
efect de cmp. O astfel de schem este cea din figura 5.7.a, n care se utilizeaz
un TEC-MOS cu canal iniial n; n figura 5.7.b sunt reprezentate caracteristicile
de dren i dreapta de sarcin pentru schema respectiv.
n stare de saturaie a tranzistorului, tensiunea pe tranzistor este mic,
Fig. 5. 6 Comutator cu tranzistor cu dou surse de alimentare (a) i schemele
echivalente n regim de blocare (b) i de saturaie (c)

E D US E D

. Acest curent se poate exprima


RD
RD
i astfel: IDS = S(UGS UGSbl), unde S i UGSbl sunt panta, respectiv tensiunea
de blocare a tranzistorului. Aceast stare se menine prin ndeplinirea condiiei:
ED
uG UGS =
+ UGSbl
(5. 4)
S RD

iar curentul de dren este: IDS =

208

Fig. 5. 7 Comutator cu TEC-MOS: schema (a) i traiectoria punctului de


funcionare (b)

Pentru starea de tranzistor blocat, la care iD = 0, iar uD = ED, este necesar


s se aplice pe grila tranzistorului o tensiune uG < UGS bl.

5.3. Regimul neliniar de funcionare a amplificatorului


operaional. Comparatoare
n figura 5.8 este prezentat schema AO i caracteristica de transfer a
acestuia. Cnd uint1 uint2 > Ulim, tensiunea de ieire a AO este limitat de
valorile Uiesmax. Aceast limitare este determinat de faptul c, la semnale
mari, tranzistoarele din etajele de ieire ale AO funcioneaz n regim de
saturaie, astfel c tensiunea limit maxim, Uiesmax, este doar cu puin mai mic
dect tensiunea sursei de alimentare, Ea.

Fig. 5. 8 Comparator cu AO: schema (a) i caracteristica de transfer (b)

n acest fel, caracteristica de transfer a AO conine o regiune de


saturaie pozitiv (Uint > Ulim, uies = Uiesmax) i una de saturaie negativ (Uint
< Ulim, uies = Uiesmax).
209

Dat fiind faptul c amplificarea Au a amplificatorului operaional este


U
foarte mare, tensiunea Ulim = ies max este foarte mic (de ordinul mV).
Au
Astfel, se poate considera c, dac uint1 uint2 > 0, uies = Uiesmax, iar
atunci cnd uint1 uint2 < 0, uies = Uiesmax. Acest lucru nseamn c AO
funcioneaz n acest caz ca un comparator, comparnd tensiunile la intrri.

n figura 5.9 sunt reprezentate dou tensiuni de intrare i tensiunea la


ieirea AO n cazul respectiv. Comparatorul comut n momentele de egalitate
a tensiunilor, uint1 = uint2 i tensiunea de ieire are forma impulsurilor
dreptunghiulare. limea acestora, pentru o amplitudine dat a sinusoidei,
depinde de valoarea uint2. n schema analizat se realizeaz transformarea
tensiunii uint2 n durat a impulsului. n figura 5.10.a este prezentat schema
comparatorului cu reacie pozitiv, realizat cu AO, cunoscut i sub numele de
trigger Schmitt. n figura 5.10.b este prezentat caracteristica de transfer a
acestui comparator. Cnd tensiunea negativ este mare la intrarea inversoare a
AO, uies = Uiesmax. Tensiunea upr, la intrarea neinversoare este determinat de
aciunea uint i U0. Aceasta se determin prin metoda superpoziiei, avnd n
vedere c, pentru ambele tensiuni, circuitul R1 R2 este un divizor:
Fig. 5. 9 Tensiunile la intrarea i ieirea comparatorului

upr = U*1 = U0

210

R1
R2
+ Uiesmax
R1 R 2
R1 R 2

(5. 5)

Comparatorul se afl n regim de saturaie (uies = Uiesmax) atunci cnd


uint < U*1. Dac uint = U*1, are loc comutarea acestuia Dac uint U*1,
tensiunea de ieire a AO ncepe s scad. Creterea cu valori negative a lui
Uies pe circuitul R1 R2 de reacie pozitiv se aplic la intrarea neinversoare
a AO i apare Upr negativ. AO amplific aceast cretere i la ieire apare
Uies > Uies, care din nou produce o modificare a tensiunii la intrarea
neinversoare a AO, Upr. Procesul se desfoar n avalan i, cnd Uies
atinge valoarea Uiesmax, nceteaz. n acest fel, reacia pozitiv accelereaz
procesul de comutare a comparatorului. Aceast comportare accelerat a
comutaiei la orice dispozitiv, sub aciunea reaciei pozitive se numete proces
regenerativ. Cnd uies = Uiesmax,
R2
R1
upr = U*2 = U0
Uiesmax
(5. 6)
R1 R 2
R1 R 2

Fig. 5. 10 Comparator cu reacie pozitiv (a) i caracteristica de transfer a sa (b)

Saturaia negativ a AO se menine att timp ct uint < U*2. La scderea


uint la valoarea U*2 are loc o nou comutare a comparatorului, procesul
dezvoltndu-se din nou regenerativ; tensiunea de ieire atinge practic
instantaneu valoarea Uiesmax. Astfel, caracteristica de transfer a comparatorului
din figura 5.10 are caracter de histerezis i comutarea la creterea sau
micorarea uint se produce pentru tensiuni diferite, U*1 i U*2. Limea buclei
de histerezis (U*1 U*2) crete odat cu creterea raportului R2/R1.
Schemele regenerative au i anumite deficiene. Astfel, n apropierea
pragului intrare n funciune, stabilitatea lor la perturbaii este mic. O mic
perturbaie poate provoca creterea lui Uies, care conduce la apariia
procesului regenerativ de comutare.

211

5.4. Circuite RC formatoare de impulsuri


5.4.1. Circuite de difereniere (derivare)
Schema circuitului RC derivator (de difereniere) este reprezentat n
figura 5.11.a.

Fig. 5. 11 Derivator (a) i diagramele de timp ale curenilor i tensiunilor


n circuit (b)

La intrare se cupleaz sursa de semnal dreptunghiular, uint. n momentul


t1, tensiunea uint variaz n salt cu valoarea 2Um. n acest moment, aceast
tensiune se regsete n ntregime pe rezistorul R, deci uies = 2Um. n
continuare, ncepe ncrcarea exponenial a condensatorului, uC crescnd de
la zero spre valoarea 2Um i determinnd n acest fel scderea exponenial a
tensiunii uies pn la zero (n condiia n care constanta de ncrcare a
212

condensatorului, = RC este mai mic dect durata pulsului dreptunghiular


aplicat la intrare). uies(t) = uint(t) uC(t). n momentul t2, uint scade brusc,
tensiunea la ieire avnd i ea un salt, de la zero la 2Um. n continuare,
condensatorul se descarc exponenial prin rezistorul R i se ncarc n sens
invers, pn la tensiunea Um. n continuare, fenomenele se repet periodic.
Astfel, pe rezistorul R se formeaz impulsuri exponeniale alternative, ale cror
fronturi corespund fronturilor impulsurilor dreptunghiulare de intrare, uint.
Durata acestor impulsuri depinde de constanta = RC, putnd fi apreciat la
du int
valoarea ti = (2 3). Pentru 0, uies corespunde valorii
. Deseori, la
dt
ieire se folosesc diode pentru obinerea numai a impulsurilor de un anumit
sens. Forma tensiunilor n circuit este prezentat n diagramele din figura
5.11.b.
5.4.2. Circuite de integrare
Circuitul RC poate fi folosit i conform schemei din figura 5.12.a, caz n care
uies = uC. Procesul de ncrcare a condensatorului este descris de ecuaia
diferenial:
du
RC C + uC = E
(5. 7)
dt
Soluia acesteia este:

uies = uC(t) = E E U C 0 e
(5. 8)
unde UC(0) este tensiunea pe condensator la momentul t = 0 i = RC este
constanta de timp a circuitului. Tensiunea pe condensator crete exponenial
(integrare a curentului, figura 5.12.b).

Fig. 5. 12 Utilizarea circuitului integrator n formatoarele de interval de


timp (a) i diagramele de timp ale tensiunilor (b)

n sistemele de impulsuri, circuitul din figura 5.12.a se completeaz


deseori cu un comparator, K; la una din intrrile acestuia se aplic tensiunea
213

de ieire a integratorului, uies, iar la cealalt se aplic o tensiune cu valoarea


constant, E0 < E.
n momentul t1, uies = uC = E0 i comparatorul comut. Blocul de
impulsuri din figura 5.12.a formeaz intervalul de timp dintre momentul de
nchidere a comutatorului (momentul t = 0) i momentul de acionare a
comparatorului, t1 = ti. Acest interval de timp depinde de valorile E, UC(0), E0
i . Astfel, n momentul t1, relaia (5.8) se scrie sub forma:

E E U C 0 e = E0.
Prin logaritmarea acestei expresii, se obine durata intervalului:
E U C 0
ti = ln
(5. 9)
E E0

5.5. Circuitul basculant astabil (multivibrator) cu


amplificator operaional
Multivibratorul este un generator de impulsuri periodice
dreptunghiulare. El este autogenerator i funcioneaz fr aplicarea la intrare
a unei anumite tensiuni.

Fig. 5. 13 Multivibrator cu AO (a) i diagramele de timp ale curenilor i


tensiunilor n circuit (b)

Schema multivibratorului cu AO este prezentat n figura 5.13.a.


Condensatorul C i rezistoarele R1 i R2 formeaz un circuit RC de integrare.
La ncrcarea condensatorului este deschis dioda D1, curentul trecnd prin R1.
214

La descrcarea condensatorului este deschis dioda D2, curentul trecnd prin


R2. Sursa de tensiune E este reprezentat de circuitul de ieire al AO.
Comparatorul este realizat cu AO cu reacie pozitiv. La comutarea acestuia,
tensiunea sa de ieire determin comutarea circuitelor de ncrcare i
descrcare a condensatorului C, AO ndeplinind simultan funciile de surs de
tensiune pentru ncrcarea i descrcarea condensatorului, comparator i
comutator.
S considerm c, la momentul t < t1, sursa de alimentare simetric a
AO este decuplat, Ea = Ea = 0. Condensatorul C este descrcat, uC = 0. La
momentul t1 se cupleaz sursa de alimentare a AO. Tensiunea de ieire a
acestuia, uies sufer o variaie spre valori pozitive sau negative (n mod aleator).
Considerm c are loc o cretere pozitiv a lui uies, Uies. aceast cretere este
amplificat n avalan i, drept urmare, practic la momentul t1, uies crete n
salt la valoarea Uiesmax. ncepnd din acest moment, condensatorul C se ncarc
prin R1 pn la tensiunea Uiesmax, cu constanta de timp 1 = R1C. Tensiunea uC,
care crete exponenial, se aplic la intrarea inversoare a AO, la intrarea
neinversoare, prin circuitul de reacie pozitiv aplicndu-se tensiunea uri =
R4
Uiesmax
.
R3 R4
La momentul t2, tensiunea uC pe condensator atinge valoarea U0,
comparatorul comut printr-un proces regenerativ care se termin atunci cnd
uies = Uiesmax. Din momentul t2, ncepe ncrcarea cu polaritate invers a
condensatorului prin rezistorul R2, cu constanta de ncrcare 2 = R2C, pn la
tensiunea U0. Acionnd asupra diodelor D1 i D2, comparatorul realizeaz
comutarea circuitelor de ncrcare i descrcare a condensatorului. Cnd t2 < t
R4
< t3, la intrarea neinversoare a AO: uri = Uiesmax
= U0.
R3 R4
Condensatorul C nu se ncarc pn la Uiesmax pentru c, n momentul
t2 tensiunea pe acesta atinge valoarea U0 i, din nou, se produce comutarea
regenerativ a comparatorului, cnd se stabilizeaz valoarea tensiunii uies =
Uiesmax, uri = U0. n acest moment, ncepe o nou ncrcare a condensatorului
prin R1, iar cnd uC(t4) = U0, comparatorul comut din nou i aa mai departe.
Procesul stabilizat ncepe cnd t = t2 i se caracterizeaz prin
modificarea tensiunii pe condensator de la valoarea U0 la U0 i invers.
Intervalul [t3, t4] determin durata impulsului ti, durata pauzei fiind [t2, t3].
Determinarea acestor intervale se face analiznd ncrcarea condensatorului C
de la sursa E = Uiesmax, cu constanta de timp 1 = R1C. Procesul ncepe cnd
uC(0) = U0 (figura 5.13, momentul t2) i se termin cnd uC(ti) = U0. Conform
relaiei (5.9),

215

U ies max U 0
(5. 10)
U ies max U 0
Avnd n vedere dependena U0 n funcie de Uiesmax,
R
ti = R1Cln 1 4 .
R3
Intervalul pauzei, tp se determin din analiza ncrcrii condensatorului
C de la sursa Uiesmax cu constanta de timp = R2C.
uC(0) = U0, uC(tp) = U0. Conform relaiei (5.9),
R
U
U0
tp = R2Cln ies max
= R2Cln 1 4
(5. 11)
U ies max U 0
R3
Perioada este:
R
T = ti + tp = (R1 + R2)Cln 1 4
(5. 12)
R3
iar porozitatea:
T R R2
Q= 1
(5. 13)
ti
R1
Valorile lui ti, tp, T i Q nu depind de parametrii AO, ceea ce asigur
stabilitatea frecvenei multivibratorului. La reglarea frecvenei, porozitatea nu
trebuie s se modifice, n care scop, se pot folosi urmtoarele metode de reglare
a frecvenei:
a) prin modificarea capacitii condensatorului C - metod dificil n
privina complexitii soluiilor;
b) prin modificarea raportului R3/R4 (modificarea uneia din aceste
rezistene), avnd drept rezultat modificarea lui U0. De exemplu, dac
R4 crete, crete i U0, i, pentru c tensiunea de ncrcare a
condensatorului este mai mare, cum constanta sa de ncrcare este
aceeai, timpul de ncrcare ti trebuie s creasc. La fel se modific tp
i deci frecvena scade.
La reglarea lui Q, este necesar s se menin constant valoarea lui f,
adic, prin creterea duratei impulsului, este necesar s se micoreze durata
pauzei cu aceeai valoare. n acest scop, R1 i R2, din schema din figura 5.13,
se realizeaz sub forma unui poteniometru, al crui cursor este legat la intrarea
inversoare a AO, iar capetele la catodul diodei D1, respectiv la anodul diodei
D2. La reglare, cursorul se deplaseaz astfel nct suma R1 + R2 rmne
constant (relaiile 5.12, 5.13).

ti = R1Cln

216

5.6. Circuitul basculant monostabil cu amplificator


operaional
Acest circuit formeaz un impuls singular de form dreptunghiular i
durat fix, care apare la ieirea montajului atunci cnd la intrare se aplic un
scurt impuls. Schema este prezentat n figura 5.14.a. Monostabilul conine un
condensator C1, legat la ieirea comparatorului cu AO prin rezistorul R.
Comparatorul este realizat pe baza schemei cu reacie pozitiv, prin circuitul
R3 R5. i n aceast schem, AO ndeplinete mai multe funcii: comparator,
surs de tensiune de ncrcare a condensatorului i comutator. Dioda D1
determin tensiunea iniial pe condensator, uC(0). Elementele C2, R4, R5, D2
formeaz circuitul de pornire, prin acesta aplicndu-se impulsul scurt de
pornire, uint. Circuitul C2 R5 este un circuit de derivare. Diagramele de timp
ale tensiunilor n montaj sunt prezentate n figura 5.14.b.

Fig. 5. 14 Monostabil cu AO (a) i diagramele de timp ale curenilor i


tensiunilor n circuit (b)

Analiza funcionrii pe etape a monostabilului se face astfel:


etapa I (starea iniial, etapa de ateptare): uint = 0. Considerm
comparatorul aflat n starea uies = Uiesmax. Condensatorul C1 este
descrcat, deoarece dioda D1 mpiedic ncrcarea acestuia prin rezistorul
R de la tensiunea de ieire a AO. Aceast stare este stabil i poate dura
teoretic la infinit, dac uri < uC, prin urmare comparatorul se gsete n
starea de saturaie negativ.
217

R 3R 4
R3 R4
uri = Uiesmax
= U01
(5. 14)
R 3R 4
R2
R3 R4
- etapa a II-a (formarea impulsului). Cnd uint > 0, la intrarea neinversoare a
AO se aplic tensiunea de intrare, care depete valoarea tensiunii de la
aceast intrare aplicat de la ieire prin R2. Cum la intrarea inversoare se
menine uC(t1) = 0, comparatorul comut regenerativ i tensiunea la ieirea
acestuia atinge n salt valoarea uies = Uiesmax. n etapa de formare a
impulsului nu mai este necesar meninerea tensiunii la intrare dup
comutarea comparatorului, pentru c saturaia pozitiv a AO este
meninut de ctre tensiunea care se aplic de la ieirea acestuia la intrarea
neinversoare, prin circuitul R3 R4. Din aceast cauz, impulsul de intrare
al monostabilului poate fi destul de scurt. Cnd t > t1, condensatorul C1 se
ncarc prin rezistorul R, cu constanta = RC1. Etapa de formare a
impulsului se termin n momentul t2, cnd tensiunea pe condensator atinge
valoarea tensiunii de reacie pozitiv la intrarea neinversoare:
R4
uC(t2) = uri(t2) = Uiesmax
= U02
(5. 15)
R3 R4
n acest moment, comparatorul comut regenerativ.
- etapa a III-a (refacerea strii iniiale). n momentul t2, se stabilete n salt
uies = Uiesmax. Condensatorul C1 ncepe s se descarce prin rezistorul R cu
constanta = RC1. n momentul t3, tensiunea pe condensator atinge
valoarea uC(t3) = 0 i se deschide dioda D1, care mpiedic scderea n
continuare a tensiunii pe condensator. n momentul t3, procesul de refacere
se termin, iar monostabilul este pregtit pentru primirea unui alt impuls la
intrare.
Pentru calcularea duratei impulsului, se procedeaz astfel: nceputul
formrii acestuia are loc la momentul t1 (figura 5.14.b), uC(0) = 0, E = Uiesmax,
constanta de timp a condensatorului, = RC1. n momentul de acionare a
comparatorului, uC(t2) = U02. Introducnd aceste mrimi n relaia (5.9) i
avnd n vedere legtura dintre U02 i Uiesmax, se obine:
R
U ies max
ti = RC1ln
= RC1ln 1 4
(5. 16)
U ies max U 02
R3
Asemntor se calculeaz durata etapei de refacere, tref = t3 t2. n acest
scop, se introduc n (5.9) valorile: UC(0) = U02, E = Uiesmax, = RC1, uC(t3) =
0. Se obine:
R 2R 4
U ies max U 02
tref = RC1ln
= RC1ln 3
(5. 17)
U ies max
R3 R4

218

Reglarea duratei impulsului monostabilului, ti, se poate face prin


urmtoarele metode:
a) modificarea lui R sau a lui C1, modificnd astfel viteza de ncrcare a
condensatorului C1;
b) modificarea raportului R3/R4, modificnd astfel tensiunea de acionare
a comparatorului, U02 i, n acest fel, timpul n care tensiunea pe
condensator crete pn la valoarea U02.

5.7. Generatoare de tensiune liniar variabil (GTLV)


Generatoarele de tensiune liniar variabil formeaz tensiuni n form
de dinte de ferstru. Pentru realizarea dependenei liniare a tensiunii n funcie
de timp, deseori se folosete procesul de ncrcare (descrcare) a unui
condensator n curent continuu. n figura 5.15.a este prezentat schema unui
GTLV, diagramele de timp a tensiunii fiind dat n figura 5.15.b.

Fig. 5. 15 Schem de formare a tensiunii liniar variabile

Cnd comutatorul K este n poziia 1, condensatorul se ncarc de la


sursa de curent constant, I i tensiunea pe acesta crete:
t
1
t
uC = I dt U C 0 I U C 0
(5. 18)
C0
C
unde t = 0 este momentul de ncepere a ncrcrii condensatorului.
n momentul t1, comutatorul trece n poziia 2 i condensatorul ncepe
s se descarce prin rezistorul R. Dup descrcarea condensatorului pn la
tensiunea UC(0) = 0, comutatorul trece din nou n poziia 1, procesul repetnduse.
n schema integratorului analizat n paragraful 4.12, condensatorul se
ncarc liniar n timp, dac la intrarea acestuia se aplic o tensiune constant
(figura 4.18.b). Cnd la intrare se aplic Uint* > 0, tensiunea la ieire scade
liniar, astfel:
t
U
1
U int* dt U ies 0 int* t U ies 0
uies =
(5. 19)

RC 0
RC
219

Cnd uint = Uint*, tensiunea de ieire crete liniar:


t
U
1
U int* dt U ies 0 int* t U ies 0
uies =
(5. 20)

RC 0
RC
n figura 5.16.a este prezentat schema unui GTLV cu comand
exterioar (uCO este tensiunea de comand) i diagramele de timp ale
tensiunilor. Schema se compune dintr-un comparator i un integrator.

Fig. 5. 16 Schema GTLV cu comand extern (a) i diagramele de timp ale


semnalelor (b)

Durata ti a impulsului pozitiv de intrare determin durata etapei de


scdere a tensiunii de ieire, uGTLV (figura 5.16.b) Durata etapei de cretere a
uGTLV este egal cu pauza tp dintre impulsurile de comand, uCO. Cnd se aplic
tensiunea de intrare, cu amplitudinea Ucom > E0, comparatorul trece n starea
de saturaie pozitiv, u' = Uiesmax. Dioda D1 se deschide i tensiunea uGTLV scade
liniar. Introducnd R = R1 n relaia (5.19), panta tensiunii uGTLV n intervalul
de scdere, [t1, t2] este:
du GT LV
U
ies max
Ssc =
(5. 21)
dt
R 1C
La ntreruperea impulsului uCO, sub aciunea tensiunii E0 la intrarea
inversoare, comparatorul trece n starea de saturaie negativ, u' = Uiesmax.
Dioda D2 se deschide i integratorul formeaz o tensiune liniar cresctoare.
Introducnd R = R2 n relaia (5.20), panta de cretere a uGTLV n intervalul [t2,
t3] este:
du GT LV U ies max

Scr =
(5. 22)
dt
R 2C
GTLV cu comand extern are o caracteristic important: regimul
stabilizat se obine numai n acel caz, cnd UGTLV sunt egale n etapele de
cretere i de scdere; n caz contrar, valoarea medie a tensiunii de ieire ncepe
220

s creasc (sau s scad), ceea ce, n final, conduce la saturaia AO al


integratorului. Condiia de funcionare stabil a GTLV se reduce la:
tiSsc = tpScr
(5. 23)
n practic, valorile maxim i minim ale tensiunii uGTLV sunt limitate.
Astfel, n schema din figura 5.16.a, pentru limitarea uGTLV, se introduc diodele
stabilizatoare D3 i D4. Cnd 0 < uGTLV < U+, pe dioda D4 acioneaz tensiunea
direct UD4 = 0, dioda D3 este polarizat invers i prin circuitul acesteia trece
curentul I0 0. n acest fel, n acest caz, diodele stabilizatoare practic nu
influeneaz procesul de descrcare a condensatorului.
Cnd se atinge valoarea uGTLV = U+ = UstD3, unde UstD3 este tensiunea
de strpungere (stabilizare) a diodei D3, aceasta funcioneaz n regim de
strpungere, descrcarea condensatorului nceteaz i curentul iri = u'/R1 trece
prin diodele stabilizatoare. n acest fel, tensiunea uGTLV este limitat sus de
valoarea U+. ntr-un mod asemntor, uGTLV este limitat jos de valoarea U =
UstD4, unde UstD4 este tensiunea de stabilizare a lui D4. n figura 5.16.b, se
poate observa funcionarea limitatorului cu diode stabilizatoare la momentul
t5. Intervalul pauzei dintre impulsurile de comand al doilea i al treilea este
suficient de mare, motiv pentru care, la momentul t5 uGTLV atinge valoarea U+.
Aceast tensiune rmne constant pn la sosirea impulsului urmtor, n
momentul t6, cnd ncepe procesul de formare a scderii tensiunii.
Exist GTLV care funcioneaz n regim de autogenerator, deci fr
semnal de comand, aa cum este cazul schemei din figura 5.17.a, diagramele
de timp ale semnalelor n acest caz fiind cele din figura 5.17.b. Aceast schem
se deosebete de cea analizat mai sus prin existena circuitului de reacie
format de R3 i R4.
Tensiunea de reacie, uri este: u1 + u2, unde u1 este uri cnd uGTLV = 0,
iar u2 este uri cnd u = 0. Se obine:
uri(t) = u

R3
R3 R4

+ uGTLV

R4
R3 R4

(5. 24)

La momentul t1, comparatorul trece n starea de saturaie negativ, cnd


u = Uiesmax. Se deschide dioda D2 n integrator ncepe procesul de formare a
tensiunii liniar cresctoare, uGTLV. n intervalul [t1, t2], tensiunea uri crete de
asemenea liniar, conform relaiei (5.24). La momentul t2, din aceast relaie
rezult:
R3
R4
uri(t2) = Uiesmax
+ U+
= E0
(5. 25)
R3 R4
R3 R4
n acest moment, comparatorul comut i tensiunea la ieirea acestuia
se modific n salt la valoarea u = Uiesmax. Conform relaiei (5,24), uri sufer,
de asemenea, un salt, procesul de comutare a comparatorului dezvoltndu-se
regenerativ, datorit reaciei pozitive. n intervalul [t2, t3] dioda D1 este
221

deschis i integratorul formeaz tensiunea liniar descresctoare u GTLV.


Tensiunea uri scade i ea liniar i, la momentul t3 relaia (5.24) devine:
R3
R4
uri(t3) = Uiesmax
+ U
= E0
(5. 26)
R3 R4
R3 R4
Comparatorul comut din nou regenerativ, ncepnd s se formeze
sectorul liniar cresctor al uGTLV.

Fig. 5. 17 Schema GTLV autogenerator (a) i diagramele de timp ale


semnalelor (b)

Schema din figura 5.17 poate fi utilizat i ca multivibrator, caz n care


tensiunea de ieire se culege de la ieirea comparatorului.
Pe baza GTLV, se construiesc sistemele de baleiaj la osciloscoapele
electronice, diferite dispozitive de conversie (tensiune-timp, tensiunefrecven, tensiune-defazare, etc.) i altele. Pentru exemplificare, s analizm
dispozitivul a crui schem este cea din figura 5.18.a. El se compune din GTLV
a crui ieire este conectat la una din intrrile comparatorului K, la cealalt
intrare a acestuia aplicndu-se semnalul de intrare. Comparatorul fixeaz
egalitatea: uGTLV(t) = ui(t).
n momentul t2 (figura 5.18.b), uGTLV = Scrti, unde ti = t2 t1. Atunci, ti
u
= i . Prin comutarea comparatorului, la ieirea acestuia se formeaz
S cr
impulsuri dreptunghiulare, a cror durat este direct proporional cu valoarea
curent a lui ui. Cnd ue > 0, se nchide comutatorul Com i, pe sarcina RS2
apare pachetul de impulsuri de la ieirea multivibratorului, al cror numr este
direct proporional cu intervalul ti i cu tensiunea ui.

222

Fig. 5. 18 Schema convertorului de tensiune n durata sau numrul impulsurilor


(a) i diagramele de timp ale semnalelor (b)

Astfel, dispozitivul ndeplinete fie funcia de convertor al tensiunii n


durata impulsurilor (ue1), fie funcia de convertor de tensiune n numr de
impulsuri (ue2).

5.8. Generatoare blocking


n general, utilizarea circuitelor integrate n tehnica impulsurilor a dus
la reducerea sever a folosirii elementelor magnetice, datorit condiiilor de
reducere a gabaritului i de cretere a fiabilitii. Totui, exist unele cazuri n
care se justific aceast utilizare, n special n etajele de ieire ale dispozitivelor
de impulsuri destinate s transmit n sarcin o anumit putere. Cuplarea prin
transformator a sarcinii asigur decuplarea galvanic a circuitelor i adaptarea
valorii tensiunii. Formatoarele regenerative magnetice de tensiuni
dreptunghiulare cu tranzistoare i cu reacie pozitiv prin transformator se
numesc generatoare blocking. n figura 5.19.a este prezentat schema unui
astfel de generator, care funcioneaz n regim de ateptare, adic ndeplinete
funcia de monostabil.
Cnd la intrare se aplic un impuls scurt de pornire, ui, se formeaz la
ieire un impuls dreptunghiular, ue, cu durata ti. Schema este un comutator cu
tranzistor, n circuitul de colector al cruia se cupleaz bobina primar a
transformatorului, sarcina fiind cuplat la bobina secundar a acestuia. Reacia
pozitiv se realizeaz prin bobina de reacie i rezistorul R1. Regimul iniial de
blocare a tranzistorului este asigurat de sursa Ed, legat n baza acestuia prin
rezistorul R2. Se pot evidenia trei etape n funcionarea generatorului:
- etapa I (starea de ateptare), cnd tranzistorul este blocat:
uB = ICB0R2 Ed < 0, uCE EC, ue = 0.
- etapa a II-a (formarea impulsului): la momentul t1, la intrare se aplic un
impuls pozitiv, ui, tranzistorul ncepe s se deschid i curentul iC trece prin
bobina primar, pe care produce o cdere de tensiune, care se transfer n
223

bobina de reacie i determin deschiderea tranzistorului; procesul este


regenerativ i se dezvolt n avalan, avnd drept rezultat saturarea
tranzistorului: uCE 0; curentul bazei care satureaz tranzistorul este:
E w
u
iri = ri C ri
(5. 27)
R1
R 1w1
unde wri i w1 reprezint numrul de spire ale bobinei de reacie, respectiv ale
bobinei primare.

Fig. 5. 19 Schema generatorului blocking (a), schema sa echivalent (b)


i diagramele de timp ale semnalelor (c-g)

Dup saturarea tranzistorului, impulsul de intrare poate lipsi,


tranzistorul rmnnd deschis. Curentul de colector are trei componente: iC =
E
w
w
ii iri + iri + i, unde i i = ii 2 , i ri = iri ri i i = C t t 1 (L inductana
w1
w1
L
de magnetizare a transformatorului). Pe msura magnetizrii circuitului
magnetic al transformatorului, curentul ipr crete, curentul iC crescnd i el, n
timp ce iB iri rmne constant. Ca rezultat, la momentul t2 condiiile de
saturaie a tranzistorului nu mai sunt ndeplinite i acesta ncepe s se nchid.
Astfel, uCE crete, ceea ce duce la dezvoltarea procesului regenerativ de
blocare, care se termin cnd tranzistorul este blocat complet.
- etapa a III-a (refacerea situaiei iniiale); creterea tensiunii uCE poate duce
la strpungerea tranzistorului, motiv pentru care n circuit este plasat grupul
224

de protecie R0 D0; cnd uCE > EC, dioda D0 se deschide i energia


acumulat n miezul magnetic al transformatorului se disip n rezistorul
R0. Scderea exponenial a lui uCE (figura 5.19.f) se face cu constanta ref
w2
R R S
=L 0
, unde R S R S 12 .
R 0 R S
w2
Modificarea lui Ed poate transforma generatorul blocking n regim de
autogenerator, n care, dup terminarea etapei de refacere, ncepe formarea
unui alt impuls, fr comand extern (ui = 0). Trecerea n regimul de
autogenerator se poate face prin creterea spre valori pozitive a lui Ed, cnd, la
un moment dat, nu se mai ndeplinesc condiiile de blocare a tranzistorului n
starea iniial.

225

6.

ELECTRONICA DIGITAL

Dispozitivele analogice sunt acele dispozitive la care semnalul la ieire


variaz continuu, chiar i atunci cnd la intrare semnalul este variabil n trepte.
Semnalele digitale (numerice), au variaii n trepte i, n cele mai multe cazuri,
acestea au numai dou niveluri: nivelul nalt, asociat de regul cu tensiunea de
+ (5 15) V i nivelul sczut, asociat valorii de 0 V.

Fig. 6. 1 Determinarea nivelurilor logice pentru circuitele integrate


TTL i CMOS

Dispozitivele la care se folosesc semnale digitale sunt dispozitive


digitale, sau numerice. n general, pentru generarea i prelucrarea semnalelor
digitale, se utilizeaz circuite integrate. Semnalul numeric reprezint deci o
succesiune a dou niveluri de tensiune, bine determinate. La circuitele
integrate logice de tip TTL, nivelul nalt este asociat tensiunii de + 5 V, pe
cnd, pentru unele circuite de tip CMOS, el este asociat tensiunii de + 15 V.
Nivelurile logice pentru cele dou tipuri de circuite integrate sunt
reprezentate n figura 6.1. Pentru generarea semnalelor numerice se folosesc
circuitele basculante monostabile, bistabile i astabile.

6.1. Sisteme de numeraie n electronica digital.


Elemente de logic boolean (binar) i circuite logice
6.1.1. Sisteme de numeraie
n sistemul zecimal, pentru reprezentarea numerelor, se folosesc 10
cifre, 0, 1, ... 8, 9, sistemul fiind numit i sistem de numeraie cu baza 10.
Electronica digital folosete, din mai multe motive, sistemul de numeraie
binar (cu baza 2), cu numai dou cifre, 0 i 1.
n orice sistem de numeraie, cu baza b, un numr se reprezint printro succesiune de cifre, locul fiecrei cifre fiind numit rangul r al cifrei
226

respective, acesta fiind egal cu 0, 1, 2, ... , ncepnd cu cifra aflat la dreapta i


continund cu cele aflate la stnga ei, n ordine. Ponderea rangului este egal
cu br. n figura 6.2.a sunt date ponderile primelor 10 ranguri n sistemul binar.

Fig. 6. 2 Ponderea rangurilor n sistemul binar (a), transformarea numerelor


binare n numere zecimale (b) i transformarea numerelor zecimale n numere
binare (c)

Transformarea numerelor binare n numere zecimale se face pe baza


figurii 6.2.b. Ca exemplu, este considerat numrul 110011. ncepnd de la
punctul binar (echivalent cu virgula din scrierea numerelor zecimale), se scrie
sub fiecare cifr binar ponderea rangului su, conform figurii 6.2.a, se adun
aceste ponderi, rezultatul fiind numrul zecimal cutat (51). Transformarea
invers se face conform procedurii reprezentate n figura 6.2.c (unde s-a luat
drept exemplu numrul zecimal 13). Numrul zecimal se mparte la 2, restul
obinut fiind valoarea rangului cu ponderea 1. rezultatul mpririi se mparte
din nou la 2, noul rest fiind valoarea rangului cu ponderea 2. Se continu n
acest fel, pn cnd rezultatul mpririi este mai mic dect 2.

Fig. 6. 3 Sistemul de codificare i decodificare pentru transformarea


numerelor zecimale n numere binare i invers

227

n figura 6.3 este prezentat schema bloc a dispozitivului electronic ce


realizeaz transformarea numerelor zecimale n numere binare, (proces numit
codificare) i invers (proces numit decodificare).
n general, dispozitivele electronice digitale utilizate n calculatoarele
electronice "neleg" numai numerele binare. Exist unele situaii n care se
folosete sistemul de numeraie cu baza 16 (hexazecimal), cu cifrele 0, 1, 2, 3,
4, 5, 6, 7, 8, 9, A, B, C, D, E i F.
Tabel 6.1 Echivalena numerelor binare, zecimale i hexazecimale
NUMR ZECIMAL NUMR BINAR
NUMR N BAZA 16
0
0000
0
1
0001
1
2
0010
2
3
0011
3
4
0100
4
5
0101
5
6
0110
6
7
0111
7
8
1000
8
9
1001
9
10
1010
A
11
1011
B
12
1100
C
13
1101
D
14
1110
E
15
1111
F
16
10000
10
17
10001
11
n tabelul 6.1 sunt reprezentate codurile binare i hexazecimale ale
numerelor zecimale de la 1 la 17. Reprezentarea hexazecimal a numerelor are
avantajul c, prin utilizarea acestuia, se poate realiza transformarea nemijlocit
a numerelor binare cu 4 ranguri. De exemplu, F n sistemul hexazecimal
corespunde numrului binar cu 4 ranguri 1111. Codurile hexazecimale se
folosesc de obicei pentru reprezentarea numerelor binare multirang. Astfel,
numrului hexazecimal A6, i corespunde numrul binar cu 8 ranguri
10100110. Sistemul hexazecimal se folosete frecvent la schemele cu
microprocesoare pentru reprezentarea numerelor binare cu 8 i 16 ranguri.
Dup cum se poate constata din tabelul din figura 6.1, scrierea "10" poate
228

reprezenta 2, 10 sau 16 obiecte, dup cum sistemul de numeraie este binar,


zecimal sau hexazecimal.
Pentru evitarea confuziilor n cazul utilizrii concomitente a mai multor
sisteme de numeraie, numerele se scriu cu indicarea bazei de numeraie ca
indice n dreapta-jos: 1010, 102, 1016. Transformrile numerelor dintr-un sistem
de numeraie n altul reprezint operaii tipice n microprocesoare. Ca exemplu,
se prezint transformarea numrului C316 n numr binar (figura 6.4.a) i
transformarea numrului binar 111010102 n numr hexazecimal (figura
6.4.b).

Fig. 6. 4 Transformarea numerelor hexazecimale n numere binare (a) i invers (b)

Transformarea numerelor hexazecimale n numere zecimale i invers


are loc conform procedeelor artate prin exemple n figurile 6.5.a, respectiv
6.5.b.

Fig. 6. 5 Transformarea numerelor hexazecimale n numere zecimale (a) i


invers (b)

6.1.2. Operaii i circuite logice elementare


Algebra boolean1, numit i algebra logicii binare, opereaz cu
variabile care pot avea numai dou valori numerice, 0 i 1, crora le corespund

Algebra boolean a fost elaborat de matematicianul englez Boole n secolul trecut; ea a fost folosit n
tehnica de calcul pentru prima dat de ctre Shannon, n 1938.

229

valorile logice NU, FALS, sau NIMIC, respectiv DA, ADEVRAT, sau
TOT. Operaiile logice de baz sunt urmtoarele:
negaia, complementul logic sau funcia logic NU (NOT) face ca unei
variabile binare A s i corespund variabila binar A , cu proprietatea:
A A = 1
(6. 1)
Tabelul de adevr a acestei funcii i simbolul dispozitivului care o
realizeaz, numit inversor, sunt reprezentate n figura 6.6.

Fig. 6. 6 Circuit inversor i tabelul de adevr al su

intersecia, produsul logic sau funcia logic I (AND), a crei tabel de


adevr este dat n figura 6.7, mpreun cu simbolul dispozitivului care o
realizeaz. Funcia logic I realizeaz operaia:
AB=Y
(6. 2)

Fig. 6. 7 Circuit logic I i tabelul su de adevr

reuniunea, suma logic sau funcia logic SAU (OR), a crei tabel de
adevr este dat n figura 6.7, mpreun cu simbolul dispozitivului care o
realizeaz. Funcia logic I realizeaz operaia:
A+B=Y
(6. 3)

Fig. 6. 8 Circuit logic SAU i tabelul de adevr al su

230

Aceste operaii logice au urmtoarele proprieti:


1. x + y + z = (x + y) + z = x + (y + z)
2. x (y + z) = (x y) + (x z)

(6. 4)
(6. 5)

3. x = x
4. x y z x y z

(6. 6)
(6. 7)

5. x y z x y z
(6. 8)
Ultimele dou relaii sunt cunoscute sub numele de teoremele lui de
Morgan. Mai pot fi demonstrate i relaiile:
6. x + x + x + . . . = x
(6. 9)
7. x x x . . . = x
(6. 10)
8. x x = 0
(6. 11)
9. x + 0 = x (element neutru fa de sum)
(6. 12)
10. x 1 = x (element neutru fa de produs)
(6. 13)
11. x + (x y) = x
(6. 14)
12. x + ( x y) = x + y
(6. 15)
6.1.3. Alte circuite logice mai des folosite
1. Circuitul logic I-NU
Circuitul logic I-NU (NAND) realizeaz operaia logic prin care se
inverseaz operaia I:
AB= Y
(6. 16)
Reprezentarea convenional este dat n figura 6.9.a. Alturi este dat
i modul de obinere a operaii din cele elementare (figura 6.9.b) i tabelul de
adevr (figura 6.9.c). Caracteristica particular a circuitului I-NU const n
faptul c, la ieirea acestuia, nivelul logic zero apare numai atunci cnd la toate
intrrile sale se aplic semnal de nivelul logic 1.

231

Fig. 6. 9 Circuit logic I-NU (a), sinteza lui (b) i tabelul de adevr (c)

2. Circuitul logic SAU-NU


Circuitul logic SAU-NU (NOR) realizeaz operaia logic prin care se
inverseaz operaia SAU:
A B= Y
(6. 17)

Reprezentarea convenional este dat n figura 6.10.a. Alturi este dat


i modul de obinere a operaii din cele elementare (figura 6.10.b) i tabelul de
adevr (figura 6.10.c). Caracteristica particular a circuitului I-NU const n
faptul c, la ieirea acestuia, nivelul logic 1 apare numai atunci cnd la toate
intrrile sale se aplic semnal de nivelul logic 0.
Fig. 6. 10 Circuit logic SAU-NU (a), sinteza lui (b) i tabelul de adevr (c)

232

3. Circuitul logic SAU-EXCLUSIV


Circuitul logic SAU-EXCLUSIV (XOR) este un circuit logic reprezentat
n figura 6.11.a.

Fig. 6. 11 Circuitele logice SAU-EXCLUSIV (a), SAU-NU-EXCLUSIV (b) i


tabelul de adevr al lor (c)

Alturi este dat i tabelul de adevr (figura 6.11.c). El realizeaz operaia


logic:
AB=Y
(6. 18)
4. Circuitul logic SAU-NU-EXCLUSIV (COINCIDEN)
Circuitul logic SAU-NU-EXCLUSIV (XNOR) este un circuit logic
reprezentat n figura 6.11.b. Alturi este dat i tabelul de adevr (figura 6.11.c).
El realizeaz operaia logic:
(6. 19)
AB = Y
Pentru obinerea altor funcii logice necesare n aplicaii, n practic
este mai comod utilizarea unor circuite logice de baz. Astfel, pe baza
circuitului logic I-NU, se pot sintetiza toate celelalte funcii logice, aa cum
se poate vedea n figura 6.12. Din acest motiv, circuitul logic I-NU se mai
numete poart logic elementar, ea fiind realizat integrat, n numeroase
variante constructive.

233

Fig. 6. 12 Sinteza funciilor logice folosind poarta logic elementar (circuitul


logic I-NU)

6.1.4. Circuite logice cu mai mult de dou intrri


n unele situaii, circuitele analizate anterior pot dispune de mai multe
intrri, aa cum este cazul exemplului urmtor, reprezentnd circuitul logic I
cu trei intrri, expresia boolean a funciei realizate de acest circuit,
reprezentarea lui i tabela de adevr fiind date n figura 6.13.a,b.
i n acest caz, circuitul poate fi sintetizat pe baza unor pori elementare
(figura 6.13.e). n figura 6.13.g este artat sinteza cu pori elementare a
circuitului SAU cu 4 intrri, simbolul i tabelul de adevr al acestui circuit
fiind date n figura 6.13c,d. Pentru sinteza circuitului SAU cu 3 intrri se
folosesc circuite SAU cu 2 intrri (figura 6.13.h), care, la rndul lor pot fi
sintetizate cu pori elementare. Sinteza circuitului I cu 4 intrri este prezentat
n figura 6.13.i.
234

Fig. 6. 13 Circuite logice cu mai multe intrri i sinteza acestora

n practic, se folosesc circuite logice cu pn la 8 intrri i, mai rar,


chiar mai multe, sinteza acestora realizndu-se analog situaiilor prezentate.

235

6.1.5. Utilizarea porii inversoare pentru transformarea circuitelor


logice
Uneori, n anumite situaii, este mai comod transformarea circuitelor
logice de baz, pentru obinerea altor funcii logice necesare n aplicaii. n
figura 6.14 este prezentat un tabel reprezentnd modul de transformare a unui
circuit dat n alt circuit, folosind poarta inversoare. Se constat c anumite
funcii logice se pot obine n mai multe moduri. Astfel, circuitele I-NU i
SAU-NU se pot obine att prin inversarea intrrilor, ct i prin inversarea
ieirilor, aa cum se poate vedea n figura 6.14.

Fig. 6. 14 Transformarea circuitelor logice utiliznd pori inversoare

Circuitele logice se realizeaz sub form integrat, n familii, cum este


cazul integratelor din familia bipolar, sau al celor din familia CMOS, aspectul
exterior fiind determinat de tipul carcasei i de modul de dispunere a
terminalelor. Cea mai rspndit variant constructiv este reprezentat n
figura 6.15.a,b (capsul TO 116), dispunerea terminalelor fiind exemplificat

236

n cazul circuitului TTL CDB 400 (7400), cuprinznd 4 pori elementare, n


figura 6.15.c.

Fig. 6. 15 Aspect exterior (a, b) i dispunerea terminalelor (c) la circuitul 7400

6.2. Utilizarea circuitelor logice binare pentru


obinerea funciilor logice
S considerm o expresie boolean, de exemplu, de forma:
A B + A B + B C,
pentru care se pune problema sintezei schemei care s realizeze funcia
respectiv. Primul pas n acest proces este construirea schemei logice (figura
6.16.a,b).

Fig. 6. 16 Sinteza schemei logice care realizeaz funcia A B + A B + B C

Al doilea pas este cel din figura 6.16.c. La prima intrare a circuitului
SAU se introduce circuitul suplimentar I cu dou intrri), una dintre acestea
avnd cuplat o poart inversoare, n scopul formrii combinaiei B C.
237

Apoi, pentru formarea combinaiei A B , la a doua intrare se adaug un


alt circuit I (figura 6.16.d) i, n acelai mod, pentru formarea combinaiei A
B, la a treia intrare se adaug nc un circuit I (figura 6.16.e). Un alt exemplu
este cel prezentat n figura 6.17, pentru sinteza circuitului care realizeaz
funcia logic Y = (A + B + C)( A + B ).
Din exemplele analizate, se poate trage concluzia c, n general, sinteza
circuitelor logice ncepe cu ieirea acestora i, prin operaii de tipul celor
prezentate, se ajunge la intrarea lor.

Fig. 6. 17 Sinteza schemei logice care realizeaz funcia:


Y = (A + B + C)( A + B )

Acest mod de sintez este ns greoi i are particulariti pentru fiecare


situaie n parte. De aceea, este necesar gsirea unui algoritm care, prin
aplicarea sa, s permit sinteza circuitelor, indiferent de cazul particular
ntlnit. Pentru a construi un astfel de algoritm, s analizm mai nti modul de
transformare a informaiei prezentate sub form de tabel de adevr n funcie
logic boolean i invers.

Fig. 6. 18 Obinerea funciei logice pe baza tabelului de adevr (a, b) i


invers (c, d)

238

Astfel, pentru operaia logic al crei tabel de adevr este prezentat n


figura 6.18.a, funcia logic este cea din figura 6.18.b, obinut astfel: valoarea
1 a funciei Y corespunde unui numr de dou combinaii: A = 1 I B = 1 I
C = 0, SAU A = 0 I B = 0 I C = 1. Problema invers const n stabilirea
tabelului de adevr pe baza expresiei funciei booleene.
Lund ca exemplu expresia Y = C A + CBA, se stabilesc mai nti
combinaiile: A = 0 I B = 1 I C = 0 SAU A = 0 I B = 0 I C = 0 (figura
6.18.c) i apoi A = 0 I B = 1 I C = 0 SAU A = 0 I B = 0 I C = 0 SAU A
= 1 I B = 1 I C = 1 (figura 6.18.d).
n general, exprimarea funciilor logice de mai multe variabile se face
sub forma unei sume logice de termeni P sau a unui produs de termeni S, aceste
forme numindu-se forme canonice.
S considerm urmtorul exemplu: se d funcia de trei variabile A, B,
C, al crei tabel de adevr este cel alturat. Scrierea n
A B C f(A, B, C)
form canonic cu termeni P sau S a funciei f(A, B, C)
1
se face astfel: pentru scrierea cu termeni P, se iau acele 0 0 0
0
combinaii de variabile pentru care funcia ia valoarea 1, 0 0 1
0
1
0
0
combinaiile fiind produse ale tuturor variabilelor, negate
0
dac au valoarea 0 i respectiv nenegate dac au valoarea 1 0 0
1
1; pentru scrierea cu termeni S se iau acele combinaii de 0 1 1
1
1
0
0
variabile pentru care funcia ia valoarea 0, combinaiile
1
fiind suma tuturor variabilelor, negate dac au valoarea 1, 1 0 1
1 1 1
1
respectiv nenegate dac au valoarea 0.
Astfel, pentru funcia a crei tabel de adevr este
cea alturat, formele canonice cu termeni P i S sunt:
fP = ( A B C ) + ( A B C) + (A B C) + (A B C)
fS = ( A + B + C ) ( A + B + C) (A + B + C) (A + B + C )
Scrierea funciei sub form canonic permite implementarea ei ntr-o
schem logic. n general, aceste scheme logice rezultate sunt destul de
complicate; pentru simplificarea lor, se poate face minimizarea funciei, pe
baza relaiilor (6.5), (6.7), (6.8) i (6.14). Dac numrul variabilelor nu este
prea mare, se poate folosi minimizarea prin metoda diagramelor Karnaugh,
aceste fiind matrice cu 2n csue (n fiind numrul variabilelor), fiecare csu
corespunznd unei anume combinaii de valori ale variabilelor i avnd
nscris n ea valoarea combinaiei respective. Rezult c fiecrei csue i
corespunde un termen P sau S (dup cum a fost exprimat funcia). Pentru
funcia de mai sus, diagrama Karnaugh este cea de mai sus. Pentru minimizarea
funciei, se procedeaz astfel: se grupeaz cmpurile adiacente avnd valoarea
1 n dreptunghiuri sau ptrate cu laturile egale cu una, dou sau patru csue,
urmrindu-se ca toate cmpurile cu valoarea 1 s fie cuprinse n cel puin o
239

grupare iar gruprile s aib suprafaa maxim. Funcia logic minimizat se


obine prin nsumarea termenilor corespunztori grupurilor realizate.
Pentru funcii de dou variabile, diagrama Karnaugh are dimensiunea
2 2, pentru patru variabile 4 4 iar pentru cinci variabile se construiesc dou
diagrame cu dimensiunea 4 4 pentru patru din cele cinci variabile, fiecare
corespunznd uneia din cele dou stri ale celei de-a cincea variabile.

Fig. 6. 19 Simplificarea unei expresii booleene: funcia logic (a), schema logic
ce realizeaz funcia (b), tabelul de adevr (c), schema logic simplificat (d) i
expresia funciei logice simplificate (e)

S considerm exemplul reprezentat n figura 6.19, n care se d funcia


Y de forma 6.19.a i s construim diagrama Karnaugh pentru funcia dat
(figura 6.20.a).

Fig. 6. 20 Minimizarea unei funcii logice de dou variabile (a, b), trei variabile (c,
d) i patru variabile (e, f), folosind diagrama Karnaugh

240

Aceasta se prelucreaz conform celor expuse mai sus pentru


minimizarea funciei, aa cum se poate vedea n figura 6.20.b i se obine
funcia minimizat. Pentru funcii de trei variabile, se procedeaz ca n
exemplul din figura 6.20.c,d, iar pentru funcii de patru variabile se procedeaz
ca n exemplul din figura 6.20.e,f.
Exist i procedee mai puin generale de construcie a contururilor, aa
cum se poate vedea n figura 6.21.a, unde diagrama se poate considera ca fiind
desfurarea unui cilindru dispus orizontal, astfel c marginea de sus se
continu cu cea de jos. Astfel, pornind de la funcia:
Y = A B C D + A B C D + A B C D + A B C D,
se ajunge la funcia simplificat Y = B C . n mod similar, se poate face, unde
este cazul i operaia echivalent, de nfurare a diagramei sub forma unui
cilindru vertical i, bineneles, combinaia celor dou cazuri, aa cum se poate
vedea n figura 6.21.b, unde funcia logic iniial,
Y = A B C D + A B C D + A B C D + A B C D ,
se simplific prin procedeul descris, sub forma Y = B D .
Aa cum am artat anterior, din motive practice, este comod ca
schemele logice s fie sintetizate cu pori elementare I-NU, pentru a reduce
numrul variantelor de circuite logice utilizate n schem.

Fig. 6. 21 Minimizarea funciilor logice n anumite situaii particulare

Pentru rezolvarea problemelor logice complicate se folosete aanumitul selector de date, numit i multiplexor. Ca exemplu, s analizm
selectorul de date 1 din 8, prezentat n figura 6.22.a. El dispune de 8 intrri
informaionale, sau intrri de date (0, 1, ..., 7), trei intrri de selecie, sau intrri
de adrese (A, B, C) i o ieire. Selectorul de date are drept funcie esenial
241

transmiterea datelor de la o anumit intrare, la ieire. Alegerea intrrii de la


care se transmit datele este determinat prin codul binar aplicat la intrrile de
selecie. Selectorul funcioneaz ca un comutator rotativ (figura 6.22.b).

Fig. 6. 22 Selector de date 1 din 8 (a) i schema echivalent, a


comutatorului rotativ cu 8 contacte (b)

Utilizarea selectorului de date este ilustrat prin exemplul prezentat n


figura 6.23.

Fig. 6. 23 Schema logic pentru realizarea funciei logice Y = ABCD + A B C


D + +A B C D + AB C D + A BC D + + A B C D + A B CD (a) i realizarea
acesteia cu selectorul de date (b)

Acesta prezint sintetizarea n schem logic a funciei logice (figura


6.23.a):
Y = ABCD + A B C D + A B C D + AB C D + A BC D + + A B
C D + A B CD
242

Schema obinut nu este ns economic, o soluie mai puin


costisitoare fiind cea care utilizeaz selectorul de date. n acest scop, se
ntocmete tabelul de adevr (figura 6.23.b).
Acestuia i se adaug selectorul de date de tip 1 din 16, la cele 16
intrri ale cruia se aplic valoarea logic corespunztoare, din tabelul de
adevr. La cele patru intrri de selecie se aplic combinaii de cifre binare
corespunztoare diferitelor combinaii ale intrrilor din tabelul de adevr, n
funcie de care se obine o anumit valoare la ieire. De exemplu, pentru
combinaia 0101 aplicat la intrrile de selecie, la ieirea selectorului se obine
valoarea 0, corespunztoare intrrii 5.

Fig. 6. 24 Primul pas n rezolvarea problemei logice cu 4 variabile


utiliznd un selector de date 1 din 8

n acest mod, folosind un singur circuit integrat, se poate simplifica din


punct de vedere practic problema sintetizrii unor circuite logice, metoda
utilizrii selectorului de date fiind util pentru realizarea circuitelor logice care
implementeaz funcii logice de trei, patru sau cinci variabile.
243

n rezolvarea problemei de mai sus, s-a utilizat un selector de date 1


din 16, dar astfel de probleme se pot rezolva i prin utilizarea unui selector
mai simplu, de tipul 1 din 8, dac se folosete aa-numita metod de
nfurare. Pentru exemplificare, s analizm tabelul de adevr cu patru
variabile din figura 6.24. n acest caz, ansamblul valorilor variabilelor de la
intrrile de selecie C, B i A pentru rndurile 0 7 este acelai ca i pentru
rndurile 8 15 (valorile sunt grupate n contururi punctate).
n continuare, determinarea semnalelor logice care trebuie aplicate la
fiecare din cele 8 intrri, D0 D7, ale selectorului (figura 6.25).
Semnalul la intrarea D0 a selectorului de date (de tip 74151) este determinat
conform figurii 6.25.a, n care scop, tabelul de adevr din figura 6.24 se
nfoar astfel nct s se poat compara rndurile 0 i 8. Din figur, se
observ c fiecare din variabilele de intrare C, B i A, aplicate la intrrile
selectorului pentru ambele rnduri au valoarea 0. Indiferent de valoarea
concret a variabilei D, la ieirea Y trebuie s se obin valoarea 0. Prin
urmare, la intrarea D0 a selectorului trebuie s se aplice 0 logic (intrare legat
la mas, figura 6.25.i).
Semnalul logic ce se aplic la intrarea D1 se determin conform figurii 6.25.b.
nfurnd tabelul de adevr conform acestei figuri, se compar rndurile 1
i 9. i n acest caz, variabilele de intrare de selecie C, B i A au aceleai
valori. La ieirea Y trebuie s se obin valoarea 1 indiferent de valoarea
variabilei D i, ca urmare, la intrarea D1 trebuie s avem valoarea 1 (intrare
legat la + 5V, figura 6.25.i).
Semnalul logic ce se aplic la intrarea D2 se determin conform figurii 6.25.c.
nfurnd tabelul de adevr conform acestei figuri, se compar rndurile 2
i 10. i n acest caz, variabilele de intrare de selecie C, B i A au aceleai
valori. La ieirea Y ns trebuie s se obin fie valoarea 1, dac D are i el
valoarea 1, fie valoarea 0, dac D are valoarea 0. Ca urmare, la intrarea D 2
semnalul aplicat trebuie s fie egal cu semnalul aplicat la intrarea D (intrarea
D2 legat la D, figura 6.25.i).
Semnalul logic ce se aplic la intrarea D3 se determin conform figurii 6.25.d.
nfurnd tabelul de adevr conform acestei figuri, se compar rndurile 3
i 11. i n acest caz, variabilele de intrare de selecie C, B i A au aceleai
valori. La ieirea Y ns trebuie s se obin fie valoarea 1, dac D are i
valoarea 0, fie valoarea 0, dac D are valoarea 1. Ca urmare, la intrarea D 3
semnalul aplicat trebuie s fie complementar cu semnalul aplicat la intrarea
D, adic D (intrarea D3 legat la D printr-o poart inversoare, figura 6.25.i).
n acelai mod se procedeaz i pentru celelalte rnduri (figurile 6.25.e,
f, g, h), analiza fcut n fiecare caz determinnd valoarea concret ce trebuie
aplicat la intrrile D4, D5, D6 i D7 (figura 6.25.i).

244

Fig. 6. 25 Al doilea pas n rezolvarea problemei logice cu 4 variabile utiliznd


metoda nfurrii i un selector de date 1 din 8: determinarea datelor care se
aplic la intrrile D0 D7 (a h) i schema logic obinut (i)

Selectorul de date (multiplexorul) se folosete ca element logic


universal, utilizarea acestuia oferind o metod simpl i economic de realizare
a funciilor logice cu numr de variabile de la trei, la cinci.

6.3. Coduri. Codificare i decodificare


6.3.1. Coduri
n electronica digital, numerele zecimale sunt reprezentate sub forma
lor binar, aplicaia care stabilete legtura respectiv reprezentnd aa numitul
cod binar-zecimal (BCD Binar Code Decimal). Codificarea este necesar n
acest caz datorit faptului c lucrul n sistemul binar, n care se opereaz cu
numai dou valori (0 i 1) este mai sigur dect n cel zecimal, n care se
opereaz cu 10 valori (cifrele zecimale 0, 1, 2, 3, 4, 5, 6, 7, 8, 9). Codificarea
245

este necesar i datorit altor motive, cum este cel privind corecia erorilor la
transmiterea de date.
n practic, n funcie de aplicaia respectiv, se folosesc i alte tipuri
de coduri, aa cum se va vedea n continuare.
1. Codul binar-zecimal 8421

Fig. 6. 26 Transformarea unui numr zecimal n cod 8421 (a) i a unui numr din
cod 8421 n numr zecimal (b)

Tabel 6.2 Echivalena numerelor zecimale cu cele n cod cu surplus de 3


NUMERE ZECIMALE
NUMERE N COD CU SURPLUS 3
0
0011
1
0100
2
0101
3
0110
4
0111
5
1000
6
1001
7
1010
8
1011
9
1100
14
0100
0111
27
0101
1010
38
0110
1011
459
0111
1000
1100
606
1001
0011
1001
SUTE ZECI UNITI
Prin codificarea n acest cod, unui numr zecimal i corespunde un ir
de grupe de patru cifre binare (tetrade), care, la rndul lor corespund scrierii n
sistemul binar a fiecrei cifre zecimale care formeaz numrul respectiv.
Ordinea tetradelor corespunde rangului cifrei corespunztoare. n figura 6.26.a
este prezentat un exemplu de codificare a unui numr zecimal n cod 8421, iar
246

n figura 6.26.b este prezentat un exemplu de decodificare a unui numr scris


n codul 8421 i trecere a acestuia n forma sa zecimal.
Este de subliniat faptul c, n codul 8421 nu se utilizeaz niciodat
tetradele urmtoare: 1010, 1011, 1100, 1101, 1110, 1111, deoarece ele
corespund unor numere zecimale mai mari dect 9, deci nu pot reprezenta cifre
zecimale. Tabelul 6.3 prezint echivalena dintre primele 18 numere zecimale
i cele n codul 8421.
Tabel 6.3 Echivalena numerelor zecimale cu cele exprimate n cod 8421 i
n cod Gray
NUMERE
NUMERE
NUMERE N
NUMERE N
ZECIMALE
BINARE
COD 8421
COD GRAY
0
0000
0000
0000
1
0001
0001
0001
2
0010
0010
0011
3
0011
0011
0010
4
0100
0100
0110
5
0101
0101
0111
6
0110
0110
0101
7
0111
0111
0100
8
1000
1000
1100
9
1001
1001
1101
10
1010
0001 0000
1111
11
1011
0001 0001
1110
12
1100
0001 0010
1010
13
1101
0001 0011
1011
14
1110
0001 0100
1001
15
1111
0001 0101
1000
16
10000
0001 0110
11000
17
10001
0001 0111
11001
2. Codul cu surplus (exces) de 3
Codul cu surplus de 3 este tot un cod din grupa codurilor binarzecimale, care se bazeaz pe faptul c, fiecrei cifre zecimale din numrul ce
urmeaz a fi codificat i se adaug valoarea 3, dup care rezultatului i se
asociaz numrul binar reprezentat printr-o tetrad, ca n cazul precedent. n
tabelul 6.2 este prezentat echivalena unor numerelor zecimale n codul cu
surplus 3.
3. Codul Gray
Particularitatea acestui cod const n faptul c, la trecerea de la un
numr zecimal la urmtorul, mai mare cu o unitate, echivalentul su n codul
247

Gray se modific doar prin schimbarea unei cifre binare.n tabelul 6.3 este dat
echivalena unor numere zecimale cu cele n cod Gray.
6.3.2. Codificatoare
Codificatorul este un circuit logic combinaional folosit pentru a
transforma un numr zecimal n numr codificat ntr-o form n care sistemul
electronic digital l poate utiliza, el fiind plasat la interfaa ntre sistemul
electronic digital i utilizatorul uman, pentru adaptarea sistemelor de numeraie
respective. Codificatorul este de fapt o matrice de circuite SAU. Schema bloc
a unui astfel de codificator este prezentat n figura 6.27.a, n figura 6.27.b
fiind dat tabelul de adevr al circuitului. Pe baza acestuia, se poate concepe
schema logic (figura 6.27.c), precum i schema practic, cuprinznd i diode
luminescente pentru afiarea n sistem binar a numrului codificat (figura
6.27.d).

Fig. 6. 27 Codificator pentru codificarea numerelor zecimale n numere binare


(cod 8421) (a), tabela sa de adevr (b), schema logic a codificatorului (c) i schem
de realizare practic (d)

De obicei, intrrile sunt negate, deoarece activarea unei intrri (de


exemplu, de la o tastatur) se face prin punerea la mas a ei.
6.3.3. Dispozitive de afiare cu apte segmente
Acestea reprezint dispozitive de ieire frecvent utilizate pentru
reprezentarea numerelor zecimale. Cele apte segmente ale indicatorului,
notate cu literele a, b, c, d, e, f, g (figura 6.28.a) permit, prin activarea doar a
unora dintre ele, afiarea oricreia din cifrele zecimale (figura 6.28.b).
Segmentele pot fi diode luminescente sau cristale lichide i se realizeaz
compact, n carcase de diferite forme (figurile 6.28.c, d, e).
248

n figura 6.28.g este prezentat un circuit de afiare cu diode


luminescente i modul de conectare a acestuia (figura 6.28.h), pornind de la
schema de alimentare a unei diode luminescente (figura 6.28.f). n practic, n
locul comutatoarelor din figura 6.28.h, se folosete un circuit electronic numit
formator de afiare. De foarte multe ori, el este inclus n circuitul
decodificatorului.

Fig. 6. 28 Afior cu apte segmente: notarea segmentelor (a); tabelul segmentelor


activate pentru afiarea cifrelor zecimale (b); tipuri constructive de dispozitive de
afiare (c, d, e); schema de alimentare a unei diode luminescente (f); afior cu diode
luminescente cu anod comun (g); conectarea afiorului cu anod comun (h)

6.3.4. Decodificatoare
Decodificatorul (figura 6.29.a) este un circuit logic combinaional care
realizeaz funcia invers celei pe care o realizeaz codificatorul, adic trecerea
numerelor binare n forma lor zecimal. Aceste circuite sunt matrice de circuite
I. n practic, datorit acelorai motive ca i la codificatoare, ieirile sunt
active la nivelul logic sczut (zero), prin utilizarea circuitelor logice I-NU.
Circuitul cel mai utilizat ca decodificator este circuitul TTL de tip 7447
(CDB 447), care este un decodificator-formator, el cuplndu-se direct cu un
circuit de afiaj cu apte segmente (figura 6.30).
Numrul n cod 8421 care trebuie decodificat se aplic la intrrile D,
C, B, A, semnalele pentru comanda afiorului cu 7 segmente fiind obinute la
ieirile a, b, c, d, e, f, g ale decodificatorului.

249

Fig. 6. 29 Decodificator (a); decodificator-formator (b)

Circuitul mai dispune de nc trei intrri, cu urmtoarele funcii:


intrarea de stingere (BI); cnd la aceasta se aplic semnal de nivel sczut,
la toate ieirile apare semnal de nivel nalt, care asigur stingerea tuturor
segmentelor, indiferent de semnalele de la celelalte intrri;
intrarea de stingere succesiv (RBI); cnd la aceasta se aplic semnal de
nivel sczut, intrarea LT fiind n stare de nivel nalt, iar intrrile A, B, C i
D la nivel sczut, toate ieirile de segmente se decupleaz, determinnd
stingerea tuturor segmentelor;
intrarea LT; cnd la aceast intrare se aplic semnal logic 0 i intrrile BI
i RBI sunt cuplate la nivel logic 1, la ieiri apare semnal logic 0.

Fig. 6. 30 Decodificator-formator cu circuit 7447 (a) ; cuplarea decodificatorului


la afiorul cu apte segmente(b)

Schema principial a circuitului 7447 este prezentat n figura 6.31.

250

Fig. 6. 31 Schema electronic a circuitului decodificator-formator 7447

n figura 6.32 este reprezentat schema de afiare pentru 6 cifre, n care


se arat utilizarea intrrii de stingere succesiv (RBI) pentru blocarea nulurilor
n rangurile superioare ale indicatorului cu ranguri multiple.

251

Fig. 6. 32 Utilizarea intrrii de stingere succesiv (RBI) pentru blocarea


rangurilor superioare

6.3.5. Afioare cu cristale lichide


Dispozitivele de afiaj cu diode luminescente funcioneaz prin emisia
unei radiaii n domeniul vizibil. Pe de alt parte, dispozitivele de afiare cu
cristale lichide nu emit radiaie luminoas, ci doar acioneaz selectiv asupra
fenomenelor de reflexie, absorbie, dispersie i difuzie a luminii ambiante,
motiv pentru care energia consumat n timpul funcionrii este mai mic. n
figura 6.33.a este prezentat construcia unui afior cu cristale lichide cu apte
segmente, n figura 6.33.b fiind prezentat cuplarea acestui afior cu un circuit
decodificator-formator integrat de tip CMOS.
Atunci cnd, la un segment oarecare al afiorului se aplic o succesiune
de impulsuri simetrice dreptunghiulare de frecven joas, segmentul respectiv
i modific aspectul i devine negru, n timp ce restul suprafeei cristalului
lichid rmne de culoare deschis (cenuiu-argintie).
Pe placa inferioar a afiorului se aplic continuu impulsuri simetrice
dreptunghiulare cu frecvena de 30 Hz. Acelai semnal se aplic i la una din
cele dou intrri ale fiecrui circuit SAU-EXCLUSIV utilizate pentru comanda
afiorului.

252

253

Fig. 6. 33 Construcia afiorului cu cristale lichide (a) i comanda acestuia cu


decodificator-formator CMOS (b)

6.4. Circuite basculante utilizate ca circuite logice


6.4.1. Circuite basculante bistabile (triggeri)
Circuitele basculante sunt circuite electronice de generare a
impulsurilor, caracterizate prin dou sau mai multe stri cvasistabile (de
acumulare), trecerea de la o stare la alta (bascularea) fcndu-se foarte rapid,
curenii i tensiunile din circuit avnd i ei variaii foarte rapide. Circuitele
basculante sunt, de fapt, amplificatoare cu reacie pozitiv i, dup numrul
strilor stabile pe care le au, sunt de trei feluri: circuite bistabile, circuite
monostabile i circuite astabile.

254

Circuitele logice prezentate n paragrafele anterioare, numite circuite


logice combinaionale, realizeaz sinteza unor operaii logice.

Pentru aceasta, este ns necesar ca variabilele s fie memorate


(temporar sau permanent), n circuite logice specializate. Ca circuite de
memorie pot fi utilizate circuitele basculante bistabile care, dup modul de
funcionare pot fi asincrone, la care tranziiile la ieire urmresc acelai ritm
cu cele de la intrare, indiferent de momentul producerii acestora i sincrone,
cnd tranziiile la ieire au loc numai la momente de timp bine determinate de
un semnal de comand, numit semnal de tact. Circuitele basculante, mai ales
cnd sunt folosite n circuite de memorie, pot fi realizate cu circuite logice de
baz, care, la rndul lor, se pot sintetiza cu pori elementare.
Cel mai simplu bistabil se poate realiza cu dou pori inversoare, ca n
figura 6.34.a, el fiind ns impropriu pentru utilizarea ca memorie, ntruct el
Fig. 6. 34 Bistabil RS cu pori inversoare (a); bistabil RS asincron cu circuite
SAU-NU (b) i cu circuite I-NU (c); reprezentarea convenional a circuitului
bistabil RS asincron

nu poate fi comandat. Pentru a rezolva aceast problem, se poate utiliza


schema din figura 6.34.b, cu circuite SAU-NU, sau 6.34.c, cu circuite I-NU.
Bistabilul astfel realizat este un bistabil RS asincron, el comutnd la orice
modificare a strii la intrare. Reprezentarea convenional n circuite a acestui
bistabil este cea din figura 6.34.d.
Circuitul are dou intrri, S - intrarea de setare (stabilire 1) i R intrarea de resetare (stabilire 0) i dou ieiri complementare, Q i Q .
Funcionarea circuitului RS asincron se poate analiza pe baza tabelului de
adevr, dat n figura 6.35.b, pentru schema cu circuite I-NU.
Se constat c, dac la ambele intrri se stabilete nivel logic 0, la
ambele ieiri se stabilete nivel logic 1. n fapt, n acest caz, starea la ieire este
255

nedeterminat i, ca atare, aceast situaie este interzis i nu se utilizeaz.


Cnd S = 0 i R = 1, Q = 1, cnd R = 0 i S = 1, Q = 0, iar atunci cnd R = 1 i
S = 1, circuitul este n stare de repaus, ieirile Q i Q pstrndu-i strile logice
avute anterior. Acesta este regimul de retenie.

Fig. 6. 35 Circuit RS asincron (a), tabelul su de adevr (b) i diagramele de timp


ale semnalelor (c)

Pentru c modificarea strilor (bascularea) circuitului RS este


determinat de apariia nivelului logic 0 la una din intrrile sale, se poate
considera c reprezentarea mai fidel a acestei scheme este cea din figura
6.35.a.
Descrierea funcionrii se poate face pe baza diagramelor de timp ale
semnalelor, prezentate n figura 6.35.c. Acestea arat nivelul tensiunii i
intervalele de timp dintre semnalele de intrare i de ieire.
n echipamentele numerice este ns nevoie ca diversele operaii s se
execute sincron i, pentru aceasta, se completeaz schema din figura 6.34.c
dup cum se arat n figura 6.36.b, obinndu-se un bistabil RS sincron (cu
tact), reprezentarea schematic a acestuia fiind cea din figura 6.36.a.
Dup cum se poate constata analiznd schema de mai sus, datorit
circuitelor I, bascularea nu este posibil dect dac semnalul se aplic la
intrare sincron cu semnalul de tact, aplicat la intrarea suplimentar, CLK.
256

Fig. 6. 36 Circuit RS sincron: reprezentare convenional (a), schema (b)


tabelul de adevr (c) i diagramele de timp ale semnalelor (c)

Pe durata aplicrii impulsului de tact, bistabilul se gsete n stare de


retenie. Nedeterminarea care aprea la circuitul RS asincron se pstreaz n
continuare.
257

Pentru nlturarea nedeterminrii aprute la ieirea acestui tip de


bistabil cnd intrrile sunt la nivel logic 0 (sau 1 la bistabilul RS fr tact), cele
dou intrri pot fi legate ntre ele prin intermediul unei pori inversoare,
eliminndu-se astfel posibilitatea ca cele dou intrri s se afle la acelai nivel
logic n acelai timp.

Fig. 6. 37 Bistabil latch D: schema i reprezentarea convenional (a), tabelul de


adevr (b), reprezentarea convenional a bistabilului D cu intrare de tergere (CL
clear) (c) i tabelul de adevr (d) al acestuia (x stare oarecare)

Se obine n acest fel un bistabil latch D, cu o singur intrare de date,


avnd schema din figura 6.37.a i tabelul de adevr n figura 6.37.b. Aa cum
se vede n acest tabel, semnalul la ieirea Q n tactul (n + 1) repet semnalul
care a fost la intrarea D n tactul anterior, n. Bistabilul din figura 6.37.c are
dou intrri suplimentare: de presetare (PS) i de tergere (CL). Nivelul logic
0 la intrarea PS determin nivelul logic 1 la ieirea Q, iar nivelul logic 0 la CL
determin nivel logic 0 la ieirea Q. Primele trei rnduri ale tabelului de adevr
a acestui circuit (figura 6.37.d) definesc regimurile n care funcionarea
circuitului este controlat de intrrile asincrone, strile n care se pot gsi
intrrile sincrone n aceste situaii fiind indiferente.
Intrrile sincrone devin active la setarea n stare inactiv a intrrilor
asincrone (PS = 1, CL = 1).

258

La circuitul bistabil D apare ns inconvenientul c, n timp ce linia de


tact trece din starea logic 1 n starea logic 0, poate aprea o comutare a
intrrii de date.

Fig. 6. 38 Bistabil JK: schema i reprezentarea convenional (a), tabelul su de


adevr (b), bistabil JK cu intrri asincrone (c) i tabelul de adevr al acestuia (d)

Un alt circuit care elimin nedeterminarea de la circuitul RS este


circuitul bistabil JK, derivat dintr-un circuit RS, aa cum se poate vedea n
figura 6.38.a. Dac intrrile J i K sunt simultan la nivelul logic 1 i se aplic
impulsul de tact, ieirea i modific starea. Funcionarea bistabilului JK i a
259

celui cu intrri asincrone, PS i CL (figura 6.38.c) poate fi analizat complet


pe baza tabelelor de adevr ale acestora (figura 6.38.b, d)
O variant cu o singur intrare de date a bistabilului JK este bistabilul
T, prezentat n figura 6.39, la care starea la ieire nu se modific dect dac
intrarea de date, Td, este anterior aplicrii impulsului n starea logic 1,
realizndu-se astfel un ciclu complet la ieire pentru dou cicluri la intrare, deci
o divizare cu 2.

Fig. 6. 39 Bistabil T: schema (a), tabelul de adevr (b)

n practic, pentru evitarea comutrii ntrrilor de date n timp ce linia


de tact trece de la nivelul logic 0 la nivelul logic 1, mai nti se determin starea
intrrilor, se deconecteaz intrrile i apoi se modific ieirile conform strii
intrrilor. Acest lucru se poate realiza prin conexiunea master-slave2 sau prin
tehnica declanrii pe front.
Circuitul bistabil RS master-slave este reprezentat n figura 6.40,
n care este dat i tabela de adevr. Funcionarea lui are loc astfel: cnd
intrarea de tact trece din starea logic 0 n starea logic 1, porile 5 i 6 se
blocheaz, deschizndu-se ns porile 1 i 2, ceea ce permite transferul datelor
de intrare ctre primul bistabil RS, numit master, format de porile 3 i 4. La
tranziia intrrii de tact din starea logic 1 n starea logic 0 , mai nti are loc
blocarea porilor 1 i 2, ntrerupndu-se legtura dintre intrrile de date i
bistabilul master, dup care se deschid porile 5 i 6, ceea ce permite
transferul coninutului ieirilor master-ului ctre bistabilul RS, numit
slave, format de porile 7 i 8.
Separarea complet a ieirilor Q i Q de intrrile R i S precum i
comanda i transferul de date pe palierul semnalului de tact, fac ca acest
bistabil s prezinte o mare imunitate la zgomot.

stpn-sclav", n limba englez

260

Singura problem rmne nedeterminarea pentru R i S


simultan n starea logic 1; ea se poate rezolva prin introducerea unei reacii,
obinndu-se astfel circuitul basculant bistabil JK master-slave, care este
prezentat n figura 6.41.

Fig. 6. 41 Bistabil JK master-slave: schema (a) i tabelul de adevr (b)


Fig. 6. 40 Bistabil RS master-slave: schema (a), tabelul de
adevr (b)

n anumite cazuri, este necesar ca transferul unor date s se fac


ntrziat cu un impuls de tact3. n acest scop, se utilizeaz circuitul bistabil D4
cu acionare pe front. Schema circuitului este prezentat n figura 6.42.
3

de exemplu, la registrele de deplasare


provine de la iniiala cuvntului delay = ntrziere (n limba englez)

4D

261

Fig. 6. 42 Bistabil D cu acionare pe front

n general, circuitele bistabile de diferite tipuri, realizate sub form


integrat, sunt prevzute n plus cu intrri asincrone de comand, prin care se
poate aciona direct asupra ieirilor: intrarea preset poziioneaz starea iniial
dorit la ieire i intrarea clear terge datele nscrise la ieire. Aplicaia lor cea
mai important este n realizarea memoriilor pentru tehnica de calcul.
6.4.2. Utilizarea circuitelor basculante logice ca circuite de memorie
n figura 6.43 este prezentat schema bloc a unui sistem numeric. Prin
comanda dat la dispozitivul de intrare (tastatur), sistemul acioneaz afind
cifra corespunztoare tastei apsate. La ncetarea aciunii comenzii, sistemul
din figura 6.43.a nceteaz s mai afieze cifra respectiv.

Fig. 6. 43 Sistem numeric de afiaj: fr memorie tampon (a) i cu


memorie tampon (b)

262

Pentru a se pstra afiajul respectiv i dup ce tasta nu mai este


acionat (la acionare singular a tastei), se folosete un sistem de memorare,
(memorie tampon, sau memorie buffer), plasat aa cum se vede n figura
6.43.b, al crui rol, de memorator cu 4 ranguri, construit pe baza unor circuite
bistabile, de tipul celor analizate mai sus.
Astfel, n figura 6.44 este prezentat circuitul de tip 7475 (CDB 475),
alctuit din 4 triggeri D, care poate fi folosit ca memorie tampon cu 4 ranguri.
Intrarea E01 este similar intrrii de tact a triggerului D i se folosete pentru
comanda simultan a dou triggeri, D0 i D1 din componena circuitului.
Cealalt intrare, E2 3 are acelai rol, pentru triggerii D2 i D3. Dac nivelul
logic al acestor intrri este 1, circuitul funcioneaz n regim de retransmitere
a datelor, cnd semnalele la ieirile Q repet semnalele de la intrrile
corespunztoare D. Dac nivelul logic al intrrilor E este 0, circuitul
funcioneaz n regim de memorare a datelor, ieirile Q pstrnd starea n care
se aflau n momentul trecerii circuitului n acest regim.
6.4.3. Comanda circuitelor basculante bistabile

Fig. 6. 44 Circuit de memorie cu 4 ranguri (a) i tabelul su de adevr (b)

Aa cum s-a vzut n paragraful 6.4.1, circuitele basculante bistabile


sincrone pot comuta comandat n dou moduri, n acest fel ele i clasificnduse n dou categorii:
- circuite basculante bistabile cu comand pe frontul sau cderea impulsului
de tact;
263

circuite basculante bistabile de tip conductor-condus, sau master-slave


(MS).

Fig. 6. 45 Diagramele de timp ale triggerilor comandai pe frontul sau


cderea impulsului de tact

Diagramele de timp din figura 6.45 ilustreaz funcionarea a dou


triggeri din prima categorie n regim de comutare, unul fiind comandat pe
front, cellalt pe cderea impulsului de tact. Din aceste diagrame, se observ
c triggerul comandat pe front basculeaz de fiecare dat n momentul trecerii
frontului impulsului de tact, n timp ce triggerul comandat pe cderea
impulsului basculeaz la trecerea acesteia. Ca atare, ntre momentele de
basculare ale celor dou triggeri exist o decalare n timp. Reprezentarea
convenional a celor dou tipuri de circuite basculante bistabile este dat n
figura 6.46.

Un alt mod de comand este cel descris anterior, prin metoda masterslave. Pentru bascularea triggerului JK-MS se folosete tot un impuls de tact
(figura 6.47). Pe impulsul 1 sunt notate patru puncte caracteristice, a, b, c, d.
Fig. 6. 46 Trigger comandat pe front (a) sau pe cderea impulsului de tact (b)

n momentele de timp corespunztoare acestor puncte, n triggerul JK-MS se


produc urmtoarele procese:
punctul a (frontul impulsului): intrrile se izoleaz de ieiri;
264

punctul b (frontul impulsului): informaia de la intrrile J i K ajunge n


trigger;
punctul c (cderea impulsului): intrrile J i K se decupleaz;
punctul d (cderea impulsului): informaia din trigger se transmite la ieirile
acestuia.

Fig. 6. 47 Comanda circuitului basculant JK-MS

6.5. Numrtoare
Majoritatea sistemelor numerice conin circuite de numrare, destinaia
acestora fiind determinarea unui numr de evenimente sau de intervale de timp.
Ele sunt circuite logice secveniale care permit numrarea impulsurilor aplicate
la intrare i memorarea lor. Ele pot fi utilizate i n alte scopuri, cum ar fi
divizarea de frecven.
6.5.1. Numrtoare asincrone
Procedurile de numrare binar i zecimal i zecimal sunt ilustrate n
tabelul 6.4.
Folosind numai 4 ranguri binare (D, C, B i A), se poate numra de la
0000 pn la 1111 n sistemul binar, adic de la 0 la 15 n sistemul zecimal.
Coloana A a tabelului corespunde rangului cel mai puin semnificativ, coloana
D corespunznd celui mai semnificativ rang. Un dispozitiv de numrare care
numr de la 0 la 15 trebuie s aib 16 stri de ieire, el numindu-se numrtor
cu 4 ranguri. Schema funcional a unui numrtor cu 4 ranguri, alctuit din
patru circuite basculante bistabile JK este prezentat n figura 6.48.a. n
momentul iniial, starea ieirilor numrtorului corespunde numrului binar
0000 (numrtorul este ters CLEAR). La aplicarea impulsului de tact 1 la
intrarea de sincronizare (CLK) a triggerului T1, acesta basculeaz (pe cderea
impulsului) i la ieirea numrtorului apare numrul binar 0001. Al doilea
impuls de tact basculeaz triggerul T1 n starea sa iniial (Q = 0), ceea ce, la
rndul su, determin bascularea triggerului T2 n starea Q = 1. La ieirea
numrtorului apare numrul binar 0010.
265

Numrtoarea continu n acest fel, cderea fiecrui impuls


determinnd bascularea triggerului T1, T2 basculeaz de dou ori mai rar (o
dat la dou impulsuri) i aa mai departe.
Tabel 6.4 Succesiunea de numrare pentru numrtorul cu 4 ranguri
NUMRARE BINAR NUMRARE
ZECIMAL
D
C
B
A
8
4
2
1
0
0
0
0
0
0
0
0
1
1
0
0
1
0
2
0
0
1
1
3
0
1
0
0
4
0
1
0
1
5
0
1
1
0
6
0
1
1
1
7
1
0
0
0
8
1
0
0
1
9
1
0
1
0
10
1
0
1
1
11
1
1
0
0
12
1
1
0
1
13
1
1
1
0
14
1
1
1
1
15

Fig. 6. 48 Numrtor cu 4 ranguri: schema logic (a) i diagramele de timp


ale semnalelor (b)

266

Diagramele de timp din figura 6.48.b ilustreaz i ele funcionarea


numrtorului la numrarea primelor 10 impulsuri.
Deoarece fiecare trigger nu poate aciona direct dect asupra celui
urmtor (de rang imediat superior), pentru bascularea tuturor triggerilor, adic
pentru parcurgerea unui ciclu de numrare este necesar un anumit interval de
timp.

Fig. 6. 49 Schema numrtorului asincron decadic

Un alt numrtor asincron numrtorul decadic, construit pe baza


schemei anterioare, este cel din figura 6.49, unde, n plus, este adugat un
circuit I-NU cu rol de tergere, adic de setare n stare 0 a tuturor triggerilor
n momentul sosirii celui de-al zecelea impuls. Acest lucru se face innd cont
c numrul 10 n form binar este 1010, deci numai rangurile 1 i 3 trebuie
setate n 0, celelalte fiind deja n aceast stare. n acest fel, numrarea ncepe
din nou, ciclul repetndu-se dup fiecare10 impulsuri.
6.5.2. Numrtoare sincrone
Aceste numrtoare se folosesc pentru creterea vitezei de numrare.
Schema unui numrtor sincron cu trei ranguri este prezentat n figura 6.50.a.
Dac se analizeaz schema legturilor intrrilor de sincronizare ale triggerilor
(CLK), se observ c acestea sunt legate n paralel; impulsurile de tact se aplic
nemijlocit la intrarea de sincronizare a fiecrui trigger.
Succesiunea de numrare ntr-un ciclu este prezentat n tabelul din
figura 6.50.b. Coloana A a tabelului corespunde rangului binar 0 (rangul
unitilor), coloana B corespunde rangului zecilor i coloana C corespunde
rangului sutelor. Pe baza schemei din figura 6.50.a i a tabelului din figura
6.50.b, se poate face analiza complet a unui ciclu de funcionare a
numrtorului sincron cu trei ranguri.
267

Fig. 6. 50 Numrtor sincron cu trei ranguri: schema logic (a) i tabelul


succesiunii de numrare (b)

6.5.3. Numrtoare inverse


Pn acum s-au analizat aa-numitele numrtoare directe, sau de
acumulare, care numr n sens cresctor impulsurile de la intrare. Exist ns
i situaii cnd este nevoie de o numrare n sens invers, descresctor, caz n
care numrtorul se numete numrtor invers. Schema unui numrtor
asincron invers cu trei ranguri este prezentat n figura 6.51.a, n tabelul din
figura 6.51.b fiind prezentat succesiunea de numrare. Schema numrtorului
este asemntoare cu cea a numrtorului direct din figura 6.48, deosebirea
constnd numai n metoda de transfer al semnalului de la triggerul T1 a T2 i
de la acesta la T3. Intrarea de sincronizare (CLK) a fiecrui trigger este legat
la ieirea complementar ( Q ) a triggerului anterior.
268

naintea nceperii numrrii, este necesar ca acesta s fie presetat n


starea 111 (numrul zecimal 9), prin intermediul intrrii de preset (PS).

Fig. 6. 51 Numrtor asincron invers cu 3 ranguri: schema (a) i succesiunea de


numrare (b)

6.5.4. Numrtoare cu autooprire


Numrtorul invers prezentat n paragraful anterior este un numrtor
ciclic. Cu alte cuvinte, cnd acest numrtor trece n starea 000, el ncepe din
nou numrarea de la numrul binar 111 i aa mai departe. n unele cazuri, este
necesar ca numrtoarea s se opreasc atunci cnd s-a terminat seria de
numrare.

Fig. 6. 52 Numrtor invers cu 3 ranguri cu autooprire

269

Schema unui astfel de numrtor este prezentat n figura 6.52, ea fiind


obinut plecnd de la schema din figura 6.51.a, la care se adaug un circuit
SAU cu 3 intrri, care stabilete valoarea 0 la intrrile triggerului T1 (cel din
stnga) atunci cnd la ieirile C, B A ale numrtorului apare semnalul 000.
Acest numrtor poate ncepe un nou ciclu de numrare numai dac la intrarea
de presetare se aplic nivelul logic 0.
Utiliznd un element logic sau o combinaie de mai multe astfel de
elemente, se poate completa schema oricrui numrtor, direct sau invers,
pentru oprirea numrrii acestuia.

6.6. Registre de deplasare


Acestea sunt circuite ce permit nscrierea (memorarea) unor informaii
(valori logice) i transferarea la cerere a acestora. n funcie de modul de
introducere i citire a datelor, (simultan n toate celulele registrului sau
succesiv, poziie cu poziie), registrele pot fi:
- cu scriere paralel (scrierea se face simultan n toate celulele) sau serie
(scrierea se face succesiv, fiind comandat prin impulsurile de tact, cte
unul pentru fiecare cifr binar - bit);
- cu citire paralel sau serie.
Prin combinarea acestor moduri de citire i scriere se pot obine registre
cu scriere-citire de tip serie-serie, paralel-paralel, serie-paralel i paralel-serie.
Modul de scriere-citire al acestora este artat n figura 6.53.

scriere
citire
citire
1 2 . . . . . n scriere
1 2 . . . . . n
a) registru serie-serie
b) registru serie-paralel
scriere
scriere
1 2 . . . . . n
citire
1 2 . . . . . n
citire
c) registru paralel-paralel d) registru paralel-serie
Fig. 6. 53 Scrierea i citirea datelor la diferitele tipuri de
numrtoare

Pentru construirea registrelor se folosesc bistabili D. Un exemplu de


registru cu scriere serie cu patru celule este cel din figura 6.54. Pentru
nscrierea informaiei, mai nti, la intrarea de reset (CL) se aplic un puls
avnd ca efect trecerea tuturor ieirilor n starea logic 0 (tergere), dup care,
la fiecare impuls de tact se aplic concomitent la intrare biii de informaie.
270

Fig. 6. 54 Registru de deplasare cu scriere serial, cu 4 ranguri, realizat cu


triggeri D

La primul impuls de tact, dac primul bit este 0 , ieirea Q1 rmne 0,


dac aceasta este 1, Q1 trece, de asemenea, n 1. La al doilea impuls de tact
aceast valoare nscris la ieirea primului bistabil va fi transferat la ieirea
celui de-al doilea bistabil, la ieirea primului fiind acum nscris valoarea de la
intrare aplicat n timpul celui de-al doilea impuls de tact. Dup aplicarea celui
de-al treilea impuls, i a celui de-al patrulea, primul bistabil va conine
informaia transmis la intrare n timpul celui de-al patrulea impuls de tact, al
doilea pe cea din timpul celui de-al treilea impuls, al treilea bistabil pe cea din
timpul celui de-al doilea impuls i al patrulea bistabil pe cea din timpul
primului impuls.
Tabel 6.5 Funcionarea registrului de deplasare cu 4 ranguri
INTRRI
IEIRI
Nr. rnd tergere Date Nr. impuls tact A B C D
1
0
0
0
0 0 0 0
2
1
1
0
0 0 0 0
3
1
1
1
1 0 0 0
4
1
1
2
1 1 0 0
5
1
1
3
1 1 1 0
6
1
0
4
0 1 1 1
7
1
0
5
0 0 1 1
8
1
0
6
0 0 0 1
9
1
0
7
0 0 0 0
10
1
0
8
0 0 0 0
11
1
1
9
1 0 0 0
12
1
0
10
0 1 0 0
13
1
0
11
0 0 1 0
14
1
0
12
0 0 0 1
15
1
0
13
0 0 0 0
271

Astfel, la fiecare impuls de tact informaia nscris ntr-un bistabil se


deplaseaz la urmtorul, astfel de registre numindu-se registre de deplasare.
Funcionarea complet a circuitului studiat se poate analiza pe baza tabelului
6.5. Dac bistabilii sunt prevzui i cu intrri de preset (PS), acestea se pot
folosi la scrierea paralel a informaiei. Informaia este citit n mod serial, n
ritmul impulsurilor de tact, la ieirea serie, sau paralel (figura 6.55).

Fig. 6. 55 Registru de deplasare cu scriere paralel, cu 4 ranguri

Unele registre permit deplasarea i n sens invers a informaiei, ele


numindu-se registre reversibile; de asemenea, registrele construite n form
integrat pot fi mixte, permind accesul la intrare i/sau ieire att n format
serie ct i paralel. Dup cum se poate constata, citirea serial este distructiv,
informaia distrugndu-se n timpul acestui proces, n timp ce citirea paralel
este nedistructiv.

6.7. Dispozitive aritmetice


6.7.1. Adunarea binar
Tabelul adunrii n sistemul de numeraie binar este prezentat n figura
6.56.a, unde primele trei situaii sunt evidente. n cazul celei de-a patra situaii
(1 + 1), rezultatul este 0 plus transportul lui 1 n rangul binar superior. Acest
mod de calcul este evideniat n exemplele urmtoare (figura 6.56.b).
Situaiile din figura 6.56.a sunt valabile ns numai n cazul unitilor
(rangul 0), deoarece, n cazul rangurilor de ordin superior, mai poate aprea o
272

situaie, redat n exemplul din figura 6.56.c i anume 1 + 1 + 1. Rezultatul


acestei sume este 1 plus transportul unei uniti n rangul imediat superior.
Astfel, pentru ranguri de ordin superior celui al unitilor, situaiile posibile de
adunri binare sunt redate n figura 6.56.d.

Fig. 6. 56 Adunarea binar

6.7.2. Semisumatoare
Tabelul de adunare din figura 6.56.a poate fi scris ca un tabel de adevr,
pe baza lui putndu-se sintetiza circuitul logic capabil s realizeze operaia de
adunare binar.

273

Fig. 6. 57 Semisumator: tabelul de adevr (a); reprezentare


convenional (b); schema logic (c)

Acest tabel de adevr este prezentat n figura 6.57.a, circuitul care


realizeaz aceast funcie fiind numit semisumator (figura 6.57.b, c). Acest
circuit primete la intrare valorile celor dou cifre binare ce urmeaz a fi
adunate, la cele dou ieiri el prezentnd suma (la ieirea ) i transportul (la
ieirea T). Sinteza circuitului se poate face utiliznd un circuit SAUEXCLUSIV i un circuit I, aa cum se poate vedea n figura 6.57.c.
Utilizarea circuitului SAU-EXCLUSIV este evident, deoarece, pentru
a realiza funcia logic de adunare, conform regulilor:
0 + 0 = 0; 0 + 1 = 1; 1 + 0 = 1; 1 + 1 = 0,
aceasta trebuie s aib expresia urmtoare:
f(A, B) = A B + A B = (A + B)( A B ) = A B,
adic aceasta este funcia SAU-EXCLUSIV (relaia 6.19 i tabelul de adevr
din figura 6.11.c).
6.7.3. Sumatoare
n cazul adunrii n ranguri superioare, poate interveni un al treilea
termen, termenul de transport, aa cum s-a vzut n figura 6.56.d. n acest caz,
circuitul ce realizeaz operaia de adunare este un sumator, tabelul de adevr
al acestuia fiind dat n figura 6.58.a. n figura 6.58.b este dat reprezentarea
convenional, n figura 6.58.c este dat schema logic, iar n figura 6.58.d este
dat schema de detaliu a sumatorului. Sumatoarele i semisumatoarele se
folosesc de obicei mpreun. Astfel, pentru rezolvarea exemplului din figura
6.56.c, este nevoie de un semisumator pentru adunarea unitilor (20) i dou
sumatoare, pentru adunarea zecilor (21) i sutelor (22). Astfel de circuite sunt
folosite n primul rnd n construcia unitilor aritmetico-logice ale
274

microprocesoarelor, caz n care ele sunt concepute pentru adunarea cu 8, 16


sau 32 ranguri.

n figura 6.58.e este prezentat schema unui sumator cu trei ranguri.


Numerele de adunat (binare) sunt A2A1A0 i B2B1B0, indicii semnificnd
rangul, literele putnd avea valori cifre binare (0 sau 1). Aceste valori se aplic
la intrrile semisumatorului (pentru rangul 0) i sumatoarelor (pentru rangul 2
i 3). Acest sumator lucreaz n paralel, biii informaionali sosind la intrri
simultan.
Pentru memorarea datelor la intrarea i la ieirea sumatoarelor se
utilizeaz diverse registre suplimentare, de obicei, trei: pentru operanzi i
Fig. 6. 58 Sumator: tabelul de adevr (a); reprezentare convenional (b); schema
logic pe baza a dou semisumatoare (c); schema de detaliu (d); sumator cu trei
ranguri (e)

pentru sum. Dac unul dintre registrele pentru operanzi este folosit i ca
registru pentru sum, acest registru se numete acumulator.
6.7.4. Scderea binar; semiscztoare; scztoare
Scderea binar este o operaie asemntoare adunrii binare, modul de
realizare a acestei operaii fiind artat n figura 6.59.a, tabelul de adevr fiind
dat n figura 6.59.b.

275

Circuitul care realizeaz operaia logic de scdere conform acestui


tabel de adevr se numete semiscztor, reprezentarea sa grafic
convenional fiind cea din figura 6.59.c, iar schema logic fiind cea din figura
6.59.d.
Circuitul semiscztor prezint dou intrri, A i B, pentru cifrele
Fig. 6. 59 Scderea binar (a); tabelul de adevr (b); reprezentare convenional a
semiscztorului (c); schema logic a semiscztorului (d)

binare ce urmeaz a fi sczute i dou ieiri, D, la care se obine rezultatul


scderii (D = A B) i = A B.

Fig. 6. 60 Scztor: reprezentare convenional (a); schema logic (b, c); scztor
cu patru ranguri (d)

Prin cuplarea mai multor scztoare se poate obine schema care


realizeaz operaia de scdere binar a dou numere de ranguri multiple, aa
cum se poate vedea n figura 6.60.d.
6.7.5. Utilizarea sumatoarelor pentru scdere
Realizarea unui dispozitiv de calcul care s realizeze att adunarea ct
i scderea numerelor binare este evident util. Metoda de realizare este

276

evideniat pornind de la exemplul de calcul din figura 6.61, unde se face


scderea 1010 610, ceea ce corespunde, n sistemul de numeraie binar,
scderii: 1010 0110, aa cum se poate constata, n partea dreapt a figurii,
scderea se realizeaz printr-un procedeu special.
Astfel, mai nti, al doilea termen al diferenei (scztorul) se rescrie
prin nlocuirea tuturor cifrelor 0 cu 1 i a cifrelor 1 cu 0 (practic, acest lucru se
face prin adunarea cifrei 1 la fiecare cifr a numrului respectiv).

Fig. 6. 61 Metod special de scdere a numerelor binare

Fig. 6. 62 Scztor cu transfer ciclic (a); sumator-scztor cu transfer ciclic (b)

Analog situaiei de la adunare, scderea complet se face folosind un


scztor (reprezentare grafic convenional n figura 6.60.a), realizat pe baza
a dou semiscztoare, conform schemelor din figurile 6.60.b, c.
Noul numr astfel obinut (1001) se adun cu primul numr
(desczutul) n form nemodificat (1010), rezultatul fiind 10011. Ultimul
transfer din stnga (1) se adun cu restul sumei intermediare rmas (0011),
rezultatul (100) reprezentnd diferena celor dou numere iniiale. n mod
obinuit, aceast metod, prin completare cu 1 i transfer ciclic este incomod,
dar ea se poate implementa cu circuite logice foarte simple. O astfel de schem
este prezentat n figura 6.62.a, iar n figura 6.62.b este prezentat schema unui
dispozitiv combinat, ce poate realiza att operaia de adunare, ct i cea de
scdere. Funcionarea acestor dispozitive se poate analiza uor, pe baza celor
discutate anterior.

277

6.7.6. Sumatoare cu aciune succesiv


Aa cum s-a vzut din cele discutate pn acum, la sumatoarele paralele
este necesar cte un sumator pentru fiecare rang binar. Un alt procedeu de
adunare este cel succesiv, unde se folosete un singur sumator, care utilizeaz
trei registre de deplasare i un trigger D (figura 6.63.a).

Fig. 6. 63 Sumator cu aciune succesiv

Dup cum se vede n schema din aceast figur, la intrrile A i B ale


sumatorului sunt legate cele dou registre de deplasare n care se introduc
numerele ce urmeaz a fi adunate. Suma rezultat se regsete la sfritul
operaiei n registrul de deplasare de la ieirea a sumatorului. Funcionarea
are loc astfel: la primul impuls de tact se adun valorile A0 i B0 din rangul
unitilor, suma S0 apare n registrul de ieire n rangul cel mai mare iar
transportul se aplic la intrarea unui trigger D, cu rol de ntrziere cu un impuls
de tact. Semnalul la ieirea acestuia se aplic la intrarea de transport a
sumatorului la cel de-al doilea impuls de tact, cnd, de asemenea, la intrrile
A i B sunt introduse valorile A1 i B1, din rangul zecilor, valori care se adun
, rezultatul S1 fiind depus n registrul sumei, n rangul cel mai mare, S0
deplasndu-se n rangul imediat inferior. Noua valoare a transportului este
aplicat la intrarea triggerului i aa mai departe, pn la adunarea tuturor
rangurilor, moment cnd registrele de intrare sunt goale i registrul sumei
conine valoarea sumei celor dou numere adunate. n schema din figura 6.63,
intrrile de tact (care nu sunt figurate) ale celor trei registre i a triggerului sunt
legate mpreun, lor aplicndu-se acelai semnal de tact.
278

6.7.7. nmulirea binar


nmulirea unui numr a cu alt numr b reprezint adunarea repetat, de
b ori, a numrului a cu el nsui:
ab = a
a
a.

de b ori

Fig. 6. 64 nmulirea binar

nmulirea prin adunare succesiv nu este ns convenabil n cazul


numerelor mari, astfel nct este nevoie de o metod mai rapid. Pentru
numerele binare, se poate folosi aceeai metod ca i la nmulirea numerelor
zecimale, constnd n calcularea produselor pariale ale denmulitului cu
cifrele din rangurile nmulitorului i adunarea pe ranguri a acestora. Regulile
de nmulire sunt mai simple n acest caz, pentru c, lucrndu-se cu numai dou
cifre, tabla nmulirii este i ea mai simpl, artnd ca n figura 6.64.a. n
figura 6.64.b este artat un exemplu de nmulire binar, din care se poate
constata c, dac cifra nmulitorului cu care se face nmulirea este zero, se
poate renuna la aceast nmulire parial, fcndu-se deci numai produsele
pariale pentru rangurile nmulitorului ale cror cifre sunt egale cu 1 (figura
6.64.c).

279

6.7.8. nmulitoare binare


Schema bloc a dispozitivului de nmulire binar prin metoda adunrii
repetate este prezentat n figura 6.65. Astfel, denmulitul se gsete n
registrul superior, legat la intrarea A a unui sumator, nmulitorul se gsete
ntr-un registru de decrementare (scdere), adunarea repetat fcndu-se n
sumator, la ieirea cruia se gsete un registru acumulator, acesta fiind n
acelai timp registrul de intrare la intrarea B a sumatorului.

Fig. 6. 65 nmulitor prin adunare repetat

Procesul se desfoar astfel (lum ca exemplu, nmulirea numerelor


binare 111 100): iniial, registrul acumulator este gol, valoarea nscris n el
fiind 00000, registrul denmulitului fiind ncrcat cu valoarea 111, iar registrul
nmulitorului cu valoarea 100. La primul impuls de tact, sumatorul adun
coninutul registrelor A i B (rezultatul este 00111) i nscrie rezultatul n
registrul acumulator B; concomitent, registrul nmulitorului se modific,
valoarea nscris n el scznd cu o unitate (noua valoare este 011). La al doilea
impuls de tact, se face o nou adunare a coninutului registrelor A i B
(registrul denmulitului, respectiv registrul acumulator), rezultatul acesteia,
01110 fiind nscris n registrul acumulator, iar registrul nmulitorului
sczndu-i valoarea cu nc o unitate, devenind deci 010. La al treilea impuls
de tact se adun din nou coninutul registrelor A i B, rezultatul, 10101 fiind
nscris n registrul acumulator, iar registrul nmulitorului i scade valoarea cu
o unitate, valoarea nscris n acesta devenind 001. La al patrulea impuls de
tact se face o nou adunare a registrelor A i B, avnd drept rezultat valoarea
11100, nscris n registrul acumulator, iar registrul nmulitorului va avea
nscris n el valoarea 000. n acest moment, procesul ciclic se oprete, tocmai
datorit faptului c valoarea registrului nmulitorului a devenit 000. Este de
observat faptul c registrul nmulitorului este de fapt un numrtor invers, el
numrnd de cte ori denmulitul mai trebuie adunat la valoarea nscris n
acumulator. Numrul mare de circuite necesare pentru realizarea nmulirii
prin adunri repetate poate fi redus n practic prin utilizarea unui program prin
280

care succesiunea de operaii este ndeplinit printr-o succesiune de comenzi.


Astfel, partea soft a dispozitivului numeric poate nlocui o parte din hard.
Revenind la exemplul de nmulire din figura 6.64.b, pe baza acestuia
se pot stabili trei constatri:
- rezultatul nmulirii pariale este ntotdeauna egal cu 0, dac nmulitorul
este egal cu 0 i este egal cu denmulitul, dac nmulitorul este egal cu
1;
- numrul rangurilor n registrul produsului trebuie s fie dublu fa de
numrul rangurilor denmulitului;
- la adunarea primului produs parial cu al doilea produs parial, primul
produs parial se deplaseaz cu o poziie spre dreapta.
Pe baza acestor constatri, se poate construi un dispozitiv de nmulire
a crui schem este cea din figura 6.66.a i ale crui etape de funcionare (pe
exemplul de nmulire 111 101) sunt reprezentate succesiv (prin coninutul
registrelor) n figura 6.66.b. Astfel, iniial, acumulatorul se seteaz la valoarea
0000, registrul nmulitorului se ncarc cu valoarea acestuia, 101 i
denmulitul, 111, se ncarc n registrul denmulitului (etapa A); apoi, se
adun registrul acumulator cu registrul denmulitului (etapa B), iniiat prin
transmiterea valorii 1, reprezentnd rangul cel mai mic al nmulitorului, pe
linia de comand.

Fig. 6. 66 nmulitor prin adunarea produselor pariale i deplasare

Urmeaz etapa C, constnd n deplasarea coninutului registrelor


acumulator i al nmulitorului cu un rang spre dreapta (n acest mod, cifra
reprezentnd rangul cel mai mic din registrul acumulator trece n rangul cel
281

mai mare al registrului nmulitorului, cifra aflat iniial n rangul cel mai mic
al acestuia pierzndu-se). Cum valoarea celui mai mic rang (din dreapta) al
registrului nmulitorului, prin deplasare a devenit 0, n etapa D nu se execut
adunarea i se trece la etapa E. Lucrurile continu pn n momentul cnd din
registrul nmulitor iese i cifra reprezentnd cel mai mare rang al
nmulitorului. n acest moment, rezultatul nmulirii este coninut parial n
registrul nmulitor, restul aflndu-se n registrul acumulator (0100011). Se
constat deci, c registrul acumulator i registrul nmulitorului sunt dou pri
ale unui singur registru de deplasare.
6.7.9. Scrierea, adunarea i scderea numerelor prezentate n cod
complementar
Folosind codul complementar, fiecrui numr i se atribuie nu numai o
valoare dar i un semn. S considerm un dispozitiv electronic ce lucreaz cu
4 ranguri, ceea ce nseamn c toate datele se transmit i se prelucreaz pe
grupe de cte 4 bii. Rangul superior este repartizat pentru semnul numrului,
conform regulii: 0 = (+), 1 = (). Pentru numerele pozitive, reprezentarea lor
n cod complementar este identic cu reprezentarea lor n cod binar.

Fig. 6. 67 Tabelul reprezentrii n cod complementar a numerelor (a);


transformarea unui numr zecimal n numr exprimat n cod
complementar (b) transformarea invers (c)

Reprezentarea numerelor negative se face astfel: valoarea lor absolut


se trece n form binar (de exemplu, 410 = 01002); rezultatul se completeaz
pn la 1 ceea ce echivaleaz cu nlocuirea lui 0 cu 1 i a lui 1 cu 0 (0100
282

1011); numrul obinut se adun cu 1 (1011 + 1 = 1100). Rezultatul final


(1100) este reprezentarea numrului iniial (4) n cod complementar. Acest
procedeu este artat n figura 6.67.b, procedeul de transformare invers fiind
reprezentat n figura 6.67.c. n figura 6.67.a este dat tabelul reprezentrii n cod
complementar a tuturor numerelor zecimale ntre 8 i + 7.
Utilizarea larg a reprezentrii numerelor n cod complementar este
determinat de simplitatea realizrii operaiilor de adunare i scdere a
numerelor reprezentate n acest cod.

6.8. Dispozitive de memorare


Majoritatea sistemelor numerice de calcul utilizeaz dispozitive de
memorare de dou tipuri: memorie intern, de capacitate mic i vitez de
operare mare i memorie extern, de capacitate mare i vitez de operare mai
mic. Dispozitivele de memorare semiconductoare sunt de trei feluri:
- dispozitive de memorie cu acces aleator (RAM Random Access
Memory)
- dispozitive de memorie permanent (ROM Read Only Memory)
- dispozitive de memorie programabil (PROM Programmable Read
Only Memory, EPROM - Erasable PROM, REPROM Reprogrammable ROM)
6.8.1. Memorii RAM
Memoria RAM este un tip de memorie n care informaia poate fi
memorat n timp, apelat n orice moment de timp, transferat, motiv pentru
care se numete memorie cu acces aleator, sau memorie operativ. Memoria
reprezint un grup de locaii, aflate la anumite adrese, n care se pot nscrie
cuvinte, alctuite din cifre binare de mai multe ranguri (4, 8, 16, 32).
Un exemplu de organizare a unei memorii este dat n tabelul 6.6, avnd o
capacitate de 64 bii, lungimea cuvintelor fiind de 4 bii. n acest tabel, cele 64
de dreptunghiuri (aproape toate goale) reprezint 64 de poziii de memorie ce
pot fi ncrcate cu date. Ele sunt organizate n 16 grupe formnd fiecare cte
un cuvnt, cu 4 ranguri de informaie (4 bii). Ea este o memorie 16 4. Este
evident c o astfel de memorie ar putea fi organizat sub forma 32 2 (32
cuvinte a cte 2 ranguri fiecare), sau 61 1 sau 8 8. Celula de memorie
repartizat unui cuvnt se numete adresa cuvntului. n cazul cuvntului
nscris n tabelul 6.6, adresa acestuia este 310 = 00112.
Memoriile cu acces aleator nu pot fi utilizate la memorarea permanent
a datelor, deoarece informaia nscris n aceste memorii se pierde la
decuplarea sursei de alimentare a circuitelor de memorie respective.
Tabel 6.6 Organizarea memoriei RAM 16 4
ADRES RANG D RANG C RANG B RANG A
283

Cuvnt 0
Cuvnt 1
Cuvnt 2
Cuvnt 3
Cuvnt 4
Cuvnt 5
Cuvnt 6
Cuvnt 7
Cuvnt 8
Cuvnt 9
Cuvnt 10
Cuvnt 11
Cuvnt 12
Cuvnt 13
Cuvnt 14
Cuvnt 15

Elementul de baz al memoriei RAM este circuitul basculant bistabil


(triggerul), realizat cu semiconductoare.
6.8.2. Memorii ROM; memorii programabile
Acest tip de memorie permite doar citirea informaiei coninute n ea,
tergerea i nscrierea altor informaii nefiind posibil. Organizarea acestor
memorii este similar cu cea a memoriilor RAM. Celulele de memorie ale
memoriilor ROM nu sunt circuite basculante bistabile, ci anumite circuite
speciale, care, n procesul de fabricaie se stabilesc n stri logice 0 sau 1.
Memoriile ROM se folosesc pentru pstrarea programelor de iniiere a
pornirii calculatoarelor i a altor programe de sistem cu destinaie general.
Aceste circuite de memorie sunt costisitoare, ca urmare ele fiind folosite numai
unde sunt strict necesare (pstrarea datelor uzuale, programe, sisteme de
codificare, generatoare de simboluri, etc.).
O soluie a acestei probleme o constituie memoriile programabile, care
pot fi fabricate n serii mari (nefiind impus o anumit comand), deci preul
lor de cost poate fi sczut, comparativ cu cel al memoriilor ROM. Utilizatorul
poate programa singur, n funcie de necesiti memoria respectiv i, dac ea
este de tipul PROM, dup acest proces devine o memorie ROM obinuit. La
memoriile EPROM i REPROM, informaia poate fi tears i apoi ele pot fi
reprogramate, prin procedee specifice.

6.9. Memorii externe


Memoriile externe ale sistemelor de calcul sunt dispozitive da
capacitate mare dar cu vitez de operare mic (timp de acces mare), indiferent
284

de tipul acestora. n istoria dezvoltrii sistemelor de calcul, primele tipuri de


memorie extern au fost constituite de benzile de hrtie perforat i cartelele
perforate, care reprezint sisteme de memorare preluate de la mainile
mecanice cu program, cum sunt mainile automate de esut i de tricotat. n
prezent, datorit capacitii mici de stocare, a vitezei de operare reduse i a
altor neajunsuri, aceste sisteme de memorare nu mai sunt folosite, ele fiind
nlocuite de sistemele de memorare magnetic i optic. Sistemele de
memorare folosind banda magnetic au fost i ele nlocuite, datorit timpului
mare de acces (sisteme de memorare cu acces serial), locul lor fiind luat de
discul magnetic, la care timpul de acces se reduce foarte mult (sisteme de
memorare cu acces aleator). n acelai timp, discul magnetic ofer o foarte
mare densitate de informaie (cantitate de informaie pe unitatea de suprafa).
Discurile magnetice de memorare se mpart n dou mari categorii:
- discuri flexibile (floppy-disk), numite aa pentru c sunt construite dintrun suport de material plastic flexibil pe care este depus stratul de material
magnetic (au capacitate mic, de exemplu, 1,44 MB pentru discurile
flexibile standard de 3,5 diametru);
- discuri dure (hard-disk), de capacitate mare, la care suportul este un
material rigid (dur), pe care este depus materialul magnetic. De regul,
discurile dure se grupeaz mai multe, formnd un teanc.

Fig. 6. 68 mprirea n piste i sectoare a unui disc magnetic

Citirea, tergerea i nscrierea informaiei pe aceste discuri se face cu


ajutorul capetelor magnetice, care se pot poziiona foarte precis n dreptul
oricrei zone de pe suprafaa discului, prin rotaia acestuia i prin deplasarea
radial a capului. Suprafaa discului se mparte n piste i sectoare (figura 6.68).

285

Ca urmare a unei evoluii spectaculoase a tehnologiilor de fabricaie, n


prezent s-a ajuns ca s se fabrice i s se utilizeze hard-disk-uri cu capaciti
de 20-40 GB, avnd viteze mari de lucru (timpi de acces redui).
n afara discurilor magnetice, o mare dezvoltare au luat n ultimii ani
sistemele de memorie optic pe compact-discuri, care au nceput s fie
dezvoltate de prin nul 1980.
Compact-disk-urile (CD) reprezint mediile de stocare cele mai recent
realizate. Prima variant aprut a fost CD-ROM-ul care, aa cum i spune
numele, este o un mediu de memorie permanent, care nu poate fi ters sau
rescris, ci numai citit. Datele sunt stocate pe suprafaa de aluminiu (sau, mai
recent, colorani organici stabili) depus sub o folie subire n interiorul unui
disc de material plastic, (sub forma unor mici guri corespunznd valorii 1,
lipsa acestora, reprezentnd 0 logic, sau invers) i citite prin reflexie cu ajutorul
fasciculului emis de o diod laser. Alte variante ulterioare sunt CD-R (CDRecordable), un CD special pe care se pot nscrie i apoi citi date pe un suport
organic, CD-RW (CD-Rewritable), i, mai nou din punct de vedere tehnologic,
DVD (Digital Versatile Disk).
CD-RW este un disc pe care este aplicat un strat reflectorizant de
aluminiu i deasupra acestuia, un strat de oxid teluric. O raz laser provenit
de la o diod laser transform la nregistrare structura cristalin a oxidului
teluric ntr-o faz amorf, modificndu-se astfel coeficientul de reflexie al
suprafeei, ceea ce permite inscripionarea datelor. Pentru a se obine
schimbarea de faz a oxidului teluric, la nregistrare suprafaa respectiv este
nclzit local puternic pentru o perioad scurt prin intermediul razei laser.
Pentru tergere, ntregul strat de oxid este nclzit un timp mai lung, necesar
recristalizrii acestuia. Citirea se face n mod obinuit, ca la un CD-ROM.
Capacitatea unui CD (indiferent de tipul lui) este de 650 Mb, rata de transfer a
datelor fiind de ordinul a 300-600 Kb/s.
DVD-ul este un disc de concepie mai recent, care a fost i el realizat
sub diferite forme (DVD, DVD-R, DVD-RAM), din necesitatea stocrii unei
cantiti mai mari de informaie, pentru a putea folosi mediul de stocare ca disc
video. Astfel, DVD-RAM const dintr-un disc cu mai multe straturi de stocare
ce se pot inscripiona de mai multe ori pe ambele fee, citirea fcndu-se cu
capete de citire multiple, fr a fi nevoie s se ntoarc discul de pe o parte pe
cealalt. Avnd o rat de transfer de 1300 Kb/s i o capacitate de 2,6 17 MB,
acest tip de unitate de stocare a datelor s-a impus n domeniul profesional
pentru stocarea imaginilor video i ca mediu de arhivare.

6.10. Calculatoare
Schema bloc a unui calculator numeric este prezentat n figura 6.69,
componentele principale ale acestuia fiind unitatea aritmetico-logic (UAL),
286

memoria, sistemul de comand i control, sistemul de intrare/ieire (I/O) i


echipamentele periferice.

MEMORIE

COMAND
CONTROL

MAGISTRALE

UAL

I/O
ECHIPAMENTE
PERIFERICE
Fig. 6. 69 Schema bloc a unui calculator numeric

Unitatea aritmetico-logic este dispozitivul n care se execut


operaiile aritmetice i cele logice, pe baza comenzilor date de sistemul de
comand i control. Aceste operaii sunt executate pe baza unui program, care
constituie o succesiune de comenzi pentru rezolvarea problemei date. n acest
scop, sistemul folosete datele stocate n memorie, unde depune i rezultatele
executrii programului. Pentru ca utilizatorul s poat comunica cu
calculatorul, sunt prevzute sistemul de intrare/ieire i echipamentele
periferice, cum sunt: claviatura (tastatura), mouse-ul, dispozitivele de afiare
(display) cu tub catodic sau de alt tip, imprimanta, unitile de memorie extern
de diferite tipuri, scanerul, etc. n prezent, gama acestor echipamente tinde s
se extind i s se diversifice foarte mult, n scopul uurrii accesului
utilizatorilor la calculator. Astfel, exist deja sisteme concepute pentru
comand vocal, sau pentru recunoaterea caracterelor scrise de mn, etc.
6.10.1. Calculatoare personale
Calculatoarele personale (PC) reprezint o categorie de calculatoare ce
s-a impus n ultimii 10-15 ani ca unul dintre sistemele cele mai flexibile n
tehnica de calcul, prin posibilitile sale de adaptare, utiliznd resurse minime,
n cele mai diverse situaii impuse de practic.
Exist 4 pri eseniale ntr-un calculator personal: procesorul (numit
uneori i unitatea central de prelucrare, CPU - Central Processing Unit),
memoria (care este de mai multe tipuri), circuitele de intrare-ieire (I/O) i
discul de stocare a datelor. Procesorul este un dispozitiv integrat pe scar
287

foarte larg, care cumuleaz funciile unitii aritmetico-logice i ale celei de


comand i control.

MEMORIE
INTERN
INTRARE/IEIRE
PROCESOR
HARD-DISK
PROGRAME
Fig. 6. 70 Schema de principiu a componenei i funcionrii unui
calculator personal (PC)

Discul de stocare a datelor (hard-disk-ul) este un dispozitiv de memorie


extern, inclus constructiv n calculator i care asigur o arie larg de memorie,
att pentru diferitele aplicaii care ruleaz pe calculator, ct i pentru datele
utilizate sau furnizate de acestea. Schema de principiu a funcionrii unui astfel
de sistem este dat n figura 6.70.
Calculatorul conine i alte componente ce asigur funcionarea celor
descrise mai sus: sursa de alimentare, placa de baz (motherboard),
magistralele i plcile pentru echipamentele periferice.
Conceptul de plac de baz (motherboard) era unul nou cnd
calculatoarele personale au nceput s ctige popularitate. nainte de
miniaturizarea prin introducerea circuitelor integrate, diferitele pri ale
calculatoarelor erau plasate pe plci separate sau chiar n uniti separate,
alctuite din mai multe plci. Astzi, majoritatea componentelor care
alctuiesc calculatorul propriu-zis sunt plasate pe o singur plac cu circuite
imprimate numit placa de baz a sistemului.
Componentele obinuite ale plcii de baz includ cipul procesorului
principal i circuitele anexe ale acestuia, memoria, interfaa I/O (portul serial,
portul paralel, interfaa tastaturii, interfaa discului, etc.), precum i
magistralele, ce permit CPU s comunice cu celelalte componente, inclusiv cu
cele ce nu sunt integrate pe placa de baz (figura 6.71).

288

Surs de
alimentare

Microprocesor

Magistrala

Memoria
principal
-DRAM
-CACHE
-ROM BIOS

Conectorii
magistralei
de extensie

Adaptor de tastatur
i mouse

Port

Adaptorul portului
de imprimant

Port

Adaptorul portului
de monitor

Port

Adaptorul unitii
de disc

Port

Adaptorul unitilor
de dischete

Port

Plcile suplimentare se instaleaz aici pentru


a permite accesul la magistrala sistemului

Fig. 6. 71 Componentele unui sistem PC i legtura ntre ele realizat pe placa


de baz

6.10.2. Microprocesoare
Microprocesorul reprezint "creierul" unui calculator electronic, el
fiind un circuit integrat pe scar foarte larg (VLSI), ce permite efectuarea
operaiilor aritmetice i logice prin intermediul unui program. Schema-bloc a
unui microprocesor este dat n figura 6.72.
Unitatea aritmetico-logic (UAL) este partea propriu-zis de
efectuare a operaiilor aritmetice i logice. Operaia fundamental efectuat
este adunarea, efectuat prin intermediul unor circuite semisumatoare.
Scderea se face tot prin intermediul operaiei de adunare dar n locul
numrului respectiv se adun complementul su; nmulirea se reduce la o
adunare repetat iar mprirea se face prin scderi repetate. O component
important a UAL este un registru special, acumulatorul care pstreaz iniial
unul din operanzi i n final rezultatul operaiei. Alte circuite din UAL sunt
indicatorii de condiie care memoreaz condiiile specifice prin care trece
sumatorul n urma efecturii operaiilor aritmetice i logice: indicatorul de
transport (CY), indicatorul de rezultat zero (Z), indicatorul de semn (S),
indicatorul de paritate (P), etc.

289

O alt parte a microprocesorului o constituie registrele, conectate la


magistrala de date prin intermediul unui multiplexor. Acestea sunt registre cu
destinaie general, care pstreaz operanzi sau rezultate intermediare, registre
de adresare, dintre care cel mai important este
numrtorul de adrese
(care conine adresa instruciunii care urmeaz s fie executat), registre de
instruciuni, etc.
n sfrit, blocul cel mai complex, cu rol de generare a secvenei de
semnale necesare pentru execuia fiecrei operaii, este unitatea de comand
i control (UCC).
Modul de lucru al microprocesorului este urmtorul: pentru executarea
unui program se execut succesiv instruciunile aflate n zona de memorieprogram. Dup execuia unei instruciuni, numrtorul-program se
incrementeaz cu o unitate dup care, pentru execuia urmtoarei instruciuni,
microprocesorul transmite pe magistrala de adrese adresa locaiei de memorie
la care se afl nscris aceast instruciune, citete coninutul locaiei
(instruciunea), l decodific, genernd apoi semnalele necesare pentru
execuie. Astfel, microprocesorul parcurge repetat cicluri de extragere a
instruciunii i execuie a ei, lucrnd secvenial (algoritmic), ritmul de
efectuare a fiecrei operaii fiind dat de un generator de tact.

Fig. 6. 72 Schema bloc a unui microprocesor

Deci, elementul de baz al unui sistem de calcul este reprezentat de


microprocesor ce este un circuit deosebit de complex, plasat de obicei pe
placa de baz a sistemului de calcul. El este elementul ce asigur procesarea
datelor, adic interpretarea, prelucrarea i controlul acestora, vizeaz sau
290

supervizeaz transferurile de informaii i controleaz activitatea general a


celorlalte componente ce alctuiesc sistemul de calcul.
Sistemul de calcul i componentele sale sunt comandate de procesor,
n funcie de modificrile mediului sau de informaiile ce-i parvin de la mediu
(modificare de mediu poate nsemna o tast apsat, o cerere de citire sau
scriere pe hard-disk, un apel oarecare lansat de un program, etc.).
Reacia procesorului este prompt i poate fi diferit de la caz la caz,
n funcie de resursele hardware i software de care poate dispune acesta n
acel moment, comunicarea procesor-componente fiind permanent.
Fiecare procesor este alctuit intern din mai multe micromodule,
interconectate prin intermediul unor ci de comunicaie, adevrate autostrzi
informaionale, dotate cu mai multe benzi. Aceste ci de comunicaie sunt
numite magistrale interne, care pot transfera date i instruciuni sau
comenzi.
Datele i instruciunile formeaz codul unui program ce este rulat pe
un sistem de calcul i reprezint informaia care este procesat. Comenzile
reprezint informaia ce ajut la aceste procesri, prin aciunile hard i soft pe
care le determin.
Procesorul unui sistem de calcul joac rolul unui adevrat motor, iar
arhitectura lui se bazeaz pe 3 componente eseniale i anume:
1. motorul de execuie: reprezint componenta principal a procesorului,
asigurnd prelucrarea instruciunilor i datelor necesare, prin intermediul
unitii aritmetico-logice ncorporate, precum i furnizarea rezultatelor
obinute n urma procesrilor fcute.
2. registrele interne: reprezint zone de memorie interne, de mici dimensiuni,
a cror accesare, de ctre UAL i celelalte module ale procesorului, este
foarte rapid.
Din punct de vedere fizic, registrele sunt circuite electronice realizate
dintr-un numr mare de celule basculante bistabile (CBB) i au rolul de a primi,
stoca i transfera informaia binar. n funcie de numrul de bii manevrai de
un registru, acetia pot fi de 4, 8, 16, 32 sau 64 bii. O alt clasificare a
registrelor se face dup natura elementului ce realizeaz funcia de memorare
efectiv:
registre statice, la care funcia de memorare este realizat de CBB-urile
circuitului, prin setarea (valoarea 1) sau resetarea (valoarea 0) a acestora.
registre dinamice, la care funcia de memorare este realizat de
condensatoare, iar informaia este stocat sub form de sarcin electric pe
aceste condensatoare, existena sarcinii corespunznd valorii binare 1, iar
absena sarcinii corespunznd valorii binare 0.
Registrele interne ale microprocesorului sunt clasificate i folosite de
microprocesor astfel:
291

registre de date (generale) sunt folosite pentru manipularea datelor; n


general aceste registre sunt utilizate de instruciunile logice i aritmetice i pot
fi de 16 bii la procesoarele 8086 i 80286, 32 de bii la procesoarele 80386 i
80486 i 64 de bii la procesoarele Pentium.
registre de pointer i index sunt utilizate de ctre instruciunile pentru
transfer de date, adresri indexate i stiv.
registre de segment, folosite n accesrile de memorie i transferuri de
date conin adresele de segment pentru program, date curente, extrasegment
i stiv
registrul indicator de instruciune indic instruciunea curent n cadrul
unui program n curs de execuie
registrul de stare, prin intermediul cruia se poate verifica efectul
execuiei anumitor instruciuni sau stri ale microprocesorului.
3. modulul interfa.
Modulul interfa (controlerul de magistral intern) reprezint
dispozitivul ce controleaz transferurile de intrare/ieire (magistralele
sistemului), lucrnd similar cu un controller extern de magistral; el
semaforizeaz aceste transferuri pe bus i genereaz ntr-o zon de memorie
intern (buffer) o structur de tip stiv pentru reinerea instruciunilor ce vor fi
procesate de modulul executor.
Magistralele interne ale microprocesorului sunt ci de comunicaie
ntre modulele ce alctuiesc intern microprocesorul, deosebit de rapide, cu
limi de 8, 16, 32, 64, 128 sau 256 de bii, n funcie de microprocesor,
realizate la nivel microscopic.
Modul de lucru general al unui sistem de calcul este urmtorul: sistemul
de operare (SO) ncarc programul n memoria de lucru (operativ) a
calculatorului (memoria RAM), informnd microprocesorul, prin intermediul
modulului interfa, despre adresele la care acesta a fost plasat n RAM. Acest
modul va iniializa registrele de segment la valorile corespunztoare, setnd
pointerul de instruciune la offset-ul primei instruciuni a programului
respectiv, n segmentul de cod.
Prin intermediul magistralelor sistemului, acest modul preia
instruciunile i operanzii corespunztori secvenial, incrementnd simultan i
indicatorul de instruciune, astfel nct acesta s se plaseze la instruciunea
urmtoare din program.
Orice aciune intern a unui microprocesor (preluarea datelor,
procesarea instruciunilor, etc.) este guvernat de un semnal de baz periodic,
stabil n frecven, dat de un circuit special numit ceas, sau generatorul
semnalului de tact.
Acest ceas reprezint elementul principal ce influeneaz viteza de
lucru a sistemului n ansamblu, deoarece, crescnd frecvena acestui semnal,
292

numrul de aciuni-procesor (transferuri, procesri de instruciuni, etc.) ntro unitate de timp va crete proporional.
Acest circuit conine:
1) cristalul de cuar, ce este elementul ce poate genera un semnal cu frecvena
de ordinul MHz;
2) convertorul analog-digital ce este realizat cu un cip specializat;
3) divizorul de frecven, ce este un element ce asigur divizarea frecvenei
primare n diverse frecvene secundare.
Cristalul de cuar este componenta activ principal a ceasului,
genernd un semnal sinusoidal (deci un semnal analogic) cu frecven deosebit
de stabil n timp, numit frecven master sau principal. Este folosit efectul
piezoelectric, ce reprezint fenomenul de apariie a unei tensiuni, n momentul
n care un cristal de cuar sufer o deformare mecanic; fenomenul invers apare
prin aplicarea unei tensiuni la armturile cristalului, acesta suferind o
microdeformare. Semnalul analogic este preluat de circuitul convertor analogdigital, care va realiza transformarea semnalului primar analogic n semnal
digital.
Divizorul de frecven, n funcie de tip, mparte frecvena master n
frecvene secundare cu diferite valori, folosite de microprocesor i de celelalte
circuite ale sistemului.
Microprocesoarele folosesc semnalul digital generat de ceas,
mprindu-l n aa-numitele cicluri instruciune, adic intervale de timp bine
definite, n care procesorul va executa cte o instruciune. Un ciclu instruciune
este divizat n trei pri numite cicluri main. Aceste cicluri main stabilesc
timpul pentru:
preluarea codului de operaie (OP Code Fetch);
citirea memoriei (Memory Read);
scrierea memoriei (Memory Write)
Un astfel de ciclu main are o durat variabil, n funcie de numrul
de tacturi ce l compun i de tipul procesorului.
Familia microprocesoarelor Intel 80X86 permite cuplarea, extern sau
intern, cu uniti specializate n operaii matematice n virgul mobil, a aanumitelor coprocesoare matematice (notate i80X87) programabile prin
propriul lor set de instruciuni.
Prin folosirea unui astfel de tandem microprocesor-coprocesor
matematic, se obine sporirea vitezei de lucru, sesizabil mai ales n situaia
rulrii unui program ce prelucreaz date n virgul mobil, deci calcule
matematice ce se doresc foarte precise.
Microprocesoarele Intel ncepnd cu Pentium, nglobeaz coprocesorul
n aceeai capsul, renunndu-se, se pare definitiv, la variantele cu coprocesor
separat.
293

Toate instruciunile pe care un procesor le poate executa formeaz setul


de instruciuni ale procesorului. Acest set este proiectat i optimizat pentru
fiecare procesor n parte. Toate procesoarele Intel 80X86, inclusiv Pentium, au
setul de instruciuni complet compatibil n jos cu versiunile anterioare.
Activitatea general a unui procesor folosete dou tehnici:
- tehnica pipeline ce utilizeaz nlnuirea mai multor module ce vor
prelucra n cascad o informaie (modulul M2 preia informaia de procesat
de la modulul anterior M1, o prelucreaz i o trimite la modulul urmtor);
- tehnica burst, o tehnic mai nou, folosit ncepnd cu 80486 ce a impus
introducerea n aceeai capsul cu procesorul a unei memorii tip SRAM
numit memorie cache, cu rol de memorie buffer, pentru mrirea vitezei
de lucru.
n capsulele ceramice ce nglobeaz plcuele de siliciu pot aprea
microfisuri din cauza fenomenelor de dilatare sau contractare. De aceea,
microprocesorul trebuie ferit de orice variaie de temperatur ce ar putea aprea
la pornirea acestuia.
Ca soluii tehnice de rcire, sunt indicate mini-ventilatoarele montate
deasupra capsulei microprocesorului (ncepnd cu 80486) sau radiatoare
(pentru 80386). Periodic, este recomandat verificarea funcionrii cooler-ului,
defectarea sau decuplarea acestuia nefiind semnalat de calculator.
n ceea ce privete montarea n sistem, microprocesoarele se instaleaz
fie folosind un soclu (capsule tip PGA), fie implantndu-se prin lipire pe placa
de baz a calculatorului. Soclurile pot fi prevzute cu un sistem de fixare a
contactului la pinii microprocesorului, prin acionarea unei prghii (socluri
ZIF).
Microprocesoare Pentium
Un tip aparte de microprocesor din familia Intel, l reprezint Pentium,
urmaul microprocesoarelor 80486. Intel a preferat o denumire simbolic, fa
de precedentele procesoare (la care se foloseau cifre), datorit problemelor
legate de copierea i producia ilegal de microprocesoare, metod folosit de
alte firme. Prin utilizarea unui nume i nu a unor cifre, acesta devine o marc
i, nregistrat ca atare, poate proteja produsul n cauz.
Tehnologia de fabricare a acestor microprocesoare a realizat un salt de
la 0,8 microni, la 0,35 microni, n tehnologie BiCMOS (Bipolar
Complementary Metal Oxid Semiconductor).
Au fost realizate cipuri care sunt alimentate la 3,3 V (versiunile de
Pentium de la 75 MHz i peste), fapt care duce la reducerea emisiei calorice una dintre problemele de construcie a microprocesoarelor. Consumul de
energie a sczut la numai 3-6 W , fa de 1418 W. Frecvenele de lucru
uzuale sunt de 50 MHz (un procesor nereuit), 60, 66, 75, 90, 100, 120, 133,
166 MHz, ajungndu-se n prezent la 1 GHz.
294

Suprafaa chipului Pentium standard este de 294 mm2, iar numrul de


tranzistoare integrate pe acest chip este de 3,1 3,3 milioane, funcie de tip.
Producia de procesoare Pentium la frecvene de peste 70 MHz a fost
condiionat imperativ de rezolvarea problemelor legate de rcirea cipurilor.
De aceea, Intel a sczut tensiunea de alimentare la Pentium cu frecvene de
peste 75 166 MHz de la 5 V la 3,3 V, obinnd astfel i o reducere implicit
a emisiei termice. Capsulele prezint 273 de pini (PGA, la 60, 66 MHz) sau
296 de pini (SPGA) pentru versiunile de peste 75 MHz.
Pentium este un microprocesor cu structur superscalar, avnd, fa
de microprocesoarele din seria Intel 80X86 precedente, dou uniti aritmetice
integrate pe acelai cip, care lucrnd n paralel, pot procesa dou instruciuni
simultan. Ca tehnici de lucru, ele integreaz att tehnica pipeline ct i burst.
O alt diferen major fa de predecesoarele din serie este
dimensiunea cache-ului intern i modul de folosire al acestuia; n
microprocesor sunt integrate dou module de memorie cache, fiecare de 8 KB,
complet separate fizic, ce pot fi accesate n mod Write Back sau Write
Through. Primul modul cache este destinat memorrii instruciunilor i
comenzilor (cache de mod), iar cel de-al doilea modul este folosit ca buffer de
date.
Diferena fa de 80486 este semnificativ nu numai prin dimensiunea
cache-ului ci i prin modul de folosire al acestuia, mult mai rapid i eficient la
P5; simultan, cnd cache-ul de date este scris, cellalt modul cache poate fi
citit. Controller-ul de memorie cache este de asemenea integrat pe cip. Cele
dou uniti aritmetice logice preiau datele i instruciunile pe dou magistrale
pipeline de 32 de bii separate, nefiind necesare stri de ateptare ntre acestea,
pentru ncrcarea datelor i instruciunilor.
Codul i comenzile sunt preluate din cache-ul de cod de un buffer, prin
intermediul unei magistrale pipeline pe 256 de bii, de unde cele dou UAL
vor ncrca, secvenial, instruciunile plasate ntr-o stiv. Datele i
instruciunile sunt ncrcate n cache-ul de date respectiv i n cache-ul de
instruciuni i comenzi, pe magistrale pipeline de 64 de bii.
Pentru accelerarea operaiilor de citire a codului se folosete
suplimentar o unitate logic de predicie BTB (Branch Target Buffer) care, n
cazul instruciunilor de salt, ncearc s ncarce anticipat n memorie codul de
la adresa la care se face saltul. inta prediciei este ncrcat ntr-un buffer pn
la procesarea sa efectiv.
Microprocesorul conine pe acelai cip i un coprocesor matematic
deosebit de puternic, cu care este conectat printr-o magistral de 64 de bii. De
asemenea, controller-ul de ntreruperi APIC (Advanced Programmable
Interrupt Controller) este ncorporat n cip.
Integrarea microprocesoarelor Pentium n sistem se face folosind
magistrale PCI.
295

n ceea ce privete consumul de energie, Pentium dispune de toate


facilitile oferite de SMM (System Management Mode) prin controlul
frecvenei de tact.
6.10.3. Scurt istorie a calculatoarelor electronice
Primul calculator electronic numeric a fost construit n 1944, la
comanda firmei americane IBM, de ctre profesorul Howard Aitken, de la
Universitatea Harvard. Funcionnd cu relee electromecanice i tuburi
electronice, el putea nmuli dou numere de cte 23 de cifre n 5 secunde.
Urmaul su, construit n 1946, se numea ENIAC (Electronic Numerical
Integrator and Calculator) i a fost folosit n domeniul militar, la calculul
traiectoriilor tragerilor de artilerie. Avnd n componen 18000 tuburi
electronice, 70000 rezistoare i 10000 condensatoare, ocupnd volumul unei
camere mari, acest calculator putea realiza 5000 de adunri pe secund. n 1947
existau n ntreaga lume doar 6 calculatoare.
Odat cu inventarea, n 1948, a tranzistorului, de ctre Bardeen,
Brattain i Shockley, s-a intrat n era dispozitivelor semiconductoare, ceea ce
a permis miniaturizarea i a dat un nou impuls tehnicii de calcul. Sillicon
Valley, din California, ale crei baze au fost puse de Shockley, a devenit
centrul mondial al fabricrii dispozitivelor semiconductoare i locul unde (cel
puin n domeniul microelectronicii) se construia viitorul. Compania IBM a
devenit liderul mondial al construciei calculatoarelor, poziie pe care o
menine i n prezent, n ciuda apariiei concurenei celei mai performante.
Alturi de ea, alte companii au adus contribuii eseniale la dezvoltarea rapid
a tehnicii de calcul. Astfel, n 1965, Digital Equipament Corporation a produs
primul minicalculator, numit PDP-8, ocazie cu care s-a introdus definitiv
utilizarea tastaturii ca periferic. Un pas important nainte a fost fcut n 1971,
cnd firma Intel a realizat primul microprocesor. A urmat, n 1974 punerea la
punct a microprocesorului 8008 i a lui 8080, realizat de Ed Roberts, n cadrul
firmei sale, MITS. n 1975 se nfiineaz firma Microsoft, de ctre William
Gates i Paul Allen, prima firm de soft, care a nceput s creeze programe
aplicative pentru minicalculatoare n limbajul BASIC. n prezent firma
Microsoft, autoarea sistemului de operare MS-DOS-Windows deine o mare
parte din piaa de soft iar Gates este unul din cei mai bogai oameni din lume.
Evoluia microprocesoarelor5 este prezentat succint n tabelul
urmtor:
Tabel 6.7 Evoluia microprocesoarelor
Procesor

Frecven
de tact
(MHz)

Registru
intern
(bii)

Magistral de
date

Magistral de
adrese

Mem.
max.
admin.

Mem.
cache
(KB)

Nr.
tranzistoare

Data
apariiei

Este vorba de procesoarele fabricate de cel mai mare productor din lume, firma Intel. Alturi de acesta,
ali doi productori, AMD i Cyrix (i mai recent al treilea, Cerber) au dezvoltat tehnologii performante
i asemntoare, de fabricare a microprocesoarelor.

296

8088

4,77

16

(bii)
8

(bii)
20

(MB)
1

niv. I
0

29000

80286

6; 8; 10;
12; 16; 20
16; 20; 25;
33
16; 20; 25;
33
16; 20; 25;
33; 40; 50
25; 33; 50

16

16

24

16

134000

32

16

24

16

275000

32

32

32

4000

275000

32

32

32

4000

1185000

32

32

32

4000

1200000

40; 50; 66;


80
75; 100;
120
50; 66

32

32

32

4000

1400000

32

32

32

4000

1600000

32

64

32

4000

16

3100000

75;90;100;
120; 133;
166; 200
150; 180;
200
233; 266

32

64

32

4000

16

3300000

32

64

36

64000

16

5500000

32

64

36

64000

32

7500000

386SX
386DX
486SX
486DX
486DX/2
486DX/4
Pentium
Pentium

Pentium
Pro
Pentium
II

iunie
1979
feb.
1982
iunie
1988
oct.
1985
apr.
1991
apr.198
9
mart.
1992
feb.
1994
mart.
1993
mart.
1994
sept.
1995
mai
1977

Odat cu evoluia microprocesoarelor a avut loc i dezvoltarea mini i


microcalculatoarelor din categoria personal computer. Astfel, n 1981, IBM
lanseaz modelul IBM PC, cu 16 Kb memorie RAM i o unitate de floppydisk. Urmeaz, n 1983, modelul PC-XT (extended technology), cu 128 Kb
RAM i hard-disk de 10 Mb iar n 1984, PC-AT (advanced technology), dotat
cu procesor 80286 i avnd ca sistem de operare sistemul DOS 3.0, elaborat de
Microsoft. n 1987 apare PS/2, prilej cu care produsul soft Windows, dezvoltat
din 1985 de Microsoft ca o extensie a sistemului de operare DOS (Disk
Operating System), s-a impus definitiv. Din acel moment, dezvoltarea s-a
produs rapid, ea continund i n prezent n acelai ritm. S mai subliniem
faptul c ceea ce am descris pe scurt reprezint doar o parte din dezvoltarea
tehnicii de calcul, anume cea a "home computer"-elor, existnd ns i o alt
latur, cea a computerelor de mare capacitate, care a avut i ea o dezvoltare la
fel de rapid, rmnnd ns mai puin cunoscut, datorit aplicaiilor strict
tiinifice i profesionale.

297

6.11. Conjugarea instalaiilor numerice i analogice


Sistemele de conversie (convertoarele numeric-analog, CNA i
convertoarele analog-numeric, CAN), care transform semnalele numerice n
semnale analogice i invers reprezint dispozitive de interfa ntre aceste dou
tipuri de instalaii: numerice i analogice.

Fig. 6. 73 Convertor numeric-analogic: schema bloc (a) i


tabelul de adevr (b)

ntr-un sens mai larg, interfaa reprezint un complex de mijloace de


conjugare ntre diferite pri ale unui sistem de prelucrare a datelor, care
include nu numai aparatur (componenta hard), dar i anumite reguli care
stabilesc principiile de interaciune a subsistemelor (componenta soft).
n figura 6.73 este prezentat schema bloc a unui convertor numericanalog (figura 6.73.a) i tabelul su de adevr (figura 6.73.b), convertorul
realiznd conversia semnalelor binare de 4 bii n tensiune de ieire variabil
n intervalul 0 3 V.
Convertorul se compune din dou pri: schema rezistiv i
amplificatorul sumator. Rolul schemei rezistive este de a aprecia ponderea
semnalelor numerice de la intrarea CNA (ponderea 1 la intrarea B este de dou
ori mai mare dect ponderea 1 la intrarea A, ponderea 1 la intrarea C este de
patru ori mai mare dect ponderea 1 la intrarea A, etc.) Aceste scheme rezistive
se mai numesc i matrice rezistive. Ca amplificator sumator se folosete un
amplificator operaional, care are o rezisten mare la intrare i o rezisten
298

mic la ieire, precum i o amplificare mare, slab dependent de factori


R
exteriori i a crei valoare se poate stabili conform relaiei cunoscute: Au = r
Ri
, unde Rr este rezistena de reacie negativ i Ri este rezistena de intrare. O
schem constructiv de principiu a CNA este cea din figura 6.74.

Fig. 6. 74 Schema de principiu a unui convertor numeric-analogic

Convertorul analogic-numeric este un dispozitiv care transform


semnalul analogic (tensiunea) n cuvnt binar cu un anumit numr de ranguri.
Tabelul de adevr al unui astfel de dispozitiv este identic cu cel din figura
6.73.b, cu deosebirea c intrarea devine ieire, iar ieirea devine intrare.

299

Schema de principiu a unui convertor analogic-numeric (CAN) este


prezentat n figura 6.76. Aceasta conine un comparator de tensiune (figura
6.75), care compar tensiunea de reacie, de la intrarea B, cu tensiunea
analogic, aplicat la intrarea A.

Fig. 6. 75 Schema de principiu a comparatorului de tensiuni

Dac A > B, semnalul logic la ieirea comparatorului este 1, iar dac A


< B, semnalul logic este 0. Semnalul de ieire al comparatorului, mpreun cu
impulsurile de tact se aplic la intrrile unui circuit I, astfel c, dac la ieirea
comparatorului semnalul logic este 1 (A > B), la ieirea circuitului I vom avea
semnale logice 1 n ritmul impulsurilor de tact. Dac A < B, la ieirea
circuitului I semnalul logic este 0. Semnalele de la ieirea circuitului i sunt
numrate de un numrtor, la ieirea cruia se obine cuvntul binar cu 4
ranguri, corespunztor semnalului analogic de la intrare. Figura 6.76 prezint
schema de principiu a comparatorului realizat cu AO. Dioda stabilizatoare este
necesar pentru fixarea nivelurilor de tensiune de la ieire n jurul valorilor 3,5
V i 0 V, n locul valorilor + E i E, unde E este tensiunea de alimentare a
amplificatorului operaional.

300

Fig. 6. 76 Schema de principiu a unui convertor analogic-numeric

CAN poate fi folosit n construcia voltmetrelor numerice. Schema bloc


a unui astfel de dispozitiv este prezentat n figura 6.77.

Fig. 6. 77 Schema bloc a voltmetrului numeric construit cu CAN (a); schema de


principiu a voltmetrului numeric (b).

301

Exist i alte tipuri de CAN. Astfel, n figura 6.78, este prezentat CAN
integrator, al crui mod de funcionare seamn cu modul de funcionare a
CAN cu compensare dinamic, prezentat anterior. Singurul element de noutate
este generatorul de tensiune liniar variabil (dinte de ferstru), aa cum se
poate vedea din figura 6.78.a. S considerm c, la intrarea analogic a CAN
din figura 6.78.a se aplic o tensiune egal cu 3 V. Aceast situaie este
prezentat n figura 6.78.b.

302

Fig. 6. 78 Schema bloc a CAN integrator (a); diagramele de timp ale


semnalelor (b, c)

Tensiunea liniar variabil ncepe s creasc, dar, att timp ct ea este


mai mic dect tensiunea de intrare, de la intrarea A a comparatorului, la ieirea
acestuia nivelul logic este 1. n acest fel, circuitul logic I se menine n stare
deschis, prin el trecnd liber impulsurile de tact. Cu ct tensiunea la intrarea
A este mai mare, cu att mai lung va fi intervalul de timp n care aceast
tensiune se menine mai mare dect tensiunea liniar cresctoare aplicat la
303

intrarea B i cu att mai multe impulsuri de tact vor trece prin circuitul I spre
numrtor ntr-o perioad a tensiunii furnizare de generatorul de tensiune liniar
variabil, GTLV. n figura 6.78.b, n intervalul n care tensiunea de intrare
analogic este mai mare dect tensiunea liniar cresctoare, prin circuitul I trec
trei impulsuri, care sunt numrate de numrtor, la ieirea acestuia obinnduse numrul binar 0011, corespunztor tensiunii de 3 V la intrare. Dac la intrare
se aplic o tensiune de 6 V (figura 6.78.c), n intervalul de timp n care aceast
tensiune este mai mare dect tensiunea liniar cresctoare prin circuitul I trec
6 impulsuri, numrate de numrtor, la a crui ieire se obine numrul binar
0110, corespunztor tensiunii de 6 V.
Deficiena acestui tip de CAN este datorat timpului prea mare,
consumat pentru numrare (de exemplu, la ieirea binar cu opt ranguri, este
necesar numrarea unui numr de impulsuri de tact de pn la 255).

Fig. 6. 79 Schema bloc a CAN cu aproximaie succesiv (a); schema logic a


funcionrii CAN cu aproximaie succesiv (b)

Pentru accelerarea procesului, se utilizeaz un alt tip de convertor


analogic-numeric, numit CAN de aproximare, a crui schem bloc este
prezentat n figura 6.79.a. n componena acestui tip de CAN intr un
comparator de tensiune, un CNA pentru formarea semnalului de reacie,
precum i un nou bloc logic, numit registru de aproximare succesiv.
S considerm c la intrarea analogic se aplic o tensiune de 7 V.
Pentru nceput, CAN cu aproximare succesiv caut rspunsul cu privire la
posibila valoare a tensiunii msurate. Acest lucru este realizat prin transmiterea
valorii 1 n rangul cel mai mare al numrului binar la ieire, prin intermediul
registrului cu aproximaie succesiv. Rezultatul operaiunii (1000) trece prin
304

CNA la intrarea B a comparatorului. Acesta rspunde la ntrebarea dac


numrul 1000 este mai mare sau mai mic dect echivalentul numeric al
tensiunii de intrare. Procesul complet este descris conform schemei logice din
figura 6.70.b.

305

7.

MSURAREA ELECTRIC A MRIMILOR


NEELECTRICE

Msurarea electric a mrimilor neelectrice i transmiterea la distan


a rezultatelor prezint un interes major n tehnica contemporan. Pentru
rezolvarea concret a multor probleme de msurare nu este eficient economic
realizarea unor instalaii universale, ci elaborarea unor sisteme speciale de
msur destinate rezolvrii unui grup concret de probleme, cu particularitile
lor. Pentru sistemele de msur complexe, prezint interes deosebit instalaiile
de msurare la distan i de transmitere a datelor privind mrimile msurate.
n tabelul 7.1 sunt prezentate cteva exemple de mrimi neelectrice msurabile
electric precum i valorile maxim, xmax i minim, xmin ale acestora.
Tabel 7.1 - Domeniul de variaie a mrimilor neelectrice msurate
MRIME
SIMBOL UNITATE DE MSUR xmin
xmax
Lungime

m
10-8
10
-10
Timp
t
s
10
103
-6
Temperatur
T
K
10
1012
Unghi de rotaie
grad
10-6
360
-2
Turaie
n
rot/s
410 4105
2
Acceleraie
a
m/s
10-3
106
For
F
N
210-8 2107
Presiune
p
Pa
10-12 109
Alungire relativ
10-2
106
m/m
Cu toate c din tabel rezult valoarea raportului xmax/xmin de 106 1019, pot
aprea msurtori n limite de 109 1028 i chiar pn la 1042. Evident c
msurarea cu un singur aparat universal n aceste limite este practic imposibil
i economic ineficient. Sunt necesare sisteme i metode diferite de msur nu
numai pentru diferite mrimi, dar i pentru diferite domenii de valori ale
aceleiai mrimi. n figura 7.1 este prezentat schema de principiu a instalaiei
pentru msurarea mrimilor neelectrice. Circuitele de msur se compun din
diferite elemente: traductorul T, dispozitivul de adaptare A, nregistratorul
numeric sau instalaia de prelucrare numeric IP, aparatul de ieire AI.
Prelucrarea rezultatelor msurtorilor se poate face n timp real sau dup
nregistrarea datelor. La aprecierea metodelor de msur se are n vedere
posibilitatea adaptrii mrimilor msurate, domeniile de msur, frecvena,
sensibilitatea, gradul de perturbare a msurrii, precum i posibilitatea
msurrii analogice sau numerice multicanal, transmiterea semnalului msurat
la distan, prelucrarea automat a rezultatelor, precizia i sigurana msurrii.
306

SA
x
T

y1

y2

y3

IP

y
I

Fig. 7. 1 - Structura instalaiei pentru msurri electrice ale mrimilor neelectrice:


T traductor; A bloc de adaptare; IP bloc de nregistrare i prelucrare a
datelor; I bloc de ieire; SA surs auxiliar de energie; x mrimea de intrare
(de msurat); y semnal de msur i mrimea de ieire.

Elementul sensibil (de msur), cuplat n circuitul de msur const


dintr-un convertor al mrimii neelectrice ntr-o mrime electric.
Traductorul include elementul sensibil i toate celelalte elemente
necesare pentru transformarea mrimii neelectrice ntr-o mrime electric.
Convertorul de msur (convertorul de semnal) reprezint de obicei
dispozitivul n care semnalul analog de intrare se transform n semnal analog
de ieire, n conformitate cu caracteristicile aparatului. Din punct de vedere
fizic, semnalele de intrare i de ieire ale convertorului de msur sunt diferite.
Convertoarele se folosesc de obicei la dispozitivele de reglare a proceselor
industriale, a cror schem structural este prezentat n figura 7.2.

yS
EP

DR
y

a)

DR
c

yR
R
b)

x-w

Fig. 7. 2 - Schema structural a circuitului de reglare (a) i imaginea circulaiei


curenilor (b): P proces (modificarea energiei, deplasarea masei, etc.); R
regulator; EP element care acioneaz asupra procesului; x mrimea care se
regleaz (care se msoar); y mrimea de comand; w mrimea pilot; b
perturbaie (bruiaj); DR domeniu de reglare; C convertor

Convertorul de valoare a semnalului msurat are la intrare i la ieire


semnale de aceeai natur fizic.
Convertorul integrat (transmitorul) are semnalul la ieire normat
ntr-un anumit domeniu. n convertorul integrat, compus din traductor i
schema de adaptare, mrimea msurat fizic se transform n mrime electric
307

de nivel determinat. Mrimi de intrare la asemenea convertor pot fi spre


exemplu: temperatura, fora, iar mrimi de ieire curentul, tensiunea sau
frecvena.

a)

b)

c)

d)

e)

f)

g)

Fig. 7. 3 - Reprezentarea traductoarelor (elementelor sensibile): a traductor


de deplasare sau unghi de rotire; b tensotraductor rezistiv sau
semiconductor; c termorezistor cu coeficient de variaie a rezistenei cu
temperatura pozitiv; d termorezistor cu coeficient de variaie a rezistenei cu
temperatura negativ; e fotorezistor; f fotodiod; g element de contact la
instalaiile numerice

Conform standardelor, semnalele normate de curent continuu trebuie


s se gseasc n anumite domenii, de exemplu, 0 5V sau 0 20 mA. n
unele cazuri se folosesc instalaii cu nul deplasat. La acestea domeniile sunt
ngustate: 1 5 V sau 4 20 mA. Pe baza abaterii curentului de repaus
de la valorile mici ale domeniilor artate, se descoper eventualele
deranjamente, de exemplu defectarea aparatului de nregistrare sau a reelei de
alimentare, ntreruperea conductorului de legtur prin care se aplic semnalul
de msurat, etc. n cazul cnd este necesar reglarea, limitele domeniului
semnalelor de curent trebuie s se gseasc n limitele: inferioar de la 0 la
5 mA, superioar de la 12 la 25 mA.
n instalaiile cu semnale de curent normate se accept utilizarea
diferitelor aparate de msur cu rezistena intern de cel mult 1 k. Valorile
normate ale domeniilor semnalelor de tensiune sunt 0 10 V i 0 1 V,
iar rezistena intern a aparatelor de msur nu trebuie s fie mai mic de 1 k.
Cnd se utilizeaz ca mrime de ieire frecvena, domeniul recomandat al
modificrii acesteia este de 5 25 Hz. La sistemele pneumatice este normat
presiunea gazului. Ea trebuie s se gseasc n domeniul 0,02 0,1 MPa.
n figura 7.3 este prezentat simbolizarea grafic a traductoarelor.

308

7.1. Convertoare. Amplificatoare


Pentru circuitele de msur compuse din traductoare, elemente de
adaptare i aparate de msur, exist o multitudine de posibiliti de combinare
n diferite instalaii de msur.

x
1

R
x

TAP

13

8
x
3

R
x

9 f

14

10 U

15

11 Q

16

U
TAA
x
5
Q

12 u

000

SA

17

AI

x
TNP

TNA 7

L
x
u

T
Fig. 7. 4 - Posibiliti de combinare a traductoarelor T, schemelor de adaptare
SA i a aparatelor de ieire, AI: 13 traductoare analogice rezistiv, inductiv
i capacitiv; 4 5 traductoare analogice active generator i piezoelectric; 6
traductor numeric pasiv; 7 traductor numeric activ; 8 compensator; 9 12
amplificatoare cu frecven purttoare, de curent continuu, de sarcin
electric, de tensiune alternativ; 13 aparat indicator analogic; 14aparat cu
autonregistrare (compensograf, oscilograf); 15 osciloscop electronic; 16
magnetofon; 17 aparat cu afiare numeric

309

n figura 7.4 se pot constata posibilitile obinuite de msurare


(reprezentate cu linie continu) i cele utilizate numai n cazuri speciale
(reprezentate cu linie ntrerupt). Posibilitile schemelor de msur se lrgesc
prin utilizarea comutrii canalelor de msur, a sumatoarelor, modulatoarelor,
convertoarelor operaionale, etc. La conectarea elementelor de msur ntre ele
este necesar ndeplinirea condiiilor de adaptare n ceea ce privete
sensibilitatea, mrimea semnalului de msur, curentul, puterea consumat,
rezistenele de intrare i de ieire.
Convertorul integrat pentru mrimea msurat (ca i traductorul
realizat n soluie constructiv modular) este alctuit din elementul sensibil i
schema de adaptare i este conceput pentru instalaii la care mrimea fizic de
intrare (de exemplu fora, presiunea, diferena presiunilor, nivelul lichidului,
temperatura, etc.), se transform prin utilizarea sursei de energie, n mrime
normat de ieire. El poate fi o combinaie unitar a primilor doi termeni din
circuitul de msur artat n figura 7.1. La convertoarele integrate, deseori se
folosesc elemente sensibile care transform mrimea msurat n semnal
proporional cu lungimea sau fora.

Fig. 7. 5 - Convertor de deplasare s n curent normat I: TD transformator


diferenial; Rr rezistena de reacie negativ n curent, care poate stabili, de
exemplu, domeniul de msur; AI aparatul de ieire; SA sursa de alimentare

Convertoare de deplasare n curent


La convertorul din figura 7.5, deplasarea s reprezint o mrime
secundar asociat parametrului fizic msurat, de exemplu for sau presiune.
Prin deplasarea miezului M al transformatorului diferenial se produc dou
tensiuni de ieire, u2 i u2, care apoi se redreseaz i se scad. Tensiunea
continu obinut, UM, se aplic amplificatorului cu reacie negativ n curent
A, la a crui ieire se obine un curent continuu I, nregistrat de aparatul de
ieire AI. Deplasarea s este proporional cu acest curent: s ~ UM ~ I

310

Convertoare de for n curent


La convertoarele de for n curent (figura 7.6), care funcioneaz pe
principiul comparrii sau compensrii, fora FM reprezint mrimea
intermediar dup msurarea parametrului fizic. Fora FM acioneaz asupra
prghiei P i deplaseaz miezul transformatorului diferenial cu mrimea s.
Tensiunea care apare, U2, se aplic amplificatorului A, la ieirea cruia se
obine curentul IK. Acest curent circul pn cnd se obine egalitatea forei
msurate, FM, cu cea a forei de compensare: FK = FM. n aceast instalaie: s
~ U2 ~ IK ~ FK = FM.

FM
Fk

u2

u1

Ik ~ Fk

S
AI

SA

Ik

Fig. 7. 6 - Convertor de for n curent: DT transformator diferenial; P prghie;


A amplificator; B bobina mobil n cmp magnetic; AI aparat de ieire; surs
auxiliar de energie; FK for de echilibrare

Convertorul se utilizeaz pentru msurarea mrimilor statice i


cuasistatice, cum sunt deplasarea s, unghiul , fora F, presiunea n gaze sau
lichide p, presiunea diferenial p, nivelul lichidului h, temperatura T.

7.2. Instalaii de adaptare


Instalaiile de adaptare sunt destinate adaptrii semnalului de la
traductor cu aparatul de ieire. n tabelul 7.2 sunt prezentate domeniile de
frecvene a schemele de adaptare cele mai frecvent folosite.

311

Tabel 7.2 - Domeniile de frecven i valorile frecvenei purttoare la


schemele de adaptare
Banda de
Frecvena
SCHEMA DE ADAPTARE
frecven (Hz) purttoare (Hz)
Divizor de tensiune
1 105
0
Compensator de tensiune continu
- cu compensare manual
0
0
- cu compensare automat
01
0
Compensator de tensiune alternativ
0
180
Amplificator modulator de tensiune
0 100
1 103
Amplificator cu frecven purttoare
0 10
220
pentru frecvene joase
3
0

500
510
standard
0 500
10104
industriale
3
0 1,510
5103
universale
3
0 1510
50103
pentru frecvene nalte
3
0 2510
465103
pentru traductoare capacitive
Amplificator de msur de tensiune
0 104
0
continu
Amplificator de msur de sarcin

0,1 2104
electric
Amplificator de msur de tensiune
1 104

alternativ
Compensatoare
Compensatoarele cu ieire analogic sau numeric sunt nzestrate cu
sisteme pentru cuplarea i decuplarea instalaiilor de reglare.
Amplificatoare modulatoare de msur

~
i
U

G
DM

Fig. 7. 7 - Schema structural a amplificatorului modulator: U i U - mrimi


de intrare, respectiv de ieire; i, e filtre de intrare, respectiv de ieire, de
frecven joas; M modulator; A amplificator de tensiune alternativ; DM
demodulator sensibil la faz; G generator semnalului de modulaie

Tensiunea msurat (figura 7.7) se transform n modulatorul M n


tensiune alternativ. Semnalele de comand provin de la generatorul G al
312

tensiunii de modulaie. Tensiunea alternativ obinut n modulator este


amplificat de amplificatorul de band ngust, care are derivaia nulului mic,
apoi este redresat n demodulatorul sensibil la faz DM, comandat de
generatorul G. Filtrul RC de intrare, i interzice frecvenei nalte de la
generatorul G s ajung n circuitul de intrare. Filtrul de ieire (circuit RC sau
activ), e este necesar pentru netezirea semnalului util demodulat.
Amplificatoarele modulatoare pentru msurarea tensiunilor continue
foarte mici se deosebesc prin stabilitate nalt, prin tensiune de deriv mic
(0,1 V/K) i curent de deriv mic (1 pA/K). La frecvena de modulaie de 1
kHz, domeniul frecvenelor msurate este de la 0 la 100 Hz.
Amplificatoare cu frecven purttoare
La acestea, schema de intrare const dintr-o punte de tensiune
alternativ i din traductorul T, rezistiv, inductiv i capacitiv (figura 7.8)

G
TP

up
A

up

DMS
uD

AI
uM

umod
Fig. 7. 8 - Schema structural a amplificatorului cu frecven purttoare: G
generatorul frecvenei purttoare; TP traductor cu punte; A amplificator de
tensiune alternativ cu atenuator; DMS demodulator sincron; - filtru de
frecven joas; AI aparat de ieire

Puntea se alimenteaz de la sursa de tensiune alternativ G de frecvena


purttoarei. Tensiunea pe diagonala punii, care apare n situaia cnd aceasta
se dezechilibreaz, reprezint semnalul de msurat. Ea este deci o tensiune
modulat n amplitudine, cu frecvena purttoare fp. Aceast tensiune se aplic
amplificatorului de tensiune alternativ cu atenuator i filtru trece-band, A;
semnalul de la ieirea acestuia se aplic la demodulatorul sincron DMS
(redresor sensibil la faz, modulator n inel, punte redresoare) care se
alimenteaz de la sursa de aceeai frecven purttoare; n DMS, semnalul se
redreseaz n funcie de polaritatea mrimii msurate. Tensiunea demodulat
dup filtrarea n filtrul de frecven joas reprezint fie semnalul msurat,
care dup prelucrare se nregistreaz n aparatul de ieire, fie mrimea de
intrare din instalaia de reglare. Procesul de modulaie i demodulaie n
amplificatorul frecvenei purttoare este reprezentat n figura 7.9. Semnalul
x(t) care apare datorit de exemplu modificrii rezistenei traductorului rezistiv
313

din schema n punte, moduleaz semnalul purttor, rezultnd umod. La trecerea


prin zero a semnalului msurat (punctul P) faza tensiunii umod se modific cu
180. Dup redresare, avnd n vedere polaritatea, n demodulatorul sincron
apare tensiunea demodulat UD, care conine componentele pozitiv i
negativ, care dup trecerea prin filtrul de frecven joas se constituie n
semnalul msurat UM, corespunztor semnalului de intrare x(t).

umod
t

a)

P
t

b)

uM
t

c)

Fig. 7. 9 - Diagramele de timp ale semnalelor la amplificatorul cu frecven


purttoare; a variaia mrimii msurate, x; b tensiunea de modulaie, umod, cu
punctul P de defazare cu 180 a fazei; c tensiunea demodulat UD a frecvenei
purttoare

n practic, pentru modularea tensiunii sinusoidale este suficient


respectarea condiiei: fp = 5fmax, unde fp este frecvena purttoare i fmax este
frecvena maxim a semnalului de msur.
Amplificatorul de tensiune alternativ n instalaia de msur cu
frecven purttoare trebuie s aib banda de trecere de minim 0,2fp. La
filtrarea frecvenei purttoare pentru semnale cu fronturi abrupte, raportul
fp/fmax poate fi mai mic de 5; de exemplu, dac fp = 5 kHz i fmax = 1,5 kHz,
raportul este egal cu 3,3. La nregistrarea stroboscopic a semnalului de msur
cu frecvena fmax este necesar s se asigure frecvena necesar, fp = 2fmax.
Instalaiile de msur cu amplificatoare de frecven purttoare au de obicei
urmtorii parametri:
- sensibilitatea de msurare a alungirii relative: 10 (m/m)/V
- tensiunea de alimentare a punii: 1 10 V
- abaterea de echilibru: > 1%
- tensiunea de ieire: 0 1; 0 5; 0 100 V
- curentul de ieire: 0 100 mA
Amplificatoare de tensiune continu n punte
Amplificatoarele operaionale, care servesc pentru amplificarea
tensiunii diagonalei punilor alimentate n tensiune continu, trebuie s aib
anumite proprieti, artate n tabelul 7.3. Schemele de baz de cuplare a
amplificatoarelor operaionale cu reacie sunt prezentate n figura 7.10.

314

Tabelul 7.3 - Legtura dintre tensiunea u, curentul i i rezistena R la


amplificatoarele operaionale inversoare i neinversoare
PARAMETRU

Curent intrare
Curent prin R1
Curent reacie
Tensiune de intrare
- intrare
negativ
- intrare pozitiv
Tensiune pe rezistena
reaciei negative
Tensiune de ieire
Amplificare
tensiune

AMPLIFICATOR
INVERSOR
(fig.
10.b)
i = i
i1 = u/R1
ig = i1

AMPLIFICATOR
NEINVERSOR
(fig.10.c)
i 0
i1 = u 1/R1
ig = i1

u1 0
0

u1 u
u2 u

Rgig u

Rgig uRg/R1

u = u1Rg/R1

u
=
u1+ug
u(1+Rg/R1)
A = 1 + Rg/R1

n A = Rg/R1

RIN
Rg
Rri
R1

R2

Rg
+

i1

R1

u=0

Rm

u
a)

RIP

b)

ug

i
+

-u

Rg

R1

ig

R2
+

c)

Fig. 7. 10 - Variantele de cuplare a amplificatoarelor operaionale cu reacie


negativ i pozitiv (a), cu tensiune inversoare (b) i neinversoare (c)

Amplificator cu un terminal de intrare pus la mas


La schema de msur n punte cu punctul median pus la mas i cu
tensiune de alimentare simetric fa de mas (figura 7.11), cnd R1 = R2 = R
i R3 = R4, la o variaie a rezistenei R1 egal cu R, apare o tensiune pe
diagonala punii, egal cu 0,1 100 mV pentru 1 V tensiune de alimentare.
Tensiunea de ieire a amplificatorului de curent continuu cnd rezistena de
315

reacie este Rinv = nR = 100R este de aproximativ 1V i se calculeaz cu


R U 0
formula: U = n
R 4

Rinv
R3
R1=R+R

u
R2

R4

U
+

U = 10

U = 1V

10 V
I = 20 mA

Fig. 7. 11 - Punte cu amplificator de tensiune continu cu punerea la mas ntr-un


punct al circuitului de intrare: u, i - tensiunea i curentul de ieire

Amplificator cu intrare diferenial


n schema din figura 7.12, tensiunea de ieire a amplificatorului
diferenial n condiia R5 = R6 i R7 = Rinv, este:
R
U = U inv
R5

Rinv

R3
Rcal

R1

R5

u
+
R2

R4

R6
R7

Fig. 7. 12 - Punte cu amplificator de tensiune continu cu intrare diferenial:


U, U - tensiuni de intrare, respectiv de ieire ale amplificatorului

316

Cnd R1 = R2 = R3 = R4 i R5 = R6 = 0, i cnd R7 = Rinv = nR, la o


variaie a lui R1 egal cu R, apare o tensiune de ieire egal cu:
n
R
U 2
U
1 R 0
1
2n
Puntea se poate alimenta de la o surs de tensiune continu. Dup
echilibrare, nainte de nceperea msurtorilor, se cupleaz n paralel pe
rezistena R3 a punii rezistena de calibrare pentru obinerea tensiunii
cunoscute pentru calibrarea amplificatorului. n condiia R1 = R2 = R3 = R4 =
R
U0
R, tensiunea de calibrare este: Ucal =
4 R cal 2 R
Datorit complexitii schemelor n punte, valoarea rezistenei de
calibrare se determin n practic de obicei empiric, mpreun cu calibrarea
traductorului.
n tabelul 7.4 se pot studia comparativ caracteristicile amplificatoarelor
de msur de frecven purttoare i de tensiune continu.
Tabel 7.4 - Proprietile amplificatorului de msur cu frecvena purttoare
de 5 kHz i a amplificatorului de tensiune continu.
PARAMETRU
AMPIFICATOR DE MSUR
cu frecven purttoare de
tensiune
continu
Traductor
rezistiv,
inductiv, rezistiv
capacitiv
Limite frecvene msurate 0 1,3
0 100
(kHz)
0,1 100
Domeniu de msur (m/m) 102 106
6
Rezoluie relativ
10
106
Neliniaritate (%)
0,02
0,05
0,01
0,1
Deriva nulului (V/K)
0,05
0,5
Zgomot (V/V)
Atenuare perturb. sinfazice
Da
Nu
Influen capacitate cablu
Da*
Nu
la msurtori statice
Da**
Da
la msurtori dinamice
* se poate compensa ; ** slab
Din caracteristica de frecven (figura 7.13.a) rezult c la
amplificatorul cu frecven purttoare, perturbrile sinfazice se elimin, pentru
c frecvenele acestora (de exemplu a tensiunii termoelectromotoare UT, a
317

tensiunii reelei de alimentare UR) se deosebesc mult i se gsesc n afara


domeniului frecvenelor msurate. La amplificatorul de tensiune continu se
amplific i perturbaiile. Tensiunea termoelectromotoare UT, care apare la
contactul dintre dou metale diferite, de exemplu la cablurile de msur, poate
atinge 40 V/K.
Pentru c utilizarea fiecruia dintre amplificatoarele artate prezint
avantaje i dezavantaje, se alege metoda optim de msur pentru problemele
concrete, avnd n vedere principiul de funcionare a traductorului, domeniul
frecvenelor de msurat i precizia necesar.

Fig. 7. 13 - Caracteristicile de frecven ale amplificatorului cu frecven


purttoare (a) i amplificatorului de tensiune continu (b): fp frecvena
purttoare; fgr limit; fmax domeniul frecvenelor msurate; uT i ur tensiunea
perturbaiilor datorit schimbrii temperaturii i datorit reelei

Amplificator de msur a sarcinii electrice


La traductoarele piezoelectrice se folosete amplificatorul de sarcin
electric (amplificator integrator), din figura 7.14.
Dup determinarea curenilor i i ic n funcie de tensiunea de ieire U,
calculul tensiunii de ieire, care se produce atunci cnd apare sarcina Q pe
feele cristalului piezoelectric, se face pe baza formulei:
Q
U =
1
C

A C1 1 A

unde C este capacitatea de intrare, C1 capacitatea de reacie, A


amplificarea amplificatorului; capacitatea de intrare este: C = Ct + CK, Ct fiind
capacitatea traductorului i CK capacitatea cablului.

318

Pentru amplificri A 1000, se poate considera n calcule c C << C1


i 1/A << 1. Atunci, tensiunea de ieire se poate considera c nu depinde de
Q
Cint i CK: U
.
C1

C1

uC

iC

i
C

U
u

Fig. 7. 14 - Schema de principiu a amplificatorului de sarcin electric: C capacitate de intrare; C1 capacitatea de trecere; Q sarcina electric de pe
feele opuse ale cristalului piezoelectric

Cu ajutorul valorii lui C1 se poate modifica domeniul de msur. Prin


cuplarea n paralel pe C1 a unui rezistor R se poate micora constanta de timp
i, n acest fel, se poate mri stabilitatea de funcionare a amplificatorului. Cnd
constanta de timp a circuitului de msur este mare, este necesar calibrarea
static sau cuasistatic, iar atunci cnd aceast constant de timp este mic,
trebuie fcut calibrarea dinamic. Dac amplificarea este constant, se poate
regla sensibilitatea cu ajutorul circuitului de reacie, care determin i valoarea
domeniilor de msur.
Amplificatoare de tensiune alternativ
Amplificatoarele de msur de tensiune alternativ cu condensator C i
transformator de legtur ntre etaje, T (figura 7.15), se caracterizeaz prin
valoarea mic a deviaiei nulului, pentru c etajele sunt decuplate ntre ele n
componenta continu.

C
V

T
V

Fig. 7. 15 - Schema bloc a amplificatorului de msur de tensiune alternativ

319

De obicei, amplificatoarele au domeniul de frecven 10 Hz 100 kHz.


Ele se folosesc n circuitele de msur cu traductoare active cu bobine
telescopice elemente sensibile la msurarea oscilaiilor sau n circuitele cu
traductoare de impuls, care funcioneaz pe baza principiului electrodinamic
sau fotoelectric pentru msurarea frecvenei de rotire.
Cabluri de msur
Influena cablurilor.
Influen mare poate avea cablul care leag traductorul cu schema de
adaptare, atunci cnd acesta este lung, datorit rezistenei sale active i
capacitii, precum i a variaiei acestora pe timpul msurtorilor sau calibrrii.
Influena const n micorarea valorii mrimii msurate. Aceasta poate fi luat
n consideraie teoretic sau empiric dac se determin valoarea mrimii
msurate n schema cu cablu i fr acesta.
Conductoarele de legtur ale traductoarelor
Elementele analogice pasive se leag de obicei cu schema de adaptare
prin conductoare multifilare, iar cele active prin conductoare bifilare, deseori
ecranate. n funcie de principiul de funcionare al traductorului i de metoda
de msurare, lungimea cablului poate atinge cteva sute de metri i, n unele
cazuri, chiar 10 km. Cnd cablurile sunt scurte, schemele de msur cu
traductoare pasive se alimenteaz de regul cu tensiune continu U0 = 1 10
V, iar cnd cablurile au rezisten mare sau variabil, cu curent constant. La
traductoarele active piezoelectrice se folosesc cabluri de msur cu izolaie
bun i cu lungimea de maximum civa metri. n instalaiile la care forma
semnalului este numeric sau cnd acesta se transmite fr cabluri, este
posibil transmiterea la orice distan.

320

RL
RL
R1

R R

R3

RM

R1 R1

RL
V

U0

RM R2 R2

RL
US R4

R2

R3

a)

RL

U0

US R4

b)
RM

RL1

R3

RL3
V
RL2

c)

U0
R4

R2

Fig. 7. 16 - Puni cu dou conductoare de legtur (a) i cu trei conductoare de


legtur: cu rezistena variabil a dou ramuri vecine (b) i cu rezistena variabil
a unei ramuri (c): RM - rezistena traductorului; RL rezistena conductoarelor de
legtur

Schema cu dou conductoare


n puntea care este prezentat n figura 7.16.a, rezistena conductorului,
RL se cupleaz n serie cu rezistena de msurat RM i tensiunea relativ de
ieire a punii se micoreaz conform relaiei:
U S 1 R M
RM

U 0 4 R M R M 2R L
Variaia rezistenei conductoarelor datorit influenei temperaturii se
percepe ca modificare a tensiunii semnalului msurat.
Schema cu trei conductoare
n schemele n punte prezentate n figura 7.16.b, c, cnd rezistena
conductoarelor de legtur este aceeai, RL, eroarea de msur datorat
influenei temperaturii se compenseaz.
Scheme cu patru i cinci conductoare
Punile la care toate rezistenele ramurilor sunt variabile, trebuie s aib
cel puin patru conductoare de legtur n scopul eliminrii influenei
rezistenei acestora i ele se leag cu amplificatorul de msur prin cabluri
multifilare cu de la 5 pn la 7 conductoare. n schema cu cinci conductoare
artat n figura 7.17, puntea se alimenteaz prin dou conductoare de la sursa
321

de tensiune U0. Tensiunea diagonalei, US se aplic prin dou conductoare RL


la intrarea amplificatorului diferenial AD. O asemenea cuplare a punilor este
de dou ori simetric fa de mas.
RF
MB

RA
RL

U0

RK

RL
RL
uS

RL

RB

AD

RD
RS

Fig. 7. 17 - Schema de structur a instalaiei cu punte, amplificator de msur cu


frecven purttoare i cinci conductoare de legtur: RL, RF rezistena
conductoarelor; RD, RK, RB, RS, RA rezistoare cu rezisten reglabil pentru
echilibrarea i calibrarea punii, stabilirea domeniului de msur, a sensibilitii
amplificatorului i a tensotraductorului

Al cincilea conductor, RF, se leag la oscilograful G pentru adaptarea


tensiunii de alimentare a punii U0. Variaia rezistenelor punii i a
conductoarelor de legtur nu se percepe la aceast schem ca semnal de
msur. Dac conductoarele de alimentare i de msur sunt separate i sunt n
ecran pus la mas, atunci asimetria capacitilor cablurilor nu influeneaz
practic asupra echilibrrii punii.
Scheme cu ase i apte conductoare
Dac pentru meninerea constant a valorii tensiunii de alimentare a
punii U0 se folosesc dou conductoare separate, iar pentru echilibrarea punii
se folosete nc un conductor, atunci se obine schema n punte cu ase
conductoare, la care se modific rezistenele a dou ramuri alturate, iar n
cazul modificrii tuturor rezistenelor din ramuri, schema cu apte
conductoare. Aceasta se refer la alimentarea att cu tensiune constant, ct i
cu curent constant.

322

7.3. Aparate de ieire


Pentru msurarea mrimilor statice i dinamice se folosesc aparate
analogice sau numerice indicatoare sau cu nregistrare.
Aparate cu nregistrare
Pentru msurarea mrimilor cu variaie rapid sunt necesare
inscriptoare, oscilografe, osciloscoape sau aparate de nregistrare cu nscriere
pe band magnetic, care servesc la obinerea, memorarea i prelucrarea
rezultatelor msurtorilor. Se folosesc principii mecanice, optice, magnetice i
electronice de nregistrare a proceselor analogice i numerice. Aparatele de
nregistrare numerice (digitale) pot fi afioarele (display) alfanumerice
(mecanice sau matriciale cu 5 7 puncte) cu memorie pe band magnetic,
caset, plac, tambur, n strat subire sau pe miezuri magnetice, magnetofoane
numerice, etc. (tabel 7.5).
La alegerea aparatului de nregistrare trebuie s se ia n consideraie nu
numai domeniul de frecven i eroarea, ci i alte caracteristici. Astfel trebuie
avute n vedere:
- metoda de nregistrare (cap de tiprire, peni cu cerneal, magnetofon,
etc.;
- viteza de baleiere (desfurare), timpul maxim de nregistrare, numrul
canalelor de nregistrare;
- costul materialelor consumabile (hrtie, band, pelicul), precum i preul
aparatului;
- posibilitatea de prelucrare n continuare a semnalului numeric de ieire.
Prelucrarea n continuare a rezultatelor este mai comod n form
numeric. Pentru aparatele de nregistrare analogice cu frecvene de msur fM
date i cu lungimea de und pe curba nregistrat, este necesar viteza de
desfurare v = fM. De obicei, se impune ca 1mm.
Tabel 7.5
TIPUL APARATULUI
Compensator tiprire n puncte
Galvanometru tiprire n puncte
Compensator inscriptor
Galvanometru inscriptor
Inscriptor rapid
Oscilograf cu fascicul lichid
Oscilograf cu inscriptor grafic
Osciloscop electronic
Oscilograf stroboscopic

DOMENIU DE
FRECVEN
(Hz)
0 0,01
0 0,01
01
0 20
0 150
0 103
0 15103
0 107
~ 109

EROARE
(%)
0,25
1
0,25
1
25
25
25
15
15
323

Oscilograf cu memorie
Aparate cu nregistrare direct a
semnalului
analogic
pe
band
magnetic, cu modulaie de frecven i
impuls cod

15
1

~ 106
~ 106

Pentru aparatele de nregistrare care au sistemul sensibil de greutate


mare (de exemplu, oscilograful cu inscriptor grafic), este important noiunea
de timp de relaxare TE, care se determin ca fiind timpul necesar pentru
obinerea indicaiilor cu variaii limitate fa de valoarea stabilizat cnd
semnalul msurat se modific n salt. ntre timpul de relaxare i frecvena
1
limit superioar, fmax, exist relaia: TE =
2f max
Pentru oscilografele cu fascicul electronic, caracteristicile de timp sunt
determinate de banda de trecere (domeniul de frecven n care aparatul
funcioneaz cu precizia dat), fmax, sau de timpul de cretere TA (intervalul de
timp dintre valorile corespunznd la 10%, respectiv 90% din valoarea maxim
a funciei nregistrate n trepte). Banda de trecere i timpul de cretere sunt
legate ntre ele de relaia: fmaxTA = 0,35
De exemplu, n cazul impulsului dreptunghiular ideal, timpul de
cretere la osciloscopul electronic cu banda de trecere de 10 MHz, este de 35
ns. La oscilografierea proceselor rapide tranzitorii cu timpul de cretere TA este
0,35
util s se foloseasc un osciloscop cu frecvena limit: fmax = 5
TA
Aparatele analogice cu nregistrarea semnalului pe band magnetic au
de obicei de la dou pn la opt benzi (canale) pentru limea benzii de 6,35
sau 12,7 mm. La limea benzii de 25,4 mm, numrul de piste este de 14 pn
la 16. Viteza de deplasare a benzii se poate modifica n apte trepte binare (cu
dublarea valorii prin trecerea la treapta urmtoare). Raportul maxim al
vitezelor este 1: 64. Valoarea vitezelor se alege fie n domeniul de la v1 = 2,5
cm/s pn la v7 = 150 cm/s, fie n domeniul de la v2 = 5 cm/s pn la v8 = 300
cm /s.
Tabel 7.6. Caracteristicile magnetofoanelor de nregistrare
METOD DE
NREGISTRARE
nregistrare
nemijlocit

TIP PELICUL
Band medie
Band larg tip I
Band larg tip II

324

BANDA DE
FRECVEN, fM
(Hz)
f0 = 300 600103
f1 = 200 5103

FRECVENA
MEDIE, fm
(kHz)

NIVEL
ZGOMOT
(dB)
37
34

f0 = 400 1,6106
f2 = 400 25103
f0 = 400 2106
f2 = 400 25103

30
24
22
19

Modulaie de
frecven

Modulaie
impuls cod

Band ngust
neuniformitate 40 % 5 dB
Band medie
neuniformitate 40 %
Band larg tip I
neuniformitate 40 %
Band larg tip II
neuniformitate 30 % 6 dB

f0 = 0 20103
f1 = 0 156
f0 = 0 40103
f1 = 0 312
f0 = 0 80103
f1 = 0 625
f0 = 0 500103
f2 =0 7,8103
f0 = 0 2103
f1 = 0 62,5

108
0,84
216
1,6675
432
3,375
900
14,06

55
45
54
45
52
40
35
29
60
60

Viteza diferit a benzii permite extinderea timpului n limitele 1:64 sau


comprimarea acestuia n raport de 64:1 i n acest fel s se realizeze
transformarea frecvenei n procesul de prelucrare a rezultatelor msurtorilor.
Tensiunea de intrare la aceste aparate este de obicei de 1 10 V, iar tensiunea
de ieire este de circa 1V. n tabelul 7.6 se prezint benzile de frecven fM,
frecvenele medii fm i raportul semnal-zgomot la vitezele de deplasare a benzii
v8, v6, v2 i v1 la aparatele analogice de nregistrare cu nscriere direct, cu
modulaie de frecven la frecvena medie fm i cu modulaie de impuls cod.
La nregistrarea impuls cod, frecvena conversiilor analogic-numerice pe
secund i pe canal se alege de circa 5 ori mai mare dect banda de trecere a
canalului. La nregistrarea de magnetofon se utilizeaz urmtoarele metode:
NRZL, NRZM, NRZS, RZ, BIL, BIM, BIS, NBL, NB
M, NBS. La magnetofoanele numerice, densitatea maxim a impulsurilor
pe o singur pist este de circa 400 bit/cm.

7.4. Msurarea amplitudinii


Limitatoare i comutatoare
Acestea se folosesc la determinarea nivelului mrimii msurate i la
controlul acestuia n scopul realizrii cuplrii (de exemplu, n sistemele de
supraveghere i alarm), cnd mrimea msurat crete sau scade n afara
valorilor limit prestabilite. Pentru o asemenea instalaie (figura 7.18.a)
parametrii de ieire d i e sunt:
d = 1 cnd a + b + ( c) > 0;
d = 0 cnd a + b + ( c) + H < 0;
e=d
unde a, b i c sunt mrimi de intrare, iar H mrimea care trebuie meninut.
La aparatele de msur de contact pentru o anumit poziie a
indicatorului se cupleaz sau decupleaz un releu. Se folosete n acest scop

ux
COMP ux - uw
COMP
y2
uy
/#
Fig. 7.a 18 - Limitator
i comutator pentru mrime limit; a simbolizarea
d
b
limitatorului;
b comutator deumrime
limit, cu instalaiey1de comparare, IC
w
e
c
i comutator,
C; ux, uw mrimi de intrare de msurat; y1 i y2 mrimi
C
IC
comutabile
a)
b)

325

un sistem fr contacte inductiv sau optoelectronic de nregistrare a poziiei


sgeii indicatoare. La instalaia cu contact de limit (figura 7.18.b), n blocul
IC se compar permanent tensiunea semnalului msurat (a mrimii
nregistrate) ux, cu tensiunea secundar arbitrar uw, semnalul de ieire ux uw
acioneaz asupra comutatorului C i tensiunea uy poate cpta numai dou
valori distincte, necesare pentru meninerea valorii determinate a parametrului
y n domeniul dorit.
Schema cea mai simpl de msurare a tensiunii maxime const dintrun redresor monoalternan (figura 7.19.a). Dup ce tensiunea atinge valoarea
maxim UM, condensatorul C se ncarc prin dioda D, i tensiunea pe acesta,
U rmne apropiat de valoarea maxim (figura 7.19.b). Dac rezistena de
sarcin R , tensiunea de ieire este constant i egal cu valoarea maxim.
Principiul de funcionare a schemelor de comparare n instalaiile
stroboscopice este acelai ca i pentru schemele din figura 7.19. n
semiperioada negativ a tensiunii, se poate descrca rapid condensatorul
pentru scurt durat prin cuplarea pe acesta a unei rezistene R i, n acest fel,
se pregtete schema pentru funcionarea n semiperioada urmtoare.

Fig. 7. 19 - Msurarea valorii maxime a impulsului cu ajutorul redresorului


monoalternan; a schema; b variaia n timp a tensiunilor de intrare, u i de
ieire, u

Determinarea valorii medii a unei funcii variabile n timp


Valoarea medie Um a unei funcii care variaz stohastic (neregulat) n
timp, u(t), ntr-un interval de timp relativ mare de integrare T (figura 7.20.a)
T
1
este: Um = u t dt .
T0
Dac pentru funcia periodic timpul de integrare T1 nu este multiplu
de TM pentru cea mai mic frecven a semnalului msurat (figura 7.20.b),
326

atunci la determinarea valorii medii apar erori. Aceste erori devin neglijabile
numai pentru timpi mari de integrare T > TM.

Fig. 7. 20 - Funcie variabil n timp; a funcie stohastic cu valoarea medie


Um i valoarea efectiv Uef; b funcie sinusoidal, cu perioada TM i durata T;
T1 este perioada de msurare

Determinarea valorii medii se poate face cu ajutorul dispozitivelor


integratoare. Se poate de asemenea msura valoarea medie cu ajutorul
aparatelor de msur cu echipaj mobil avnd frecvena proprie de rezonan
mult mai mic dect cea mai mic frecven din semnalul msurat. Indicaiile
aparatului corespund n acest caz valorii medii a mrimii msurate.

7.5. Elementele circuitelor de msur i perturbaiile


7.5.1. Adaptarea elementelor circuitelor de msur
La cuplarea elementelor n circuitul de msur trebuie ndeplinite
anumite condiii de adaptare. Astfel, sensibilitatea traductorului St, a schemei
de adaptare Sa i a aparatului de ieire Sai determin sensibilitatea total a
circuitului de msur.
S = StSaSai
Domeniile parametrilor msurai la elementele circuitului de msur se
aleg n concordan cu problema practic de msurare. Forma semnalului
(tensiune continu sau alternativ, analogic sau numeric) se determin avnd
n vedere posibilitatea de prelucrare a acestuia. Rezistenele de intrare i de
ieire n circuitele de tensiune trebuie s fie de minimum 1 k, iar n circuitele
de curent de maxim 1 k, iar pentru elementele care impun adaptare de
exemplu n putere, rezistena de ieire trebuie s fie egal cu rezistena de
intrare a elementului cu care se cupleaz n continuare. Este necesar de
asemenea s se ia n considerare potenialul fa de pmnt al traductoarelor de
msur i numrul conductoarelor de legtur, regimul de mpmntare la
circuitul de msur i la aparatele de ieire precum i posibilitatea apariiei
perturbaiilor.
327

Tipuri de traductoare cu mpmntare


amplificatoarelor de msur i a aparatelor de ieire

izolare

Sursa mrimii de msurat poate fi reprezentat sub forma a ase


scheme: trei asimetrice (figura 7.21) i trei simetrice (figura 7.22).
La schema asimetric din figura 7.21.b este posibil punerea la mas a
terminalelor A si B, iar la schema din figura 7.21.c, punerea la mas a
terminalelor nu se admite.

Fig. 7. 21 - Surse UM asimetrice fa de mas: a cu un terminal pus la mas; b


izolat fa de mas; c cu punere la mas prin sursa UC

Fig. 7. 22 - Surse UM simetrice fa de mas: a cu punctul median pus la mas;


b izolate fa de mas; c cu punere la mas prin sursa UC

La schema simetric din figura 7.22.a punerea la mas a terminalelor A


i B nu este permis, la schema din figura 7.22.b este de preferat punerea la
mas a terminalului C, iar la schema din figura 7.22.c nu este posibil punerea
la mas a terminalelor A, B sau C. n figura 7.23 se prezint tipuri diferite de
amplificatoare de msur care se utilizeaz frecvent n practic: asimetrice,
simetrice, puse la mas, izolate i ecranate.

328

Fig. 7. 23 - Amplificatoare de msur: a asimetric pus la mas; b asimetric


izolat; c simetric, cu punctul median pus la mas; d simetric izolat neecranat; e
simetric izolat ecranat; f cu transformator separator

Aparatele de ieire se mpart n dou categorii; aparate cu terminal


izolat fa de mas (figura 7.24.a) i aparate cu terminal pus la mas (figura
7.24.b).

7.5.2. Perturbaiile n circuitele de msur


Datorit influenelor interne si externe asupra semnalului util de curent
sau de tensiune, pot aprea perturbaii de diferite tipuri i de naturi diferite.
Perturbaii electrice interne de durat mare
n circuite de curent unde se folosesc conductori din metale diferite
(figura 7.25) apar tensiuni termoelectrice, dac contactele acestora sunt la
Fig. 7. 24 - Aparate de ieire cu terminal izolat fa de mas (a) i cu
terminal pus la mas (b)

temperaturi diferite. n acest caz, peste tensiunea msurat se adaug valoarea


tensiunii termoelectromotoare, de obicei de ordinul a 1 100 V.
Rezistena de scurgere (pierderi) a izolaiei, iar n curent alternativ i
reactana capacitii dintre conductoare i cea fa de pmnt (figura 7.25.b)
pot s micoreze tensiunea msurat, pn la valoarea:
329

UM =

URS
R int R sc

Fig. 7. 25 - Influena perturbaiilor interne de durat mare asupra msurtorilor:


a influena tensiunii termoelectromotoare; b influena rezistenei de
scurgere; c apariia unei surse de tensiune electromotoare

Conductorii neizolai n electrolit cu rezisten mic pot forma surse de


tensiune electromotoare (elemente - figura 7.25.c). n acest caz pot aprea
tensiuni de zgomot de ordinul a ctorva zecimi de volt.
Perturbaii interne de scurt durat
Acestea apar datorit proceselor tranzitorii de scurt durat. Astfel, n
scheme pot aprea influene ale unui semnal de msurat asupra altui semnal
sau asupra traductorului su. Aceast influenare poate fi redus substanial
prin modificarea schemei. La conductori apar impulsuri de curent, care, la
rndul lor, pot produce perturbaii care s pun n funciune mecanismele de
execuie. Amplitudinea impulsurilor se poate micora prin utilizarea
condensatoarelor sau a diodelor. Datorit vibraiilor mecanice i a modificrii
rezistenelor de contact, a capacitilor i inductanelor cablurilor, apar de
asemenea perturbaii (efect de microfonie). Dac asupra dielectricului
acioneaz fore mecanice, la ndoirea cablului pot aprea perturbaii
piezoelectrice. Apar astfel acumulri de sarcini electrice i, n consecin
tensiuni care pot atinge valori de zecimi de volt. La frecarea reperelor izolate
din materiale diferite, a izolatoarelor i conductoarelor, precum i atunci cnd
exist fluxuri de aer care ating elementele schemelor de msur, apar
perturbaii electrostatice.
Tensiunea de zgomot n rezistene se determin folosind expresia
cunoscut: U = 4kTR f . Pentru R= 1M i T = 300 K, n limitele benzii de
frecven f = 100 Hz, se obine tensiunea de zgomot de aproximativ 1,3 V.
Perturbaii electrice externe
Perturbaiile externe apar n circuitul de msur sub forma tensiunilor
continue datorit existenei legturilor electrice nemijlocite sau sub forma
330

tensiunilor alternative sau impulsurilor prin legturi inductive sau capacitive


de la circuite electrice nvecinate.
Perturbaiile inductive pot fi eliminate prin rsucirea sau ecranarea
conductoarelor (figura 7.26). Perturbaiile capacitive din schema din figura
7.26.a sunt date de cderea de tensiune pe rezistena intern a sursei semnalului
de msurat, Ri, la trecerea curentului prin capacitatea C de la sursa de tensiune
UN cu frecvena de .
Curenii perturbaiilor i1 i i2 n schema din figura 7.26.b, cnd C1 = C2
i n schema din figura 7.25.c, cnd C1 = C2 i C3 = C4 se compenseaz reciproc.
n schema din figura 7.26.d, curentul de perturbaie ip are influen slab,
pentru c el se scurge prin ecranul E la pmnt.

Fig. 7. 26 - Influena curenilor capacitivi: a apariia tensiunii perturbatoare,


up, datorit curentului ip; b, c curenii i1 i i2 se compenseaz reciproc; d
influena ecranului E pus la pmnt

Pentru exemplificare, s calculm tensiunea perturbaiei capacitive Us


n conductorul de msur neecranat, aezat paralel cu conductoarele de reea
UN (figura 7.26.a). Se consider c lungimea conductoarelor este = 10 m, raza
lor r = 0,5 mm, distana dintre conductorul de reea i conductorul de msur
este d = 10 mm, tensiunea reelei U = 220 V i frecvena acestei tensiuni, f =
50 Hz. S analizm cazurile cnd Ri >>100 i Ri = 10 , unde Ri este
rezistena intern a sursei de semnal.
Capacitatea liniei bifilare, formate de conductoarele de msur i de
semnal se calculeaz astfel:
331

0 0,885421011 10
=
= 92,85 pF.
d
10
ln
ln
r
0,5
La frecvena f = 50 Hz i pulsaia = 314 s1, reactana capacitii C
este Xc = 1/C = 34,28 M.
Cnd rezistena intern a sursei semnalului de msurat este mic,
tensiunea perturbaiei este: Ug = UNRiC = 22010025092,85 = 641 V.
Cnd rezistena intern a sursei este mare i cu condiia ca Ri = XC,
U
tensiunea perturbaiei este: Ug = N = 156 V, adic nepermis de mare.
2
Pentru reducerea perturbaiilor capacitive se pot utiliza urmtoarele
procedee:
1. Mrirea distanei dintre conductoare (figura 7.26.b). n acest fel,
capacitile simetrice C1 i C2 se micoreaz. Cu ct este mai mic
capacitatea de legtur i cu ct sunt mai simetrice capacitile C1 i C2, cu
att mai slab este perturbarea. Tensiunea perturbaiei se micoreaz
aproape invers proporional cu ptratul distanei dintre conductoare.
2. Conductoarele de msur i de reea se torsioneaz (se mpletesc), ceea ce
face valorile capacitilor de legtur s fie egale C1 = C2 i C3 = C4 (figura
7.26.c) i curenii i1 i i2 se compenseaz. (i1 = i2)
3. Se ecraneaz circuitul de msur i ecranul se pune la pmnt (figura
7.26.d).
Tensiunile dintre punctele de mpmntare
Ca rezultat al trecerii curenilor prin rezistena de mpmntare, RE,
apare o tensiune ntre punctele de mpmntare. De exemplu, dac distana
dintre dou puncte de mpmntare este de 100 m, componenta alternativ a
tensiunii de perturbare poate fi de 0,1 10V, iar componenta continu de 10
mV 10 V. n schema pus la pmnt din figura 7.27.a, perturbaiile se produc
pe rezistena conductorului RL2.
Msurrile de reducere a influenei tensiunii prin mpmntare constau
nainte de toate n mpmntarea ntregii scheme numai ntr-un singur punct,
fr formarea unor bucle ale conductoarelor de mpmntare, precum i n
ecranare (figura 7.27.b). n schema din figura 7.27.a, tensiunea ntre punctele
de mpmntare, uE, produce n bucla din pmnt curentul ip i pe rezistena
RL2 a conductorului de msur apare tensiunea de perturbare up.

C=

332

Fig. 7. 27 - Scheme care explic apariia perturbaiilor n circuitele de msur


pentru cazul mpmntrii n dou puncte: a - apariia perturbaiilor up datorit
rezistenei cablului de legtur, RL2; b atenuarea puternic a tensiunii
perturbaiei cnd ecranul E se leag la pmnt, la care nu exist potenial
perturbator

n schema din figura 7.27.b, curentul i1, produs de tensiunea uE, trece
prin ecranul fr s provoace perturbaii, deoarece curentul i2, care trece
prin rezistena conductorului RL2 i capacitatea C2 a schemei n raport cu
carcasa K sunt foarte mici.
Perturbaii antifaz
Tensiunea msurat i perturbaia se pot nsuma n diferite moduri.
Perturbaiile antifaz uD se aplic simetric la intrarea amplificatorului. Sursa
de perturbaie se leag n serie cu traductorul (figura 7.28.a), iar perturbaia up
se suprapune peste tensiunea msurat, uM (figura 7.28.b). Perturbaiile
antifaz apar spre exemplu la alimentarea schemei de la un redresor cu
tensiunea de ieire pulsant, sau datorit curentului prin capacitatea de legtur
a aparatului cu reeaua, C (figura 7.28.c).

333

Fig. 7. 28 - Apariia perturbaiilor up, care se nsumeaz cu tensiunea msurat, uM:


a - schema echivalent cu legarea n serie a surselor tensiunii de msurat uM i
tensiunii perturbatoare, up; b modificarea tensiunii perturbaiei, up i a tensiunii
rezultante, uAE la terminalele A-E ale amplificatorului de msur; c- schem care
arat apariia tensiunii perturbatoare datorit capacitii de legtur, C n puntea de
msur

Perturbaiile antifaz se elimin prin ecranare prin folosirea filtrelor sau


schemelor n punte dublu simetrice fa de pmnt i cu amplificatoare (cu
alimentarea simetric a punii fa de pmnt i cu intrri difereniale ale
amplificatoarelor), precum i prin alte msuri speciale cum ar fi medierea
rezultatelor a dou msurtori realizate la interval egal cu perioada tensiunii
perturbaiei. Factorul de atenuare a perturbaiilor antifaz se determin ca
raport dintre tensiunea perturbaiei fr instalaia de atenuare, Ub i tensiunea
U
perturbaiei cu instalaia de atenuare, Uc: K = b 1. Acest coeficient poate
Uc
8
ajunge pn la valori de ordinul 10 , adic 160 dB.
Perturbaii sinfazice
Perturbaiile sinfazice apar ntre terminalele traductorului i pmnt.
Ele pot fi asimetrice (figura 7.29.a) sau simetrice (figura 7.29.b). Perturbaiile
334

simetrice fa de pmnt se produc datorit cmpurilor electrice i magnetice


n care se pot gsi traductoarele i conductoarele de legtur, n schemele de
alimentare a traductoarelor, datorit influenei capacitii transformatorului de
alimentare, precum i ca rezultat al trecerii curenilor prin conductoarele de
mpmntare i prin conductoarele schemei de msur ca urmare a
imperfeciunilor izolaiei, sau a proceselor tranzitorii.
n cazul schemelor ideal simetrice fa de pmnt ale traductoarelor i
intrrilor amplificatoarelor perturbaiilor sinfazice, teoretic nu trebuie s apar
erori de msur, pentru c pe ambele intrri A i B ale amplificatorului
diferenial tensiunea de perturbaie are aceeai valoare i faz (figura 7.29.b).
n practic ns exist ntotdeauna o asimetrie a circuitului de msur, a
conductoarelor de legtur i a intrrilor amplificatoarelor provocat de
inegalitatea rezistenelor acestora i a capacitilor i, din aceast cauz, exist
o tensiune oarecare rezultant a perturbaiei, provocat spre exemplu de
tensiunea din bucla de
mpmntare (figura 7.27.a). Torsionarea
conductoarelor de msur (figura 7.26.c), precum i ecranarea cablului de
msur i a intrrilor amplificatoarelor de msur (figura 7.27.b) conduc la
atenuarea puternic a perturbaiilor sinfazice. Factorul de atenuare a
perturbaiilor sinfazice se determin ca raport dintre factorul de amplificare a
tensiunii sinfazice, uD (tensiune msurat cnd una dintre intrrile
amplificatorului este pus la mas) i factorul de amplificare a semnalelor care
U D

A D U D
1. n cazul semnalelor sinfazice egale i

U C
AC
U C
U
tensiunilor cu faze identice, K = C .
U D
coincid n faz: K =

335

Deseori, factorul de atenuare a perturbaiilor sinfazice se determin


pentru o rezisten asimetric a sursei de semnal n circuitul de msur egal
cu 1 k. Acesta se micoreaz odat cu creterea frecvenei tensiunii de lucru.
n practic, amplificatoarele bune permit msurarea diferenei de potenial de
civa milivoli la nivelul potenialelor sinfazice de cteva sute de voli;
factorul de atenuare a semnalelor sinfazice este de 102 108, adic de 40 160
dB.
Fig. 7. 29 - Tensiunile sinfazice perturbatoare: a schema sursei asimetrice a
tensiunii de msurat uM, cuplat n serie cu sursa perturbaiilor, up; b diagramele
de timp ale tensiunilor n schema din figura a; c schema sursei simetrice a
tensiunii de msurat, uM i a sursei perturbatoare, up; d diagramele de timp ale
tensiunilor din schema din figura c

7.6. Scheme rezistive de msur


7.6.1. Scheme de msur cu divizoare de tensiune
n figura 7.30 se arat schemele echivalente n care se folosesc surse de
tensiune continu U (figura 7.30.a) sau de curent continuu I (figura 7.30.b).

Fig. 7. 30 - Scheme echivalente cu surs de tensiune (a) i de curent (b); Ri


rezistena intern; R rezistena de sarcin; UR cderea de tensiune pe sarcin

Divizoare de tensiune
n schemele cu divizoare de tensiune (figura 7.31) rezistena R0 se
cupleaz la sursa de alimentare. Semnalul de msur se culege de pe ramura
inferioar sau de la contactul mobil al divizorului.
Cnd ramurile divizorului sunt legate n serie semnalul care se culege
de la acesta este proporional cu rezistena R23. Tensiunea obinut de la divizor
R 2R 3
R 23
este: U23 = U0
, unde R23 =
.
R 1 R 23
R2 R3

336

R 2R3
U
R2 R3
Pentru divizorul pus n sarcin, 23
R 2R 3
U0
R1
R2 R3

Fig. 7. 31 - Divizoare de tensiune cu rezistenele R1 i R2 (a), cu


poteniometru (b) i caracteristica acestora: 1 caracteristica divizorului
cnd R3 = R0; 2 caracteristica ideal.

La divizorul fr sarcin, R0 = R1 + R2 i R3 = , din care cauz


U2 R 2

, iar relaia U2 = f(R2) este liniar.


U0 R 0
Caracteristica divizorului n sarcin
La divizorul liniar cu rezistena R0 i tensiunea de alimentare U0 (figura
7.31.b) distana contactului mobil fa de captul rezistorului x, n uniti
U
relative, se modific da la 0 la 1. Se determin raportul tensiunilor 23 n
U0
U 23
R2

funcie de aceasta. Se obine astfel:


. Dependena
U0
R2

R 1
1 R 2
R3

tensiunii U23 n funcie de R2 este neliniar (figura 7.31.c). Cnd R2 = xR0 i


U 23
x

R1 = (1 x)R0, se obine:
. Dac se introduce
U0
2 R0
1 x x
R3
R
coeficientul de sarcin c = 3 , se poate scrie:
R0
U 23
x
cx

2
U 0 1 x x c c x x 2

337

Eroarea relativ a tensiunii


U
U
Abaterea 23 a divizorului n sarcin fa de 2 fr sarcin, sau
U0
U0
eroarea relativ a tensiunii este:
U
U
cx
x3 x2

U = 23 2

U0 U0 x x x2
x x x2
O eroare relativ mic (n mod practic dependen liniar) se obine
dac se respect condiia: R >> R0 (I << I0). De obicei, se respect condiia
R > 100R0 i eroarea relativ a tensiunii nu depete 0,15 %. La alegerea
parametrilor divizorului de tensiune este de obicei suficient ndeplinirea
condiiei R > 10R0, caz n care eroarea va fi mai mic de 1,5 %. Liniarizarea
caracteristicii divizorului poate fi obinut prin cuplarea n serie cu divizorul a
R
rezistorului suplimentar R. Atunci, notnd
+ 1 = k, se obine:
R0
U 23
cx

.
U 0 kc kx x 2
R
Liniarizarea optim se obine cnd R = 0 , adic atunci cnd k = 1,5.
2
Pentru exemplificare, s calculm eroarea relativ Ua a tensiunii
divizorului n sarcin mic atunci cnd cursorul este plasat la mijlocul
rezistenei (x = 0,5 uniti relative), R2 = 0,5R0 i c = R/R0. Eroarea care apare
0,53 0,52
0,125
este egal cu: U =
= 0,125 %

2
100,25
100 0,5 0,5
Cazul variaiilor mici ale rezistenei i tensiunilor
Cderea de tensiune UR pe rezistena R n schema din figura 7.32 se
modific odat cu creterea rezistenei R cu R (R = R + R), cnd curentul
I0 este constant. Tensiunea pe rezistena R este: UR = RI0 = UR + UR.
Pentru c RI0 + RI0 = RI0 + UR, atunci UR = RI0. Dac curentul
este constant I0 = UR/R, variaia relativ a tensiunii este egal cu: UR/UR =
R/R.
Aceast egalitate este adevrat att la msurarea mrimilor constante
ct i va celor variabile n timp, n domeniile de frecvene artate n tabelul 7.7.
Tabel 7.7
Proces
Domeniu de frecven (Hz)
static
0
cuasistatic
01
dinamic
1 106
338

combinat

0 106

Pentru schema de msur din figura 7.32, cnd R = 100 , I0 = 10


mA i R = 1 , variaia absolut a tensiunii UR = RI0 = 1 V se determin
avnd valoarea:
R
1
UR = UR
= 1
= 10 mV.
R
100

Fig. 7. 32 - Msurarea tensiunii UR cu voltmetrul V cnd exist variaii mici ale


rezistenei R i alimentarea de la sursa de curent constant I0

Msurarea tensiunii direct pe rezistor n cazul variaiilor mici ale


rezistenei acestuia este practic imposibil, pentru c este dificil observarea
indicaiilor voltmetrului cnd tensiunea de baz este UR = 1000 mV cu o
abatere de numai 10 mV. nregistrarea acestei abateri cu precizie nu este
posibil practic fr compensarea tensiunii de baz. Dac voltmetrul V (figura
7.32) este cuplat la rezistorul de msur R prin condensatorul C, atunci
tensiunea de baz nu se modific. Deficiena acestei scheme const n faptul
c ea este util numai pentru nregistrarea proceselor dinamice. n afar de
aceasta, orice modificare n timp a curentului de alimentare I0 (sau a tensiunii
de alimentare n schema cu divizor) va fi perceput ca mrime de msur.
Compensarea tensiunii de baz poate fi realizat prin cuplarea sursei
suplimentare de tensiune sau cu ajutorul schemelor n punte.
7.6.2. Scheme n punte
Pentru simplificarea calculelor, n schemele de msurare n punte se
folosesc anumite aproximri. Astfel, se consider c rezistena intern a sursei
de alimentare de tensiune continu (figura 7.33) este foarte mic i se
neglijeaz (Ri = 0). Rezistena din diagonala pentru R5 este mult mai mare
dect rezistena celorlalte rezistoare ale punii, R1 R4, adic se poate
considera R5 ~ .
339

Fig. 7. 33 - Schema n punte: U0 - tensiunea de alimentare; Ri - rezistena


intern a sursei de alimentare; R1 R4 - rezistenele din ramurile punii; R5 rezistena diagonalei punii

n aceste condiii, ambele pri ale punii, R1 R2 i R3 R4 sunt


divizoare de tensiune fr sarcin a sursei generale de alimentare.
Calculul tensiunii diagonalei schemei n punte
S calculm tensiunea diagonalei, U5 a schemei n punte din figura
7.33. din relaia U3 + U5 U1 = 0 rezult U5 = U1 U3. Tensiunile ambelor
R3
R1
divizoare fr sarcin sunt egale cu U1 = U0
i U3 = U0
, de
R1 R 2
R3 R4
R1
R3

unde U5 = U0
R1 R 2 R 3 R 4
Condiia de echilibru
Echilibrul punii cnd U5 = 0 se determin astfel: R1R4 = R2R3 sau
R1 R 3

. Teoretic, cnd R3 = 0 i respectiv R4 = 0, valoarea R1 se gsete n


R2 R4
limitele 0 .
Puntea cu rezistoare de limitare
Pentru micorarea domeniului de reglare, puntea se realizeaz cu
rezistoare de limitare RE3 i RE4 (figura 7.34.a), cuplate n serie cu traductorul
rezistiv R. Marcnd distana cursorului mobil al contactului x de la mijlocul
traductorului, se obine rezistena braelor punii:
1 x
1 x
R3 = RE3 + R
i R4 = RE4 + R
.
2
2

340

Domeniul de variaie a rezistenei R1 este n limitele de la R1min pn la


R R
R1max. Cnd x = 1, se obine R1max = R2 E 3
, iar cnd x = 1, se obine
R E4
R E3
R1min: R1min = R2
.
R E4 R

Fig. 7. 34 - Schemele punilor cu echilibrarea manual (a) i automat (b)

Dac se respect condiia RE3 = RE4 = RE >> R atunci rezult:

R
R2
R
i R1min =

R1max = R 2 1
R 2 1
R
R
RE
E

1
RE
La aceast metod, echilibrarea se face manual. Metoda se recomand
pentru msurarea mrimilor statice.
Puni automate
La dezechilibrarea punii din figura 7.34.b, tensiunea diagonalei U5
acioneaz prin amplificator asupra motorului de echilibrare M pn cnd
poziia contactului mobil al rezistorului R este cea potrivit. Nivelul de abatere
de la echilibru corespunde dezechilibrrii punii prin modificarea rezistenei
traductorului. n concordan cu metoda de echilibrare, aceast metod poate
fi numit metoda abaterilor. Astfel de puni automate sunt utile pentru
msurarea proceselor statice sau cuasistatice.
Schema n punte cu msurarea tensiunii diagonalei
La msurarea variaiilor mici de rezisten se folosete frecvent schema
n punte din figura 7.35 cu nregistrarea tensiunii diagonalei cu aparatul AI.
Pentru ca naintea fiecrei msurtori s nu se ajusteze rezistenele punii R1
R4, schema se completeaz cu rezistoarele de echilibrare Ra i Rc. Pentru
tensiunea diagonalei egal, de exemplu, cu 1 % din tensiunea sursei de
alimentare se alege Rc 25R, iar R = R3 = R4. Pentru balansarea liniar trebuie
s se respecte condiia Ra << Rc.
341

Fig. 7. 35 - Schema punii cu msurarea tensiunii diagonalei cu ajutorul


amplificatorului A i a aparatului de ieire AI

Avantajul schemei cu un astfel de circuit de balansare const n faptul


c modificarea rezistenei n contactele rezistorului de echilibrare nu
influeneaz practic asupra tensiunii diagonalei. Schema ns prezint i
deficiene i reduce sensibilitatea datorit untrii rezistoarelor R3 i R4 (iar
reducerea sensibilitii depinde de poziia contactului mobil al rezistorului Ra)
i n afar de aceasta are influen rezistena conductoarelor care leag puntea
cu rezistoarele de compensare. Sarcina suplimentar a sursei de alimentare,
datorat rezistoarelor de echilibrare, nu joac rol esenial.
Puntea cu rezistena variabil a unui bra
S calculm tensiunea diagonalei U5 a punii fr a lua n considerare
rezistoarele de echilibrare (figura 7.36.a)..

Fig. 7. 36 - Puntea cu rezistena variabil a unui bra (a) i caracteristica sa


(b) cnd R1 = R2 = R3 = R4 = 100 i U0 = 1 V

La msurarea mrimii fizice, datorit modificrii rezistenei, care


devine R1 = R1 + R1, se modific cderea de tensiune pe aceasta i apare
tensiunea de dezechilibrare a punii U5. Se poate scrie:
R3
R 1
U1 = U0
i U3 = U0
,
R 1 R 2
R3 R4
iar apoi tensiunea diagonalei:
342

R 1
R3

U5 = U0
R 1 R 2 R 3 R 4
nlocuind R1 = R1 + R1 se obine:
R 1 R 1
R3

U5 = U0
R 1 R 1 R 2 R 3 R 4
Ultima ecuaie se poate scrie sub forma:
R 1 1
R3

U5 = U0
R 1 1 R 2 R 3 R 4

unde = R1/R1.
Pentru simplificarea calculelor, se presupune c, nainte de msurare,
puntea este simetric i echilibrat, adic R1 = R2 = R3 = R4 = R. Cnd R1 = R
+ R, apare tensiunea diagonalei:
R
R R
R R 1


U5 = U0
U0
R R R R R
2 R R 2
2 R 2R 2 R R
R

U5 = U0
U0
4 R 2R
4 R 2R
n cazul n care variaiile rezistenei sunt mici (R << R), expresia
R
final pentru tensiune are forma: U5 0,25
R
Dup cum se observ, pentru variaii mici ale rezistenei, tensiunea
diagonalei este aproximativ direct proporional cu variaia rezistenei, R. La
micorarea lui R1 cu valoarea R (R1 = R R), se obine tensiunea negativ
a diagonalei. La variaii mari ale lui R1 relaia U5 = f(R1) este neliniar (figura
7.36.b).
Puni cu rezistenele variabile a dou brae alturate
S calculm tensiunea pentru puntea a crei schem este prezentat n
figura 7.37.a.
nainte de nceperea msurtorii, puntea este simetric, rezistenele
fiind egale (R1 = R2 = R3 = R4 = R); se modific rezistenele din dou brae
alturate: R1 = R + R i R2 = R R (sau R3 i R4, R1 i R3, R2 i R4). Se
R 1
R3
obine: U5 = U1 U3 = U0

R 1 R 2 R 3 R 4
Pentru puntea simetric (nainte de nceperea msurtorii):
R R
R R R 1

U0
U5 = U0

2
R R R R 2 R 2 R
R R R
1 R
U0
U0
U5 = U0
2R
2 R
343

Tensiunea diagonalei unei astfel de puni are dependen liniar n


funcie de R1 (fig. 7.37.b) pentru orice variaie a rezistenei. Ea este de dou
ori mai mare dect la puntea cu variaia rezistenei ntr-un bra pentru aceeai
modificare a rezistenei.
Puntea cu rezistene variabile n brae opuse
La aceeai punte, se modific n acelai timp dou rezistene, de
exemplu R1 = R + R i R4 = R R, sau rezistenele R2 i R3 (figura 7.33).
Se obine:
Fig. 7. 37 - Puntea cu rezistenele variabile n dou brae alturate (a) i
caracteristica sa (b) cnd R1 = R2 = R3 = R4 = R = 100 i U0 = 1 V

R 1
R 3
U5 = U1 U3 = U0

R 1 R 2 R 3 R 4
Pentru puntea simetric (nainte de msurtori)
R
R
R R

U5 = U 0
U0
2 R R
R R R R R R
1 R
U0 .
Pentru variaii mici ale lui R i R << R, U5
2 R
Dup cum se observ, tensiunea pe diagonal are o variaie aproximativ
liniar n funcie de rezistena R. Pentru exemplificare, s determinm
tensiunea pe diagonal pentru rezistenele variabile ale laturilor alturate. La
puntea simetric (naintea msurtorii) se modific rezistena braelor
alturate. Dac R1 = R2 = 120 , curentul maxim de msur admis este I = 20
R
mA, iar valoarea variaiei rezistenei rezistoarelor de msur este =
=
R
103.
La tensiunea de alimentare U0 = 2RI = 212020 = 4,8 V. se obine:
1 R
1
U 0 = 1034,8 = 2,4 mV
U5
2
2 R

344

Puntea cu toate rezistenele variabile


La aceasta, R1 = R + R, R2 = R R, R3 = R R ; R4 = R + R.
Se calculeaz tensiunea pe diagonal pentru puntea simetric (dinaintea
msurtorii), cnd RL = 0, R5 >> R i U0 = ct. Se obine:
R 1
R 3
U 0

U5 = U1 U 3
R 1 R 2 R 3 R 4
n aceast punte, tensiunea diagonalei, U5 este de dou ori mai mare
dect cea de la puntea din figura 7.37.a i de patru ori mai mare dect cea de la
puntea din figura 7.36.a, pentru aceeai variaie. Aceasta, ca i la puntea din
figura 7.36.a, este direct proporional cu variaia rezistenei, R. Pentru cazul
cnd variaiile Rn ale rezistenei Rn sunt mici, tensiunea pe diagonala punii
se calculeaz pe baza expresiei urmtoare:
U 5 1 R 1 R 4 R 2 R 3

U 0 4 R 1
R4
R2
R 3
2
2
2
2
1 R 1 R 4 R 2 R 3





8 R 1 R 4 R 2 R 3

R n
.
Rn
Tensiunea diagonalei, U5 depinde de tensiunea de alimentare, U0. Pentru
eliminarea influenei rezistenelor conductoarelor de legtur, care leag
puntea de elementele de adaptare, se folosesc de asemenea i scheme
alimentate n curent constant. La modificarea rezistenei circuitului n limite
determinate (de exemplu, ntre 0 - 1000 ), tensiunea de alimentare a punii
din figura 7.37.a, egal cu cderea de tensiune pe rezistena R1 + R2 este
constant, chiar dac se modific rezistena conductorilor de legtur. n cazul
tensiunii constante de alimentare a schemei de msur, variaia rezistenei
conductorilor de legtur ar putea fi compensat prin reglarea tensiunii de
alimentare a punii. n practica msurtorilor se folosete frecvent noiunea de
coeficient al punii.
Tensiunea diagonalei n cazul variaiei diferite a rezistenelor
rezistoarelor de msur
n figura 7.38 se prezint schema punii i diagrama vectorial a
tensiunilor, care explic influena variaiei rezistoarelor de msur asupra
tensiunii diagonalei, pentru puntea cu rezistena variabil a unui bra. n mod
analog, se construiesc diagramele vectoriale pentru punile cu rezistene
variabile pe dou brae alturate sau pe dou brae opuse i respectiv cu
rezistene variabile pe toate braele punii.

n aceast expresie se introduc valorile pozitive sau negative

345

Din aceste diagrame pentru dou rezistene variabile se poate deduce


urmtoarea regul: pentru apariia tensiunii diagonalei U5, variaia
rezistenelor rezistoarelor de pe laturile alturate trebuie s fie opus ca semn,
iar a rezistenelor rezistoarelor de pe laturile opuse, de acelai semn.
Aceast regul este valabil i pentru puntea care are patru rezistoare
cu rezistene variabile. La variaia rezistenelor rezistoarelor de msur, trebuie
s apar tensiunea diagonalei cauzat de mrimea msurat i nu de ctre
Fig. 7. 38 - Schema punii i diagrama vectorial pentru puntea cu rezistena
variabil a unui bra

perturbaii.
7.6.3. Schema de msur cu elemente sensibile
Scheme cu msurarea curentului
n schema din figura 7.39.a, tensiunea de alimentare pentru curentul de
alimentare I este egal cu: U0 = (RM +RA +RJ +2RL)I. Din aceasta, se msoar
rezistena:
U
RM = 0 (RA + RJ + 2RL)
I
Cnd U0 este constant i RY = RA + RJ + 2RL = ct., deplasarea msurat
1
este: s ~ RM ~ = ct.
I
Caracteristica schemei este prezentat n figura 7.39.b. Ea corespunde
scalei hiperbolice a ampermetrului A. Sensibilitatea la modificarea rezistenei

346

(funcia de transfer) este: SR =

I
ct. pentru caracteristica I(RM) din figura
R M

7.39.b.

Fig. 7. 39 - Msurarea la distan a rezistenei proporionale cu deplasarea ,


cu ajutorul ampermetrului: a schema de msur; b caracteristica schemei
cnd RM = 100 , UC = 1 V, RY = RA + RJ + 2RL = 68

Rezistena conductoarelor de legtur poate influena, de asemenea,


indicaiile aparatului. Pentru variaii relative mari ale rezistenei rezistorului de
msur, RM/RM, variaia relativ a rezistenei conductoarelor, RL/RL are o
influen slab. La variaii mici RM << RM, metoda schimbrii curenilor nu
este util, pentru c este dificil nregistrarea semnalului util pe fondul
curentului I i, n afar de acesta, nu se mai poate neglija influena
conductoarelor de legtur RL/RL asupra indicaiilor.
Scheme cu divizor de tensiune i voltmetru
Pentru divizorul de tensiune pus n sarcin cu un curent IV (figura 7.40),
cnd rezistena de intrare nu este suficient de mare, deplasarea msurat este:
s ~ R2 ~ U2 UV. Caracteristica divizorului n sarcin este neliniar.
Variaia rezistenelor conductoarelor de msur RL/RL provoac erori
de msur. n cazul variaiei mari a rezistenei elementului sensibil RM/RM,
sensibilitatea este SR = UV/R2 ct.

Fig. 7. 40 - Schema cu divizor de tensiune pentru msurarea deplasrii s; RM


rezistena traductorului de msur; RV rezistena voltmetrului

347

Cnd variaiile rezistenei RM sunt mici RM << RM, aceast metod nu


poate fi utilizat datorit variaiei mici a tensiunii de ieire. Dac rezistena de
intrare a voltmetrului este mare (RV >> RM i IV 0), atunci divizorul este
practic fr sarcin i deplasarea msurat s este aproximativ proporional cu
tensiunea: s ~ R2 ~ U2 ~ UV. n acest caz, sensibilitatea devine: SR = UV/R2
ct.
Scheme cu compensaie
La compensatorul cu acord manual (figura 7.41.a), se regleaz cderea
de tensiune UK pe rezistena compensatorului, RK alimentat cu un curent
auxiliar IH astfel ca ea s fie egal cu tensiunea de msur U2. Cnd curentul
de sarcin IG = 0, divizorul de tensiune RM rmne fr sarcin i atunci
deplasarea msurat este: s ~ R2 ~ U2 = U3 = UK ~
Caracteristica de msurare a deplasrii, s = f() este liniar. Pentru orice
modificare a rezistenei traductorului, RM/RM, sensibilitatea este constant:
SR = UK/R2 = ct.

Fig. 7. 41 - Compensator cu acord manual (a) i automat (b); s deplasarea msurat;


abaterea; RM rezistena traductorului; RK rezistena rezistorului de
compensare; IH curent auxiliar; M motor

La compensatorul automat (figura 7.41.b), motorul M deplaseaz


cursorul rezistorului de compensare RK, pn cnd diferena tensiunilor
comparate devine egal cu zero (UD = 0), adic pn cnd U2 = UK.
Deplasarea msurat este: s ~ R2 ~ Caracteristica este liniar,
sensibilitatea SR = /R2 este constant. Rezistena conductoarelor de legtur
are influen mic asupra compensrii.
7.6.4. Puni cu msurarea deviaiei
Punte cu variaia rezistenei unui bra
n cazul variaiilor mari ale rezistenei elementului sensibil, de exemplu
la msurarea deplasrii, sensibilitatea punii a crei schem este prezentat n
figura 7.42.a i care are caracteristica din figura 7.36.b este egal cu: SR =
U5/R1 ct. Pentru variaii mici U5/RM, caracteristica este aproape linear
i sensibilitatea este: SR = U5/R1 ct.
348

n schema din figura 7.42.a este necesar s se ia n consideraie


influena cderii de tensiune pe rezistena conductoarelor de legtur i a
variaiei acesteia.

Fig. 7. 42 - Puni cu rezistena variabil a unui bra ( a) i a dou brae alturate ( b);
RM rezistena traductorului; RL rezistena conductoarelor de legtur

Punte cu variaia rezistenelor a dou brae alturate


La schema n punte din figura 7.42.b, cnd variaia rezistenelor
alturate, R1 i R2 este n acelai sens, caracteristica este liniar, la fel ca cea
din figura 7.37.b, iar sensibilitatea este: SR = U5/R1 = ct.
Punte cu variaia rezistenelor tuturor braelor
n figura 7.43.a este prezentat schema acestei puni i diferite scheme
echivalente (figura 7.43.b, c). Pentru orice variaii a rezistenelor R1, R2, R3,
R4 caracteristica este liniar, i, cnd tensiunea de alimentare, U0 este
U
constant, sensibilitatea punii este: SR = 5 = ct.
R
R

Fig. 7. 43 - Puni cu dou divizoare de tensiune

n punile din figura 7.43, atunci cnd tensiunea de alimentare U0 este


constant, rezistena intern Ri = 0 i rezistena diagonalei, R5 = i sunt
ndeplinite condiiile: R1 = R2, R3 = R4 i +R1 = R2, R3 = +R4,
tensiunea diagonalei este:
349

R 1 R 4 R 2 R 3 R 1 R 3 R 4 R 3 R 1 R 2
U0
R 1 R 2 R 3 R 4
Pentru orice variaie Rn este necesar ca, n formula de mai sus, s se
ia n consideraie semnul acesteia. Schemele de msur din figura 7.43 pot fi
alimentate de la surse de tensiune sau de curent constant. Eliminarea
perturbaiilor provenite de la conductorii de legtur se face cu scheme de
legtur cu mai multe conductoare.
7.6.5. Msurarea rezistenelor traductoarelor cu amplificatoare
operaionale
Scheme pentru astfel de msurtori sunt prezentate n figura 7.44.
Pentru schema din figura 7.44.a, cnd rezistena rezistorului de msur este RX
U
i ce a conductoarelor de legtur RL, se poate scrie: RX + 2RL =Ri .
Ur
Tensiunea de referin Ur este furnizat de obicei de o surs de tensiune
constant (cu tensiunea de ieire de 1 V). Tensiunea U se msoar i se
nregistreaz. Cea mai mic valoare a rezistenei de msur, RXmin, este limitat
de curentul de ieire Imax, iar cea mai mare valoare, RXmax, de rezistena maxim
admis a rezistorului de reacie. Rezistena conductoarelor de msur, R L i
variaia acestora au influen asupra rezultatelor msurtorii.

U5 =

Fig. 7. 44 Scheme pentru msurarea rezistenei RX cu amplificator


operaional: cu alimentare de la surs de tensiune constant (a); cu alimentare
de la surs de curent constant (b)

Schema de msur a rezistenei, din figura 7.44.b, cnd alimentarea se


face de la o surs de curent constant, d la ieire o tensiune UX proporional
cu cderea de tensiune pe rezistena RX + 2RL, care este amplificat de
amplificatorul operaional i apoi este msurat.

350

7.6.6. Msurarea rezistenei traductoarelor prin metoda analogic n


punte cu conversie n frecven
Schema de msur este prezentat n figura 7.45 i are n alctuire
traductorul T i convertorul de tensiune realizat sub forma unui oscilator cu

T
x
R

R
f

A
000

AI

ATA
punte Wien.
Puntea se cupleaz simetric n raport cu pmntul prin amplificatorul
tensiunii de alimentare, ATA. Echilibrarea punii la variaia rezistenei de
msur se face prin modificarea frecvenei oscilaiilor oscilatorului, deplasarea
n frecven care apare reprezentnd un semnal analogic de frecven. Acest
semnal este amplificat n amplificatorul A, redresat n redresorul R i apoi este
aplicat numrtorului electronic N, la ieirea cruia se afl aparatul de ieire
AI. Dispozitivul realizat pe baza acestei metode are stabilitate nalt i
Fig. 7. 45 Instalaie de msur analogic n punte cu conversie n frecven

rezoluie bun, nu este sensibil la perturbaii la transmiterea semnalului i la


transformarea acestuia n form numeric. Metoda este folosit la msurri
statice i dinamice cu tensotraductoare.

351

7.6.7. Msurarea numeric a rezistenei cu convertor n trepte

RL

RX

RL

U0
R1

R2

iX

DN

iR
RR
8R
Ur

4R

2R

Fig. 7. 46 Convertor n trepte pentru msurarea numeric a rezistenei

n schema din figura 7.46, rezistenele se cupleaz succesiv, de la 8R la


R, pn la obinerea echilibrului, iX = iref, determinat de detectorul de nul, DN.
Pentru valori mari ale rezistenei RX, convertorul n trepte are caracteristica
neliniar. Prin introducerea rezistorului RK, se mbuntete liniaritatea
acesteia.

7.7. Elemente sensibile reactive


7.7.1. Elemente inductive
Principiu de funcionare
Inductana unei bobine avnd un singur strat cu N spire, lungimea
miezului , seciunea transversal S i permeabilitatea magnetic relativ r,
N 2S
este dat de relaia: L = r0
, unde permeabilitatea magnetic a vidului

are valoarea 0 = 4107 H/m. Prin modificarea uneia din mrimile S, , , are
loc modificarea inductanei bobinei.

352

Construcie

s = d
00

/2
d00/2
L

U5
s

a)

U5
s

b)

s
c)

Fig. 7. 47 Traductoare inductive cu deplasarea miezului: drosel simplu (a); drosel


diferenial cu miez transversal (b); drosel diferenial cu miez longitudinal (c); s
deplasarea; 0 dimensiunea ntrefierului; L inductana; US tensiunea de ieire

n figura 7.47 este prezentat construcia elementului sensibil inductiv


pentru msurarea deplasrii s i caracteristica acestuia. La bobinele de oc
(drosel), dependena inductanei de dimensiunea ntrefierului, d0 are caracter
1
hiperbolic: L ~ . Pentru liniarizare, se poate lucra ntr-un domeniu de variaii
0
mici ale lui 0, ca msur a deplasrii.
Ca element mobil, se folosete o plac conductoare feromagnetic
strbtut de fluxul magnetic. Dac n calculul inductanei se ia n considerare
numai dimensiunea ntrefierului de aer, 0 (de obicei, rezistena magnetic a
miezului este mic n comparaie cu rezistena ntrefierului i, deci poate fi

S
neglijat, ceea ce nseamn c
<< 0), atunci L 0N2
i, la variaia
r
0
ntrefierului, 0 = 0 0, inductana este:
S
L 0N2 2 (0 0) = L L
0
353

Msurarea inductanei se face cu puntea la frecvena purttoare sau cu


circuitul oscilant de frecven nalt. n schemele cu frecven purttoare,
droselele simple au inductana de 5 sau 0,5 mH, reactana inductiv XL = 157
i rezistena activ R = 20 200 sau 2 20 . Ele se folosesc ca
traductoare fr contact pentru deplasri. La droselele difereniale cu miez
mobil transversal (figura 7.47.b) sau longitudinal (figura 7.47.d), tensiunea
diagonalei punii, U5 = 0, dac nainte de nceperea msurrii miezul se gsete
n poziia median. La deplasarea miezului pe direcie axial, apare tensiunea
U5, datorit asimetriei. Droselele cu miez mobil transversal au deplasarea
nominal, sN cuprins n intervalul 1 20 mm, iar droselele cu miez mobil
longitudinal, au deplasarea nominal sN cuprins n intervalul 1 500 mm,
deplasarea normal fiind de 0,8 din lungimea bobinei, egal cu lungimea
miezului.
Droselele difereniale se folosesc ca traductoare de deplasare i, ntr-o
construcie special, pentru msurarea unghiurilor de rotaie de pn la 90.
7.7.2. Elemente sensibile cu transformator
Traductorul de deplasare din figura 7.48 este alctuit din bobina
primar, alimentat cu tensiunea alternativ U1, de frecven purttoare, sau de
la reea i dou bobine secundare cuplate n antifaz, n care se induc tensiunile
U2 i U2, egale la echilibru. Tensiunea secundar la dezechilibrare, U2 = U2
U2 se aplic la intrarea schemei de adaptare.

354

Utilizarea elementelor sensibile cu transformator presupune scheme de


adaptare relativ simple.
s

U1

U1
U2

a)

U 2

U2
U2

b)

c)
U2

Fig. 7. 48 Transformator diferenial traductor inductiv de deplasare: schema


(a), construcia (b) caracteristica (c)

7.7.3. Puni de curent alternativ pentru msurarea inductanei


Schema unei astfel de puni de curent alternativ este prezentat n figura
7.49.a, unde tensiunea de alimentare a punii, U0, se menine constant. Se
consider c rezistena intern a sursei de alimentare, Ri este nul i R5 .
nainte de nceperea msurrii, miezul traductorului ocup o poziie simetric,
iar valoarea tensiunii diagonalei este ce corespunztoare echilibrului, U5 = 0.
La msurare, au loc modificrile:
Z1 = Z1 + Z1 i Z2 = Z2 + Z2

Fig. 7. 49 Puni cu drosele difereniale

Considernd un drosel ideal, Z = R + iL = iL = iXL (figura 7.49.b),


tensiunea diagonalei, U5 = U1 U3 depinde de U1, care este:
iL1
L1
U0
U0
U1 =
iL1 iL2
L1 L2
355

Dac L1 = L + L, L2 = L L i Rg = r4 = R, se obine:
L L
L L
U0
U0
U1 =
L L L L
2L
R3
U
R
U0
U0 0
U3 =
R3 R4
2R
2
1 L
L L 1
U 0
U0
U5 =
2
2 L
2L
Ultima ecuaie are aceeai form ca la puntea rezistiv la care se
modific rezistena a dou brae alturate. La efectuarea msurtorilor,
tensiunea de alimentare trebuie s fie meninut constant, orice modificare a
acesteia provocnd modificarea tensiunii U5, perceput ca semnal de msur.
La puntea ideal (figura 7.49.b), diagramele fazoriale sunt prezentate
n figura 7.50. Astfel, dac L1 = L + L, U5 este n faz cu U0, = 0 (figura
7.50.a), iar dac L1 = L L, U5 este n opoziie de faz cu U0, = 180.
Pentru puntea real, la care bobinele au i rezisten activ, defazajul dintre U5
i U0 poate avea orice valoare.

U1

US

C U2

A U1

US

U2

U0
U3 D
I3
I1

U4

U0
U3

a)

D U4
b)

Fig. 7. 50 Diagramele fazoriale pentru puntea ideal (schema din figura 7.49.b:
cnd L1 = L + L, L2 = L L (a) i cnd L1 = L L, L2 = L + L (b)

7.7.4. Traductoare capacitive


Principiu de funcionare
S
, unde S este suprafaa
d
comun a armturilor, d este distana dintre armturi, 0 este permitivitatea
electric a vidului i r permitivitatea electric relativ a dielectricului dintre
armturi. Prin modificarea uneia din mrimile , S i d, se pot determina
presiunea, unghiul de rotaie, deplasarea, diferena de nivel, etc.
Construcie
n figura 7.51 sunt prezentate variante constructive ale traductoarelor
capacitive i caracteristicile acestora.

Capacitatea condensatorului plan este C = r0

356

Fig. 7. 51 Traductoare capacitive i caracteristicile acestora: a condensator plan


simplu, cu deplasarea plcilor (msurarea distanei); b condensator plan
diferenial; c condensator cilindric cu deplasare longitudinal; d condensator
plan cu deplasarea dielectricului; e condensator variabil cu plci rotitoare
(msurarea unghiului de rotaie)

Condensatorul plan simplu


La efectuarea msurtorilor (figura 7.51.a), se nregistreaz
S
modificarea capacitii C = r0
:
d d
S
S
S
r 0 r 0
C = C C = r 0

d d
d
d 1
d
unde =
.
d
C

Variaia relativ a capacitii este:

C
1
C
Sensibilitatea traductorului capacitiv de deplasare este Sd =
ct. La
d
C
d

variaii mici, d << d, caracteristica este:


i poate fi considerat
C
d
aproximativ liniar.
Condensatorul plan diferenial
Condensatorul plan diferenial (figura 7.51.b) cu punte de curent
alternativ are caracteristica liniar i se folosete n traductoarele sensibile la
variaia de presiune.
Condensatoare cu variaia suprafeei armturilor
357

Acestea se utilizeaz la msurarea deplasrii longitudinale, care


provoac variaia capacitii, de exemplu la condensatorul cilindric (figura
7.51.c). Caracteristica acestuia, ca i a condensatorului plan cu deplasarea
C 1

dielectricului (figura 7.51.d) este liniar:


. Pentru msurarea
C

unghiului de rotaie, se utilizeaz condensatorul cu plci rotitoare (figura


7.51.e), la care, prin alegerea corespunztoare a formei armturii mobile, se
poate asigura dependena liniar a capacitii n funcie de unghiul de rotaie,
: C = C0 + k.
Condensatoare plane i cilindrice cu deplasarea dielectricului
S calculm capacitatea condensatorului plan sau cilindric cu
deplasarea dielectricului(figura 7.52), utilizai ca traductoare pentru msurarea
nivelului lichidului ntr-un recipient. Principiul de funcionare este acelai ca
la traductorul din figura 7.51.d. Considernd volumul dintre plci umplut cu
dielectric pn la nlimea x, capacitatea total a condensatorului este suma
dintre capacitatea prii condensatorului cu aer (r = 1) ntre armturi, C0 i
capacitatea prii condensatorului cu dielectric (r = 1) ntre armturi, C1.

C0
h

C0

C1
d

C1

b
a)

r1

b)
r2

Fig. 7. 52 Condensatoare plan (a) i cilindric (b), cu variaia umplerii x


cu dielectric a spaiului dintre armturi

Pentru condensatorul plan (figura 7.52.a), avem:


bh x
bx
b
C0 = 0
; C1 1 0 ; C = C0 + C1 = 0 (h x + 1x), deci:
d
d
d
bh b
C = 0 0 (1 1)x, sau C = Cx=0 + C(x)
d
d
358

Pentru condensatorul cilindric (figura 7.52.b), avem:


20
20
2 1 0
C0 =
(h x) ; C1 =
x ; C = C 0 + C1 =
(h x + 1x), deci:
r2
r2
r2
ln
ln
ln
r1
r1
r1
20 h 20

C=
(1 1)x sau C = Cx=0 + C(x)
r
r
ln 2
ln 2
r1
r1
n relaiile de mai sus, Cx=0 reprezint capacitatea condensatorului cnd
x = 0. Caracteristicile ambelor traductoare, C = f(x) este similar caracteristicii
din figura 7.51.d.
7.7.5. Scheme de msur cu traductoare capacitive
Scheme cu modulaie de amplitudine
La aceste scheme, msurtorile statice i dinamice ale capacitii
traductorului C i variaia acesteia, C se fac cu ajutorul punii de curent
alternativ, aa cum se arat n figura 7.53.

Fig. 7. 53 Schema pentru msurarea capacitii traductorului prin


metoda modulaiei de frecven

Se consider c puntea este simetric:


C1 = C2 = C; R3 = R4 = R
La msurare se deplaseaz electrodul central al traductorului cu
valoarea d:
d = d d i C1 = C + C, C2 = C C.
R
U
n aceast situaie, U3 =
U0 = 0 i
2
RR
1
1
iC1
C C
U0
U0 .
U1 =
1
1
1
1

iC1 iC2
C C C C
Tensiunea diagonalei este:
359

1 C
C C 1
U 0
U0
U5 =
2
2 C
2C
C
Aceast tensiune depinde liniar de
. Astfel, dac d scade, C1 crete,
C
reactana capacitiv XC scade i la ieire se obine o tensiune negativ, adic
fazorul tensiunii U5 este n opoziie de faz cu fazorul tensiunii de alimentare,
U0. Expresiile de calcul pentru tensiunea U5 sunt aceleai ca pentru
traductoarele rezistive n schemele cu variaia rezistenelor braelor alturate,
sau pentru traductoarele inductive difereniale. Punile RRCC au tensiunea de
alimentare de frecven mrit (pn la 1 MHz), pentru c reactana capacitiv
a condensatorului traductorului cu capacitate relativ mic s fie suficient de
mic.
Scheme cu modulaie de frecven
La schema din figura 7.54, condensatorul variabil i bobina de
inductan constant L formeaz un circuit oscilant.
Oscilaiile produse, de amplitudine constant, au frecvena dependent
de capacitatea condensatorului. Semnalul se aplic demodulatorului DM, de
unde este introdus la aparatul de ieire sub form analogic.

L
C

~
O

DM

AI

Fig. 7. 54 Schema bloc a instalaiei pentru msurarea capacitii


traductorului prin metoda modulaiei de frecven

n figura 7.55.a este prezentat schema principial a demodulatorului


n doi timpi, compus din dou circuite oscilante cuplate, C1-L1 i C2-L2.
Caracteristicile de frecven sunt reprezentate n figura 7.55.b. La variaia f a
frecvenei f0 a semnalului msurat, tensiunea de ieire este U = U1 U2.
Caracteristica de ieire n domeniul de lucru este liniar: U ~ f.

360

Fig. 7. 55 Schema principial (a) i caracteristica (b) a demodulatorului n doi


timpi

7.8. Traductoare active electrodinamice


Principiu de funcionare
La elementele sensibile care funcioneaz pe baza induciei
electromagnetice, se induce o tensiune electromotoare ntr-un conductor care
nchide o suprafa strbtut de un flux magnetic variabil. Aceast tensiune
d
este dat de legea lui Faraday: u =
, unde este fluxul magnetic al
dt

cmpului magnetic prin suprafaa descris de conductor ( = B S ).
n funcie de principiul de construcie al traductorului, dac inducia are
loc ntr-un conductor care se deplaseaz ntr-un cmp magnetic, tensiunea
indus este dat de expresia: u = Bv, unde este lungimea conductorului, B
este inducia magnetic a cmpului i v viteza de deplasare a conductorului n
cmp (relaia este valabil n cazul particular cnd direcia de deplasare,
direcia conductorului i cea a liniilor cmpului magnetic sunt perpendiculare
ntre ele). Dac circuitul este compus din mai muli conductori (mai multe
spire), tensiunea indus se multiplic cu N, numrul de spire.
Construcia traductoarelor cu deplasare liniar i cu micare de
rotaie
n figura 7.56 este reprezentat construcia unui traductor
electrodinamic cu deplasare liniar, folosit pentru msurarea vitezei de
deplasare la micarea rectilinie (de exemplu, la vibraiile mecanice).

361

M
B

u~v
u~v

a)

b)

Fig. 7. 56 Traductoare electrodinamice: cu bobin B mobil i magnet


permanent M fix (a); cu bobin fix i magnet permanent mobil (b)

n figura 7.57 este prezentat construcia traductorului electrodinamic


cu micare de rotaie, n dou variante (figura 7.57.a, b). Astfel de traductoare
sunt folosite pentru msurarea vitezei unghiulare de rotaie sau a turaiei, n
domeniul frecvenelor medii.

N S

u
N
S

S N

,n

u
a)

,n

b)

,n
c)

Fig. 7. 57 Traductoare electrodinamice pentru msurarea turaiei n sau a vitezei


unghiulare, : generator de tensiune alternativ cu mai muli poli i cu bobin fix
(a); generator de tensiune continu (redresat) cu magnet permanent de excitaie
(b); caracteristica generatoarelor (c)

La traductoarele cu generarea tensiunii alternative, se poate utiliza


pentru msurarea turaiei sau a vitezei unghiulare, fie valoarea maxim, fie
valoarea efectiv, fie valoarea medie redresat, fie frecvena f a tensiunii
induse.
Pentru transmiterea rezultatelor la distan este de preferat msurarea
frecvenei, pentru c stabilitatea la perturbaii este mai bun.

362

7.9. Elemente sensibile piezoelectrice


Principiu de funcionare
Dac un cristal piezoelectric (de exemplu, cuar SiO2) este supus unei
aciuni mecanice (comprimare sau ntindere de ordinul a civa m) pe direcia
axei sale polare, apare o sarcin electric Q, egal ca mrime i de semne
contrare, pe feele opuse. La schimbarea sensului forei deformatoare, semnul
sarcinii se schimb i el. Acesta este efectul piezoelectric direct, efectul invers
constnd n deformarea (comprimarea sau ntinderea) unui cristal
piezoelectric, atunci cnd pe feele opuse ale sale se aplic o tensiune electric.

F
A

Fx

+Q

O
+

Si

Qx

Fy

U
C

O2

Qy
Fy C

x
B
a)

-Q

F
Fx

b)

c)

d)

Fig. 7. 58 Efectul piezoelectric: structura simplificat a materialului de cuar (a);


traductor piezoelectric simplu (b); traductor cu legarea n paralel a mai multor plci
piezoelectrice (c); efectul piezoelectric transversal (d)

Dac fora deformatoare F acioneaz pe direcia axei polare a


cristalului piezoelectric de cuar, Ox (figura 7.58.a), electrizarea feelor opuse
are loc ca urmare a apropierii ionilor pozitivi, respectiv negativi de suprafaa
A, respectiv B a cristalului, sarcina electric aprut avnd valoarea: Q x =
nd11Fx, unde n este numrul de plci de cristal piezoelectric (figura 7.58. b, c),
d11 este constanta piezoelectric a cristalului i Fx fora care acioneaz pe
direcia axei Ox. Pentru obinerea unei sarcini mai mari, plcile de cristal se
dispun n coloan, pe direcia de aciune a forei (figura 7.58.c).
Dac fora deformatoare acioneaz pe direcia Oy, perpendicular pe
direcia axei polare a cristalului(figura 7.58.d), la unele cristale piezoelectrice
se constat apariia unei sarcini electrice de semne opuse pe feele laterale ale
cristalului (efect piezoelectric transversal).
Cuarul prezint o sensibilitate piezoelectric la efectul piezoelectric
longitudinal de valoare S = 2,31 pC/N i un coeficient de variaie a constantei
piezoelectrice cu temperatura de 21014 K1 ntr-un interval de temperaturi de
200 + 200 C; ceea ce face ca acest material s fie foarte des folosit ca
material piezoelectric. n afara lui, se mai folosesc titanatul de bariu, titanatzirconatul de plumb, etc.
Scheme de msur
363

n conformitate cu figura 7.58.b, capacitatea C, determinat de


capacitatea proprie a cristalului, de capacitatea cablului de msur i de
capacitatea de intrare a amplificatorului, se ncarc la apariia sarcinii Q pe
Q
cristal la tensiunea U = . Pentru asigurarea unei constante de timp de
C
ncrcare = RC suficient de mari, se utilizeaz amplificatoare cu tranzistoare
cu efect de cmp, cu rezistena de intrare R > 1013 i capacitatea de intrare
mic (< 20 pF). Semnalul msurat variaz exponenial n timp, cu constanta de
timp = RC.
Elementele sensibile piezoelectrice se pot folosi numai pentru
msurtori dinamice, n domeniul de frecven 10 5 105 Hz. Cnd are
valoare mare ( 105 s), sunt posibile calibrarea static i msurtori
cuasistatice cu durata de cteva minute. Limita inferioar a domeniului spectral
1
de msur este fi =
. Limita superioar este determinat de schema de
2
adaptare utilizat.
Traductoarele piezoelectrice au sensibilitate mare, rezoluie mare (circa
6
10 ), deplasri mici (de ordinul a 1 m) i frecvene de funcionare mari (pn
la 105 Hz), ceea ce le face apte pentru a fi folosite la msurarea acceleraiei,
forei, presiunii gazelor i lichidelor, a variaiilor de presiune, precum i ca
traductor al vibraiilor sonore (microfon).

364

8.

TRANSMITEREA DATELOR

8.1. Instalaii pentru obinerea i memorarea


rezultatelor msurtorilor
Instalaiile complexe de prelucrare automat a datelor se mpart n dou
tipuri. Primul tip cuprinde instalaiile care servesc la obinerea, nregistrarea
sau acumularea informaiei despre proces. Prelucrarea i aprecierea
rezultatelor msurtorilor se face ncepnd cu un anume moment de timp.
Pentru exemplificare, n figura 8.1.a este prezentat schema simplificat a unei
asemenea instalaii cu aparatul de comand AC.

x1

x
u

x2

x
u

xn

x1

Cod

D
M

A
II CAN

AI

AC

a)

x
u

x2

x
u

xn

M
x
u

D
II

y1
y2

D
A

CAN

yn

CNA
Int

Ies

b)

Fig. 8. 1 - Scheme-bloc simplificate pentru instalaiile de reglare, prelucrare i


nregistrare a mrimilor msurate cu interogare ciclic i cu transferul rezultatelor n
form numeric: a - instalaie cu prelucrarea rezultatelor n orice moment ulterior,
determinat de aparatul de comand AC, n conformitate cu criteriul stabilit; b instalaie cu prelucrarea informaiei de ctre procesorul programabil P n acelai timp
cu recepia acesteia (n timp real); x1,... xn - mrimi de msurat sau de reglat; y1,... yn mrimi de ieire sau de referin; T convertor de msur, traductor; A
amplificator; - filtru de frecven joas; M multiplexor; II - instalaie de
interogare; CAN - convertor analog-numeric; CNA - convertor numeric-analogic; AI
aparat de ieire (de exemplu, nregistrator pe band magnetic)

365

Instalaiile de al doilea tip sunt instalaii de msur i reglare, la care


informaia de la intrare se transform, de exemplu, n semnale de comand,
care acioneaz nemijlocit asupra procesului, ntr-un anumit mod. ntre
momentele de obinere a datelor i de prelucrare a acestora exist un interval
de timp relativ mic. La aceste instalaii, care funcioneaz n timp real,
mrimile msurate se transmit imediat i se prelucreaz. n figura 8.1.b este
prezentat schema simplificat a unei astfel de instalaii, la care numrul
circuitelor reglabile poate fi n > 100. La aceasta se introduce un procesor
programabil P, pentru asigurarea reglrii n timp. Pe baza datelor de intrare x,
acest procesor calculeaz mrimile de ieire y necesare pentru asigurarea
reglrii i optimizrii. Cu ajutorul instalaiilor care conin procesoare se pot
prelucra att rezultatele msurtorilor analogice ct i numerice.
Interfee
Comanda n timp a etapelor procesului de prelucrare a mrimilor
msurate n instalaiile cu un procesor specializat se folosete numai n
instalaiile mari. La instalaiile mai mici este de preferat utilizarea unui PC,
care permite nu numai modificarea programului, dar i prelucrarea n
continuare a rezultatelor msurtorilor.

DB

DIO

IM

TC

DA V
NRFD
NDA C
ATN
IFC
SRQ
REN
EOI

GA

GB

GC

GD

Fig. 8. 2 - Sistem cu flux de informaie serie i paralel pentru aparate de msur


programabile: DB magistral care conine opt canale pentru primirea i
elaborarea informaiei (magistrala de date DIO 1.8); TC magistrala de
comand; IM magistrala de comand obinuit i cu interfaare: DAV date
necesare; NRFD sistemul nu este pregtit pentru primirea datelor; NDAC
datele nu se transmit; ATN atenie; JFC interfaa este pregtit; SRQ
este necesar verificarea; REN este posibil numai servirea extern; EOI
final sau recunoatere; GA aparat de recepie-transmisie comenzi (de
exemplu, PC); GB - aparat de recepie-transmisie date; GC aparat de recepie;
GD aparat de transmisie

366

n interfaa a crei schem principial este prezentat n figura 8.2, pot


fi reunite pn la 15 aparate de msur i de calcul n sistemul de interfaare
serie sau paralel, cu ajutorul magistralelor, cnd distana total de transmitere
a semnalelor este de pn la 20 m, la o vitez maxim de 2 Mb/s.
Toate aparatele au acelai tip de cuplaje standardizate pentru
introducerea i extragerea semnalelor. n regim asincron, start stop, fiecare
aparat permite comanda de la controler cu adresa sa i semnale de comand pe
trei conductoare ale magistralelor de adrese, de exemplu pentru recepia datelor
necesare programrii unui multimetru numeric ntr-un domeniu determinat de
msur pe magistralele DB.
Recepia datelor este controlat prin semnale de tact. Pentru realizarea
msurtorilor, este necesar de la nceput s se apeleze adresa aparatului, iar
apoi, prin magistrala de comand TC, s se transmit semnalul de ncepere a
msurtorii. Aparatul de comand poate cere rezultatele msurtorilor din
aparatul de msur numai dup apariia semnalului de terminare a
msurtorilor. n acest scop, controlerul cere adresa aparatului de msur i,
prin magistrala TC, elaboreaz seria de comenzi codificate pentru apelarea sau
memorarea intermediar a valorilor separate ale mrimii msurate, ntr-o
succesiune determinat n form codificat.
Datele codificate se transmit pe opt cabluri ale magistralei de date de la
aparate i spre aparate. n mod similar, se face afiarea mrimilor msurate n
instalaia de ieire de nregistrare. Cinci conductoare ale magistralei de
comand IM asigur transmiterea informaiei n interiorul sistemului.
Simultan cu controlerul, n sistem poate funciona numai un aparat care
introduce datele n sistem i, n acelai timp, pn la 14 receptoare de
informaie din sistem.
Multiplexoare
Multiplexoarele se utilizeaz pentru obinerea i transmiterea la
distan a mrimilor msurate. La multiplexorul n timp se pot interoga pn la
1000 de canale analogice, manual sau automat, unul dup altul i se comut la
ieire. La ieirea multiplexorului semnalul este modulat (figura 8.6.d). Durata
conversiei analogic-numerice ulterioare la modulaia impuls cod este
meninut cu precizie de ctre semnalul din instalaia impulsurilor de
interogare. La multiplexor, fiecare canal cu traductor se comut manual sau
automat, cu comutatoare multicanal cu timp de comutare scurt i caracteristici
bune de comutare. Pentru sistemul de interogare al multiplexoarelor n timp
este important teorema lui Shannon, conform creia sunt necesare cel puin
dou impulsuri de interogare pe durata perioadei semnalului sinusoidal pentru
ca acesta, n condiii teoretice ideale, s poat fi reprodus n amplitudine i
frecven. n practic, se folosete de obicei o frecven de cinci ori mai mare
pentru repetarea interogaiei pe durata perioadei semnalului de msurat. La
alegerea multiplexoarelor se au n vedere urmtoarele condiii: numrul de
367

canale, tip de traductoare (active sau pasive), schem de msur (de exemplu,
echilibrarea punii pentru fiecare traductor se poate face fie n scheme separate,
fie ntr-o singur instalaie centralizat cu regim programat de funcionare),
forma semnalului (tensiune continu sau alternativ cu frecven purttoare),
domeniul tensiunilor de intrare, impedana de intrare, amplificarea, logica de
comand (de exemplu, TTL), precizia de transmitere, posibilitatea transferului
semnalului de la un circuit de baz la altul i de la un circuit la mai multe n
paralel, timpul de stabilizare a regimului, frecvena regimului tranzitoriu care
este invers proporional cu timpul de stabilizare, etc.

8.2. Msurtori la distan i telemetrie


8.2.1. Instalaii pentru msurtori la distan
La msurri la distan, mrimea de msurat se transmite sub forma
semnalului electric de la un punct la altul pe canale. Telemetria se refer la
transmiterea semnalului msurat de la obiectul mobil la receptorul mobil sau
fix, fr cabluri, cu ajutorul undelor electromagnetice.
STRD

IIF

STRD

ITD
I

IRS IFD

TS

IC

IIF
LT

ITD
I

IC

TS

IFD IRS

C
SPD
STD
Fig. 8. 3 - Sistem de prelucrare a datelor; STD sistem de transmitere a datelor;
SPD sistem de prelucrare a datelor; C conexiuni; STRD - staii de transmitere
i de recepie a datelor; IIF instalaie a informaiei finale; IRS - instalaie de
resetare a semnalului; IFD - instalaie de funcionare la distan; I - interfa; ITD
- instalaie pentru transmiterea datelor; TS transformator de semnal; IC instalaie de cuplare; LT linie de transmisie

Un sistem de transmitere a datelor (figura 8.3) se compune din dou


staii STRD legate prin cablu, care conin elemente notate conform
explicaiilor din figur. Principial, instalaia de msurtori la distan conine
blocuri de obinere, transformare, transmitere i recepie a semnalului msurat,
precum i decodificarea i elaborarea mrimii msurate. Modulatorul ITD la
intrarea n linie transform semnalul n forma corespunztoare, necesar
transmiterii; demodulatorul de la captul liniei, ITD ndeplinete transformarea
invers. Asamblarea instalaiilor pentru msurtori la distan i telemetrie
368

depinde de diferitele metode utilizate pentru msurare. n acest scop, se


folosesc aceleai elemente ca i la instalaiile de obinere i prelucrare a
rezultatelor msurtorilor. Transmisia la distan a mrimilor msurate se
poate face fie cu flux dirijat ntr-un singur sens, fie cu flux reversibil.
Msurtorile la distan se folosesc n multe domenii de activitate, de exemplu
pentru controlul i comanda proceselor tehnologice n reele electrice i n
sistemele de asigurare electroenergetic n puncte centrale de dispecerat, la
comanda n transporturi, n medicin, la protecia mediului nconjurtor, la
controlul zborurilor cosmice, etc.
8.2.2. Transmiterea semnalelor n curent constant
La metodele de msur analogice, n orice moment de timp se pstreaz
raportul evident dintre valorile mrimilor msurate i semnal. La transmiterea
semnalelor n curent constant (figura 8.4) mrimea msurat x se transform
n mrimea y n convertorul C i apoi, dup amplificarea n amplificatorul A,
curentul i acioneaz asupra aparatelor de msur A0, A1, A2. n limitele
domeniului de msur xmin < x < xmax, curentul este:
i = Sx(x xmin) + i0 = f(t)
unde Sx este sensibilitatea i i0 curentul pentru xmin.
Conductoarele de transmisie pot fi linii de msur bifilare sau
telefonice. Cnd puterea amplificatorului este de 1 2 W, valoarea tensiunii
pe linie nu depete 10 V, datorit rezistenei electrice a liniei. Rezistena
maxim de sarcin este:
U 10
10

102 103 = 500 2000 .


RS =
I 2
5

RL
A0

RB

RL

A1

A2

x
u

uA

Fig. 8. 4 - Instalaie analogic pentru transmiterea semnalului n curent constant:


x - mrimea msurat; u tensiunea msurat; I curent continuu; A0, A1, A2
aparate; G - numrtor; RL - rezistena conductoarelor; RS - rezistena aparatului
de ieire; lungimea conductoarelor (20 80 km)

n cazul transmisiei n tensiune constant, se folosete sursa de tensiune


pentru semnale de intrare conform tabelului 7.2. Datorit influenei
rezistenelor conductoarelor i modificrii acestora cnd aparatele de ieire
consum curent, apar erori de msur. Avantajul metodei const n faptul c
toate receptoarele pot s aib un terminal pus la pmnt.

369

8.2.3. Procedee analogice de msurare la distan cu transformarea


informaiei de tipul frecven structur
Procedee cu frecven variabil
La aceast metod, mrimea msurat se transform n semnal electric
cu frecvena proporional cu valoarea mrimii msurate (procedeul analogicfrecven). Variaia frecvenei se realizeaz de obicei ntr-un circuit oscilant,
prin modificarea inductanei sau capacitii. n figura 8.5 este prezentat
schema principial analogic-frecven de transmitere fr contact a mrimii
msurate, la distane mici, cnd se msoar momentul de rotaie.

B
ftr

R
ftr

R
BIT

AI

BIR

Fig. 8. 5 - Instalaie inductiv monocanal pentru transmiterea mrimii msurate la


distan mic: ftr - frecven purttoare; M - punte de msur; U - convertor de
msur; G - oscilator; B - baterie; BIT - bobin de inducie de transmisie; BIR bobin de inducie de recepie; R - receptor de msur cu discriminator; AI aparat de ieire; R - partea aflat n rotaie; S partea staionar

Modificarea rezistenei tensotraductorului din puntea de msur M se


traduce la ieirea aparatului n frecven proporional cu momentul de rotaie
(modulaie de frecven a frecvenei medii). Alimentarea prii R care se
rotete se face de la o baterie B, ncorporat n aceasta. Partea staionar S
recepioneaz semnalul indus n bobina BIR. Semnalul la receptorul de msur
R cu discriminator se transform n tensiune de ieire, n domeniul (1 10)
V sau n curent de ieire n domeniul 20 mA, msurat de aparatul de ieire
AI.
Acest procedeu se folosete pentru transmiterea fr contact la distan
mic (1 100 cm), pentru frecvena de msur fM = 1600 Hz, a mrimilor
fizice cum sunt fora, momentul de rotaie, temperatura, cu utilizarea
tensotraductoarelor, traductoarelor inductive sau termoelementelor, montate
pe repere rotitoare.
Procedee analogice n impuls
Mrimile de msurat pot fi transformate n succesiune de impulsuri prin
mai multe procedee.
370

Procedeul frecven impuls


Numrul de impulsuri n unitatea de timp poate servi ca msur a
mrimii msurate (figura 8.6.a). De obicei, frecvena de repetiie a impulsurilor
este de 2 - 12, 5 - 15 sau 5 - 25 impulsuri pe secund. Pentru c, n principiu,
se pot transmite impulsuri cu orice frecven, se poate considera c sistemele
analogice funcioneaz continuu.

u
T

tX

uX

u0

t
0

a)

tX

u0
0

u
T

t
0

b)

t
0

c)

d)

Fig. 8. 6 - Procedee de transmitere la distan a seriei de impulsuri: a - metoda


frecven-impuls; b - modulaia n durat a impulsurilor; c - modulaia n faz a
impulsurilor; d - modulaia n amplitudine a impulsurilor; T - perioada dintre
impulsuri, u0 i uX - tensiunea impulsului, respectiv a mrimii msurate

Modulaia n durat a impulsurilor


Mrimea msurat, uX se transform n impulsuri dreptunghiulare cu
tensiune maxim constant u0 i cu durate diferite tX, proporionale cu semnalul
de msurat: uX ~ tX (figura 8.6.b). Pentru o perioad T, n receptor se obine
semnalul msurat uX ~ tX/T. La generatoarele mecanice de impulsuri, frecvena
de repetare a impulsurilor, f = 1/T este de obicei de 1 Hz, iar la cele electronice
10 Hz. Eroarea de transmitere nu depete 1 %.
Modulaia de faz (de poziie) a impulsurilor
La aceast metod, mrimea msurat, uX, se transform n intervalul
de timp tX, egal cu intervalul de la primul impuls scurt pn la al doilea impuls
scurt (figura 8.6.c). Cnd lungimea liniei de transmisie crete, aceast metod
este preferabil celei anterioare.
Modulaia de amplitudine a impulsurilor
Semnalul analogic de msur, uX = f(t) poate fi reprezentat sub forma
unei succesiuni de impulsuri echidistante (figura 8.6.d), cu amplitudinea egal
cu valoarea instantanee a semnalului. Acest semnal modulat se realizeaz ca i
la multiplexorul n timp, de ctre comutatorul impulsurilor de interogare. Dup
transmiterea semnalului i demodularea acestuia, se determin valoarea
maxim a impulsului, care apoi, pentru scurt timp, se memoreaz dac este
necesar. Curba n trepte obinut este similar celei originale.

371

Modulaia impuls cod


Impulsul modulat n amplitudine este transformat n form numeric
codificat n form binar, dup care semnalul se transmite n aceast form.
La demodulare, semnalul se transform n convertorul numeric-analogic n
semnal proporional cu mrimea msurat, care apoi se memoreaz pentru o
scurt durat. Acest procedeu se folosete n principal la multiplexoarele n
timp.
8.2.4. Multiplexoare de frecven
Instalaia pentru transmiterea informaiilor msurate conine deseori
cteva canale care pot s funcioneze n acelai timp datorit multiplexoarelor
de frecven. n acest fel, se economisesc canale de transmitere (spre exemplu
conductori). n sistemele cu modulaia frecvenei subpurttoare (figura 8.7)
tensiunea semnalului msurat provoac modulaia de frecven n generatorul
frecvenei subpurttoare G.

u1
u2
un

Ad
Ad

Ad

AA

Tr

AI

Fig. 8. 7 - Schema principial a multiplexorului de frecven cu modulaia


frecvenei subpurttoare (sistemul telemetric FM - FM): u1, un - tensiunile
semnalelor msurate; Ad - instalaie de adaptare; G - generatoare de frecven
subpurttoare; AA - amplificator amestector; Tr - transmitor; R - receptor; D detectorul frecvenei subpurttoare; AI - aparat de ieire

Toate semnalele
frecvenei subpurttoare se nsumeaz n
amplificatorul amestector AA i semnalul rezultat moduleaz semnalul
frecvenei de transmisie. De obicei, frecvena maxim de transmisie este 446
MHz, la puterea de circa 1 W. Pentru c, att frecvena principal, ct i
frecvena subpurttoare sunt modulate, n acest caz procedeul se refer la dubla
modulaie de frecven. n partea de recepie semnalul trebuie s fie detectat
de dou ori. La prima detecie, n receptor se obine suma tuturor frecvenelor
subpurttoare i, cu ajutorul filtrelor, sunt selectate frecvenele subpurttoare.
Redresarea n continuare, dup fiecare filtru, d tensiunea corespunztoare
mrimii msurate transmise. Aceast tensiune cu banda de maximum fM 9
372

kHz ajunge la aparatele de ieire, se nregistreaz pe hrtie sau band


magnetic i poate fi utilizat pentru prelucrarea n continuare.
Multiplexoarele de frecven pot avea canale subpurttoare cu fM = 400,
800 i 1200 Hz precum i fg = 37, 169, 433, 456 i 466 MHz (aceste frecvene
se utilizeaz n medicin, biotelemetrie i industrie). Zona de recepie
corespunde zonei vizibilitii optice. Ea este egal cu aproximativ 30 km, cnd
antena are nlimea de 75 m. Aceste instalaii, pentru erori admise de maxim
1%, sunt relativ costisitoare.
Modulaia frecvenei subpurttoare
Dac frecvena subpurttoare nu se moduleaz n frecven ci n
amplitudine, atunci dispar problemele datorate derivei nulului i oscilatoarele
i demodulatoarele se simplific. n acest caz ns erorile de amplitudine sunt
percepute ca semnal de msur.
8.2.5. Multiplexoare n timp
La acestea, canalele se cupleaz pe rnd, pentru transmiterea rezultatelor
msurtorilor.
n figura 8.8, este prezentat schema principial de transmitere cu
multiplexare n timp cu n canale pentru transmiterea mrimilor analogice. La
emitor i la receptor (la staia de comand i la substaie) se cupleaz
comutatorul K i respectiv comutatorul DK, la care se cupleaz traductoarele
tensiunilor msurate u1, ... , un i respectiv aparatele de ieire.

x1

x
u

x2

x
u

xn

DM

DK

x
u

Tr

AI

Fig. 8. 8 - Instalaia pentru transmisia la distan cu multiplexare n timp: x1, , xn


- mrimi msurate; u - tensiuni msurate; Tr - transmitor; R - receptor; C convertorul mrimii msurate; K comutator la emisie; M - modulator; DK
comutator la recepie; M - dispozitiv de memorie; AI - aparat de ieire

Strobarea
n figura 8.9 se arat cazul cnd tensiunile de msur, u1, u2 i u3 pe
timpul ciclului de deplasare a comutatorului K se compar cu impulsul de
interogare i apoi se transmit. Impulsul de sincronizare u3, transmis mpreun
cu acestea, comand micarea comutatorului DK la partea de recepie.
373

Impulsurile de tensiune transmise, ca i la instalaia din figura 8.8, se


memoreaz pentru timp scurt n memoria canalelor separate. Cu ajutorul
filtrului de frecvene joase se reface curba originalului, care se nregistreaz de
aparatul de ieire corespunztor.

u1
u2

u1

a2

a1

canal

0 1 5 9 13

u2

u3

uS

a3

t
0

DK

b)

2 6 10 14

aS

u3

uS
t

3 7 11 15

a)

t
12 3 4

c)

Fig. 8. 9 - Strobare cu ajutorul multiplexorului n timp: a - tensiuni msurate,


variabile n timp; b - instalaie cu comutator la recepie K, canal de
transmisie i comutator la recepie, DK; c - forma semnalelor u transmise, cu
impulsul de sincronizare, us

Banda de frecven a filtrului de frecvene joase trebuie s fie egal cu


jumtate din frecvena de rotaie a comutatorului.
n practic, frecvena de repetare a impulsurilor f, se alege cel puin de
dou ori mai mare dect frecvena armonicii superioare, fmax a semnalului
transmis, n mod frecvent, fi 5fmax.
Comutaia
Semnalele care se modific rapid necesit fie comutatoare cu vitez
mare de rotaie i cu numr mic de canale, fie utilizarea unor subcomutatoare
ale comutatorului (figura 8.10). n limitele ciclului de strobare, conform figurii
8.10.a, se poate cu ajutorul comutatoarelor rapide s se culeag mai des
semnalul de frecven nalt. n cazul comutaiei lente (figura 8.10.b), semnalul
de frecven joas se culege dup fiecare al doilea sau al treilea ciclu.
Cantitatea de date n cazul comutatorului rapid n fiecare ciclu de strobare a
comutatorului principal se mrete de dou ori (sau mai mult).
374

Semnalele msurate selectate, ca i informaia care apare sporadic cum


sunt comunicrile, comenzile, etc., dup recunoaterea lor pot fi transmise o
singur dat. n funcie de importana acestora, diferitele date se pot transmite
n ordine prioritar. Multiplexorul n timp poate fi cuplat ntr-un canal cu
multiplexorul de frecven. Dat fiind c la strobarea impulsului de tensiune
msurat n cazul modulaiei de amplitudine a impulsului, apar impulsuri pn
la 600 Baud i aceste impulsuri nu ntotdeauna pot fi transmise prin conductori
(spre exemplu de telefonie ) atunci se folosesc alte metode de transmitere.
Pentru exemplificarea modului de funcionare a multiplexorului n timp
s determinm frecvena de repetare a impulsurilor de interogare, atunci cnd
numrul canalelor este n = 15, 30, 60 i 90 i frecvenele corespunztoare de
rotire a comutatorului, fu = 200, 100, 50 i 33,3 Hz.

Frecvena de repetare a impulsurilor pentru toate canalele are valoarea


fi = nfu = 3 kHz, adic pentru toate canalele exist 3000 de impulsuri de
interogare pe secund semnalul de msurat poate fi interogat pe o perioad mai
mare de timp dac se reduce numrul canalelor comutatorului.
Multiplexoare n timp cu modulaia de faz a impulsului
n aceste aparate, mrimea msurat este determinat ca intervalul de
timp dintre fronturile impulsurilor i1 i i2. Primul impuls n emitor i receptor
produce o tensiune liniar cresctoare. Cnd aceast tensiune est egal cu
mrimea msurat, n emitor se genereaz al doilea impuls i2, odat cu
apariia cruia nceteaz creterea tensiunii n receptor. Aceast tensiune,
Fig. 8. 10 - Instalaie pentru comutaie: a - comutaia a patru canale echidistante cu
comutator rapid; b - comutaia cu ajutorul unui comutator principal KP i a unui
subcomutator SK

375

corespunztoare mrimii msurate, se introduce n memorie i se transmite la


ieire. Dup terminarea ultimei interogri (de exemplu, cea de-a cincea), cu
ajutorul unui impuls de durat mai mare se face sincronizarea instalaiei de
recepie. Deoarece mrimea msurat este proporional cu intervalul de timp
dintre fronturile impulsurilor, erorile datorate proceselor oscilatorii nu apar n
schem.
Multiplexoare n timp cu modulare numeric impuls cod
La transmiterea mrimilor msurate la distane mari, cnd numrul de
canale este mare, se acord preferin reprezentrii numerice a semnalelor n
modulaie impuls cod.

x1

x
u

x2

x
u

xn

Nser
A
BI

x
u

CC
~

2Ph
NRZ

CAN

Cpar

Cser
S
P

Nser
CNA
D
A

CC

a)

u1

un

u2

GSST

DMP

b)

Ieire

2Ph

L
Npar

Intrare

MP

NRZ

IG

Fig. 8. 11 - Schema de structur a instalaiei pentru transmiterea datelor cu modulaie


impuls cod: a - transmitor (modulator); b - receptor (demodulator); x1, ..., xn mrimi msurate; u1, ..., un - tensiuni de ieire; C - convertor mrime msurat; A
- preamplificator cu filtru; MP - multiplexor; DMP demultiplexor; BI - dispozitiv
pentru blocarea interogrii; CAN - convertor analogic-numeric; CNA - convertor
numeric-analogic; Cpar - convertor paralel-serie; Cser - convertor serie-paralel; CC convertor de codare; GSST - generator pentru extragerea semnalelor sincrone de tact
(regenerator); IG - integrator-generator; L - logica de comand; Npar i Nser instalaii pentru elaborarea numeric paralel i serie a semnalelor

376

Tensiunea msurat, u se compar cu semnalul de interogare, se


cuantizeaz i apoi se transmite sub forma succesiunii numerice (figura 8.11).
n receptor (figura 8.11.b), fluxul de informaii dup instalaia de sincronizare
se reface n regeneratorul GSST, n convertorul serie-paralel se transform n
form bit-paralel i, cu ajutorul convertorului numeric-analogic CNA, se
transform n impulsuri de ieire de strobare. Demultiplexorul DMP
repartizeaz semnalele pe filtrele i, la ieiri se obin tensiunile un,
corespunztoare mrimilor msurate. Aceste instalaii se folosesc fie n
sistemele pentru prelucrarea rezultatelor msurtorilor cu ntrziere n timp, fie
n sistemele pentru nregistrarea numeric sincron i prelucrarea ulterioar.
Cuantizarea
Nivelurile tensiunilor u1, u2 , obinute n procesul de strobare la
momentele t1, t2 ... (figura 8.12.a), se transform n cod binar n convertorul
analogic-numeric. n acest fel, se formeaz cuvinte binare, care se transmit n
serie (figura 8.12.b, c, d), n sistemul binar. Cuvntul cu n = 3 bii permite o
rezoluie a mrimii msurate cu 23 = 8 intervale de cuantizare (trepte de
amplitudine). Lungimea fiecrui cuvnt numeric cu n = 3, 8, 12, ... bii este
determinant pentru obinerea rezoluiei i preciziei ntregului sistem.
Dac n = 3 bii, rezoluia corespunztoare este de 23 trepte, sau rezoluia
relativ este egal cu 23 = 0,125. Eroarea de cuantizare la convertorul analognumeric este de 0,5 bit, nsemnnd o eroare relativ de 6,25%. Valoarea
rezoluiilor relative Q pentru diferii pai de cuantizare, corespunztor
interpretrii binare n, care se pot ntlni n tehnica de msurare este prezentat
n tabelul 8.1.
Tabel 8.1. - Cuantizarea mrimilor msurate pentru diferite numere binare n
PARAMETRI
NUMERE DE CUANTIZARE
J
REZOLUIE RELATIV Q
(%)

n
7
8
9
10
27
28
29
210
128 256 512 1024
0,8 0,4 0,2 0,1

11
12
14
211
212
214
2048 4096 16384
0,05 0,025 0,0125

Frecvena de interogare se alege de obicei mai mare dect frecvena


maxim, fmax, a semnalului de msurat. De obicei fp 5fmax, caz n care
coeficientul distorsiunilor neliniare nu depete 1%. n intervalul t1 - t2 dintre
impulsuri se pot transmite cuvintele de cod pentru alte canale de msur. Ciclul
de strobare include durata de transmitere a cuvntului de sincronizare i a unui
cuvnt-numr pentru fiecare canal. Prin controlul de paritate a codului se poate
obine orice precizie de transmitere dorit.
Pentru transmiterea semnalelor la multiplexoarele cu modulaie
numeric impuls cod, se folosesc urmtoarele coduri binare NRZ; NRZ-C;
377

NRZ-S, RZ; Bi-L; Bi-M; Bi-S; DM-NRZ-M; DM-NRZ-S. Cele mai


utilizate sunt procedeele NRZ-C, cnd 1 reprezint un anumit nivel, iar 0 cel
invers (figura 8.12.c), precum i metodele Bi-M, cu schimbarea nivelului la
fiecare nceput de cuvnt, astfel c 0 nu poate fi a doua schimbare a nivelului,
iar dup 1, a doua schimbare a nivelului se produce cu 0,5 bit mai trziu (figura
8.12.d).

jz u
a)

b)

8
7
6
5
4
3
2
1
0

jN SB
8
7 111
6 110
5 101
4 100
3 011
2 010
1 001
0 000

2
0 0 1

1 0 0

4
11 0

111

1 0 1

6
0

1 1

c)

NRZ - C

d)

Bi - M

La metoda E-NRZ, pentru obinerea densitii maxime de informaie,


la fel ca i la procedeul NRZ (adic n cod binar obinuit), n fiecare grup de
informaie se introduc codurile de pariti. n acest fel, se obine o densitate a
informaiei pe band magnetic de pn la 1 MB/s. Eroarea relativ care se
obine n acest caz nu depete 107. Pentru exemplificare, s determinm
numrul maxim al pailor de cuantizare i frecvenele maxime ale semnalului
de msurat, fmax, care se pot obine la transmiterea cu modulaie impuls cod a
cuvintelor cu n1 = 8, n2 = 10 i n3 = 12 bit, cnd volumul informaiei de transmis
este de fb = 3 MB. Numrul cuantelor j = 2n i, n conformitate cu tabelul 8.1,
j1 = 256, j2 = 1024, j3 = 4096. Dac la frecvena fM se face numai o singur
interogare, atunci este necesar cantitatea de informaie fT = nfM. n practic,
pe durata unei perioade se fac cel puin cinci interogri i, din aceast cauz
volumul informaiei este: fb = 5nfM. De aici, se obine frecvena maxim, fmax
Fig. 8. 12 - Cuantizarea la modulaia impuls cod: a - cuantizarea cu t interogri a
tensiunii de msurat ux n cazul a jz zecimale sau jN trepte numerotate ale valorilor
instantanee u n sistemul binar; b - cod de cuantizare n form binar; c - forma
tensiunii n cazul codrii naturale n sistemul binar NRZ - C; d - forma tensiunii n
cazul codrii cu schimbarea duratei impulsurilor la nceputul fiecrui rang nou Bi-M

= fb/5 sau fmax1 = 2106/58 = 50 kHz; fmax2 = 40 kHz i fmax3 = 33,3 kHz.
378

9. PRELUCRAREA ELECTRONIC A
REZULTATELOR MSURTORILOR
Problema principal a prelucrrii rezultatelor msurtorilor se refer la
generalizarea informaiei i la reducerea volumului acesteia pentru obinerea
datelor caracteristice determinante despre proces. n acest fel, apar urmtoarele
probleme pariale:
transformarea reprezentrii informaiei;
transferarea mrimilor fizice i a condiiilor experimentului la cele normale;
stabilirea legturilor dintre semnalele msurate i funciile matematice;
determinarea legturilor matematice dintre rezultatele obinute;
determinarea valorilor limit a parametrilor procesului;
alctuirea diagramelor;
analiza posibilitilor de reducere a vitezei de primire i a cantitii de
informaii obinute, reducerea parametrilor de influen;
determinarea caracteristicilor procesului i a influenei acestora asupra
rezultatelor finale.
Datele msurate pot fi prelucrate n circuitele de msur fie imediat n
procesul de msurare, fie dup memorare intermediar, prin nregistrare
mecanic sub forma graficelor sau pe suport magnetic. Semnalele msurate se
reprezint deseori n form determinist pentru procesele variabile periodice
sau continue sau n form stohastic pentru procesele care variaz neregulat.

9.1. Aparate de calcul


Acestea se utilizeaz n circuitele de msur pentru prelucrarea
semnalelor msurate prin realizarea diferitelor operaii de calcul cu acestea.
9.1.1. Aparate de legtur
Aceste aparate servesc pentru legtura a dou sau mai multe semnale de
msur.
Adunarea i scderea
Pentru obinerea sumei u n aparatul de adunare (figura 9.1) este
necesar respectarea condiiei:
u = A(wa + wb + + wnn + )
unde a, b, , n sunt mrimile de intrare, wwwn - sunt coeficieni de
ponderare i A amplificarea. n cazul a dou tensiuni de intrare, se obin
urmtoarele tensiuni de ieire:
u = wuwu
pentru adunare, respectiv:
uwuwu
379

pentru scdere.
Cnd la intrare se aplic n tensiuni u pe rezistenele de intrare R n
cazul amplificatorului operaional ideal, sumatorul (figura 9.1.b) d
urmtoarea tensiune de ieire:
u
u
u
uRg 1 2 n
R n
R 1 R 2
n aparatul de scdere (figura 9.1.c), n cazul amplificatorului
diferenial ideal, tensiunea de ieire este:

R Rg
Rg
Rg

u 1
u 2
u 1

R 1 R 2 R g
R 1 R g

Cnd RnRi RnRg, rezult


Rg
u(uu
R 1
Dac RRg, se produce scderea nemijlocit i tensiunea de ieire
este:
uuu

u1

u2

w1
w2

a)

R1

un

Rg

u1

R2

Rg

R1
R2
+

Rn

b)

u2

c)

Fig. 9. 1 - Instalaii analogice pentru obinerea sumei i diferenei mrimilor; a


reprezentarea sumatorului; b amplificator operaional inversor ca sumator
analogic; c amplificator diferenial ca instalaie pentru obinerea diferenei
mrimilor; (ui u sunt mrimile de intrare, respectiv de ieire)

Dac diferena dintre tensiunile de intrare este mic, datorit inegalitii


amplificrii pe fiecare intrare, precum i datorit inegalitii rezistenei
rezistoarelor cuplate la amplificatorul operaional, poate aprea o eroare mare,
care poate fi micorat utiliznd schema de scdere cu dou amplificatoare
operaionale.
nmulirea i mprirea electronic analogic
380

Schemele pentru nmulire i mprire sunt prezentate n figura 9.2.c.


Pentru mrimile de intrare a i b i coeficientul w, semnalele de ieire se pot
scrie astfel:
pentru schema de nmulire: u = ab;
pentru schema de mprire: u = a/b
z
uZ
x
y

xy
a
b

u
b

a)

x
y

b)

iZ
R1

uy
R

BL
BL

+
+

R1

Su

ix
x
ux

AL

BL

c)

Fig. 9. 2 - nmulitoare i mpritoare analogice; a, b marcarea nmulitoarelor


i mpritoarelor; c schema de structur a nmulitorului mpritorului cu
rezistene de intrare de valoarea R = 90 k i R1 = 100 k

La schema din figura 9.2.c, tensiunile de intrare (sau curentul), dup


trecerea prin blocurile de logaritmare BL, se transform n semnale
proporionale: log x, log y, log z, care se aplic la schema de nsumare n cazul
nmulirii sau la schema de scdere n cazul mpririi. Schema AL de
antilogaritmare d la ieire urmtoarea funcie de transfer:
10 u y u z 10 u y u z

u
9 ux
9 ix
Cu ajutorul acestei scheme principale este posibil realizarea ridicrii
la putere i a extragerii radicalului.
nmulirea cu ajutorul traductoarelor Hall
Atunci cnd se folosesc traductoare Hall ca instalaii pentru nmulire,
se utilizeaz o plac semiconductoare H, cu grosimea d, prin care se trece
curentul de comand iS, plasat ntr-un cmp magnetic cu inducia B (figura
9.3).
ntre electrozii dispui pe plac perpendicular pe direcia curentului de
B
d

comand, apare tensiunea Hall, cu valoarea: UH = RHiS , unde RH este


constanta Hall. Cnd RH i d sunt constante, UH ~ iSB.
Dac una din mrimile msurate, x1 este proporional cu tensiunea de
comand uM1 i cu iS, iar cealalt mrime x2 proporional cu iM2, deci cu
inducia cmpului magnetic B, (x1 ~ uM1 i x2 ~ uM2 ~ B), tensiunea Hall este:
381

UH ~ iSB ~ x1x2

iM2 ~ B

iS

UH

uM 1
Fig. 9. 3 - Schema traductorului Hall; H - element semiconductor; Ux tensiunea
Hall; uM1 tensiunea msurat, proporional cu curentul de comand iS; iM2
curentul msurat proporional cu inducia B

Banda de frecven la nmulirea cu ajutorul traductoarelor Hall este de


circa 0 200 Hz. La frecvene mai mari apar erori, n primul rnd datorit
curentului iM2 care produce cmpul magnetic.
9.1.2. Aparate funcionale
Aceste aparate transform semnalul de intrare n semnal de ieire
determinat precis de o anumit formul matematic.
Aparatul de logaritmare
Pentru obinerea i nregistrarea mrimilor msurate care se modific
n limite mari, de cteva ordine de mrime, se folosesc amplificatoare cu
caracteristic logaritmic (fig. 9.4.a).
n circuitul de reacie al amplificatorului operaional AO este legat
tranzistorul T (emitor colector). Dac prin circuitul de colector al
tranzistorului trece un curent foarte mic (0,1 1 pA), in limita prii
exponeniale a caracteristicii jonciunii, atunci tensiunea de ieire u este
proporional cu logaritmul tensiunii de intrare u. Caracteristica acestei
instalaii este liniar n limitele a 5 9 ordine de mrime ale u. u log u

382

Fig. 9. 4 - Amplificator logaritmic: a schema principial cu amplificator


operaional i tranzistor; b dependena tensiunii de ieire u n funcie de
tensiunea de intrare u

Aparate de difereniere i integrare automat


Aceste aparate genereaz un semnal de ieire, a crui durat depinde de
semnalul de intrare. La integratorul analogic obinuit (figura 9.5.a), tensiunea
de ieire este:
t

u = A Ct 0 w1a w 2 b dt
0

unde a i b sunt mrimi de intrare, w i w sunt coeficieni de ponderare, A


amplificarea i C o constant depinznd de condiiile iniiale.
Schema analogic de integrare prezentat n figura 9.5.b conine un
amplificator operaional AO cu impedan i amplificare mari (A ),
avnd condensatorul C n circuitul de reacie negativ, constanta de integrare
U0, comutatorul S, prin cuplarea cruia ncepe procesul de integrare. ntr-o
astfel de schem se obine n acelai timp integrala sumei a dou tensiuni u
i u
t
u
1 2 u
u = 1 2 dt + U0
C t1 R 1 R 2
Tensiunea de ieire a circuitului RC din figura 9.5.c este (dac reactana
u
capacitiv este mult mai mic dect rezistena R i dac i :
R
1
u dt .
u =
RC

383

La integratorul numeric (figura 9.6.a), dup transformarea tensiunii


msurate n frecven de ctre convertorul tensiune-frecven, se realizeaz
integrarea cu numrtorul N, care elaboreaz tensiunea de ieire. La integrarea
electronic a mrimilor de intrare, de exemplu, 20 mA sau 10 V, frecvenele
maxime ale impulsurilor sunt proporionale cu 100 Hz.

b)
a)

i1

a
b w1
w2
c

R1

i2

U0

u1

c)
i

ig

R2

R
u

+
u2

AO

Fig. 9. 5 - Integratoare analogice: a - simbol; b schema de integrare cu


amplificator operaional pentru dou mrimi de intrare u i u; c circuit RC de
integrare

La schema de structur a instalaiei numerice pentru nmulirea cu


integratorul (figura 9..6.b), ambele tensiuni de intrare, u i u se compar cu
impulsurile de strobare cu o frecven de exemplu de 10 MHz, rezultatele
comparrii se transform n form numeric n convertorul analog-numeric
CAN, se codeaz n sistemul binar, iar apoi se nmulesc n instalaia Inm
(datorit adunrii numerelor codificate binar).

u
t

000

2n 21 20

a)

384

nm

D
u

II CAN
A
D

Sum
N
DI

AI

b)

Produsul uy = uu n instalaia de nsumare Sum comandat de


instalaia de durat a integrrii DI, se nsumeaz, adic are loc procesul de
integrare. Prin numrtorul N, rezultatul n forma zecimal se transfer la AI.
Schemele de derivare sunt aceleai ca cele din figura 9.5.b,c dar cu poziiile
schimbate ntre R i C, dar acestea nu sunt des utilizate la msurtorile electrice
ale mrimilor neelectrice datorit sensibilitii nalte la perturbaiile de
frecven nalt.
Fig. 9. 6 - Scheme de structur ale integratorului numeric: a instalaie de integrare
numeric a tensiunii msurate cu transformarea tensiune-frecven i numrare cu
numrtorul N; b integrator numeric cu nmulirea a dou valori de ieire ui
u; II instalaie de integrare; CAN convertor analogic-numeric; Inm
nmulitor; N numrtor; AI aparat de ieire; Sum sumator cu instalaie de
durat a integrrii DI

9.2. Analiza spectral a semnalelor de msur


Pentru determinarea spectrului de frecven al semnalului msurat u,
reprezentarea temporal a acestuia, u = u(t), se transform n reprezentare
spectral u = u(f) (figura 9.7.a,b). n acest scop, se face transformarea
matematic (cu ajutorul seriilor Fourier, integralelor Fourier i Laplace) sau se
face analiza spectral cu ajutorul aparatelor. Semnalul variabil stohastic are
spectrul de frecven continuu. La analizorul spectral electronic, semnalul de
intrare cu tensiunea u se trece prin diferite filtre n diferite momente de timp
sau se aplic n acelai timp la mai multe filtre n paralel i, n acest fel, se obin
componente spectrale separate care se gsesc n benzi nguste de frecven f.

f
u(t)

fI
A

f0
G

~
~
~

AI

IC

Fig. 9. 7 - Schema de structur a analizatorului spectrului de frecven u (t)


tensiunea msurat de frecven f, f0 frecvena variabil a oscilatorului G, fI
= f f0 frecvena intermediar, A amplificator, M mixer, filtru, R
redresor, AI aparat de ieire, IC instalaie de comand

n figura 9.7 se prezint schema de structur a analizatorului spectrului


de frecven. La acesta, prin schimbarea frecvenei f0 n procesul de analiz pe
durata ctorva minute, din semnalul msurat u(t) se extrag componentele
separate de frecven f din frecvena intermediar f I = f f0. Amplitudinea
385

acesteia, selectat cu ajutorul filtrului i redresorului R, se nregistreaz n


aparatul de ieire AI programat n funcie de principiul su de funcionare n
valori ale tensiunii maxime sau efective. Oscilatorul G i aparatul de ieire
(aparat de nregistrare sau oscilograf) se sincronizeaz de ctre instalaia de
comand IC in concordan cu componenta de frecven f analizat. La
analizoare, pe timpul msurtorii se menin constante fie banda f a filtrului,
fie raportul f/f.
Analizoare n timp real
Transformarea Fourier foarte rapid se realizeaz la analizatoarele care
funcioneaz n timp real, n care se msoar n acelai timp semnalul care trece
printr-un numr mare de filtre paralele (30 400), de exemplu filtre cu
frecvenele centrale f de la 20 Hz la 20 kHz (figura 9.8).

u(t)

1
2

30

~
~
~
~
~
~

D1

M1

D2

M2

D30

M30

AI

MP

~
~
~
IC

Fig. 9. 8 - Schema de structur a analizatorului n timp real, pentru analiza tensiunii


de msur u(t), A amplificator de intrare; - filtru; M instalaie de memorare;
MP multiplexor; AI aparat de ieire; IC instalaie de comand

n fiecare canal, care conine un detector D, se determin valoarea


efectiv a tensiunii msurate i aceasta se memoreaz n instalaia e memorare.
n continuare, toate canalele, cu ajutorul multiplexorului MP, se cupleaz la
aparatul de ieire AI cu afiare pe ecran.
Banda frecvenelor msurate la analizoarele de frecven joas este de
obicei n domeniul de la 0,1 Hz pn la 100 kHz. Rezoluia n frecven (banda
de trecere a filtrului selectiv) la diferite analizoare se gsete de obicei n
limitele de la 1 pn la 1000 Hz, sau de la 0,1 pn la 30 % din frecvena
msurat. Diferena n amplitudini la trecerea spre frecvena filtrului alturat
este de 100 dB.
Analizoarele spectrului de frecven se folosesc n principal pentru
studiul proceselor oscilante i determinarea frecvenelor rezonante i ale
oscilaiilor parazite n diferite instalaii.
386

Pentru studierea semnalelor oscilante periodice complicate din punct


de vedere al formei, cu multe armonici, sunt de preferat analizoarele cu band
de trecere constant, iar cnd oscilaiile sunt instabile, sunt de preferat
analizoarele cu raport constant f/f. Pentru realizarea studiilor obinuite, n
general este suficient utilizarea analizoarelor cu band de trecere larg, de
exemplu cu filtre cu f/f = 23 % sau chiar cu filtre de octav, cu f/f = 70%.
Analizorul cu band de trecere dat poate fi utilizat pentru msurarea n alt
gam de frecven, dac se modific frecvena semnalului de msurat cu
ajutorul magnetofonului prin variaia vitezelor de nregistrare.

9.3. Analiza de corelaie a semnalelor de msur


Transformrile Fourier pot fi utilizate att pentru analizele de frecven,
ct i pentru cele de corelaie. n acest caz, ambele metode dau aceeai
informaie despre semnal, dar n forme diferite.

u2(t) S2
u1(t) S1

nm

AI

u2(t)
u1(t)
u1(t - )
u1(t)

(
BI

IC

La analizatorul electronic de corelaie (figura 9.9), cnd comutatorul S


este cuplat, semnalul msurat u1(t) se nmulete n instalaie cu funcia u1(t ), care reprezint semnalul u1(t) deplasat n timpul n blocul de ntrziere BI,
produsul funciilor se aplic la integratorul I i se mediaz pe durat de timp
suficient de mare de integrare. n final, se obine funcia de autocorelaie:
T
1
11() = u 1 t u 1 t dt
T0
Fig. 9. 9 - Schema de structur a corelatorului electronic pentru analiza de
corelaie automat i ncruciat a tensiunilor msurate u1 i u2 n poziiile
comutatorului S1 i S2; BI bloc de ntrziere; nm nmulitor; I integrator;
IC instalaie de comand; AI aparat de ieire

387

n corelatorul electronic din figura 9.9, procesele de deplasare n timp,


de nmulire i mediere, corespunztoare operaiunilor din formula de mai sus
se fac automat.
Funcia 11() are un maxim cnd = 0, corespunztor valorii ptratice
medie i tinde la zero odat cu creterea lui cu att mai repede cu ct este
mai greu de reglat procesul analizat.
Fiecrei armonici cu perioada T a funciei msurate u1(t) i corespunde
de obicei maximul pozitiv al funciei de corelaie cnd = T, pentru c, n acest
caz, se manifest o mai mare asemnare a componentei periodice a funciei
msurate i a funciei deplasate n timp.
n figura 9.10 se arat principial formarea funciei de autocorelaie
11(t), a funciilor periodice u1(t) i u1(t ) pentru timpii de deplasare = 0,
0,25T i 0,5T.
n figura 9.10.a,c se arat de asemenea produsul u = u1(t)u1(t ) i
valoarea sa medie, Um.

Fig. 9. 10 - Autocorelaia tensiunii sinusoidale u1 cu tensiunea u1 = u1(t ),


deplasat cu , produsul u1u1 i valoarea medie, Um a produsului pentru diferii
timpi de deplasare n raport cu perioada T: = 0 (a); = 0,25T (b); = 0,5T (c) i
funcia de autocorelaie 11 (d)

La analiza de corelaie ncruciat se obine informaia despre dou


semnale variabile diferite, reciproc legate, u1(t) i u2(t). n analizator se
determin funcia de corelaie 12() ca valoare medie a produsului funciilor
u2(t) i u1(t
Funcia de corelaie:
T
1
12 () = u1 t u 2 t dt u1 t u 2 t
T0
se determin n corelatorul electronic (figura 9.79). Din rezultatele
msurtorilor se determin legtura reciproc n frecven dintre semnale
msurate, u1(t) i u2(t).
388

Funciile 11() i 12() se determin n timp real sau dup memorarea


intermediar, de exemplu pe suport magnetic, dup msurare. Pentru analiza
de autocorelaie sau corelaie ncruciat sunt necesare de obicei 100 1000
de puncte de msurare. Cu ajutorul analizoarelor de corelaie se face studiul
autocorelaiei, a corelaiei ncruciate, a valorii medii a semnalului i a
repartiiei de probabilitate. Funcia de autocorelaie ) d informaii
despre componentele periodice coninute n semnalul stohastic.
Analiza automat de corelaie ncruciat permite studiul proprietilor
sistemelor de reglare a proceselor. Proprietile de transmisie ale sistemelor
studiate sunt determinate nu numai sub forma caracteristicii de frecven sau a
reaciilor la salturile regimurilor din sistem, dar i sub forma informaiei despre
comportarea sistemului la deviaiile mici de amplitudine, despre regimul
perturbaiilor (cu spectrul de frecven de la 0 Hz pn la frecvene foarte
nalte, precum i la funcia treapt). Analiza de corelaie ncruciat a
zgomotelor i reaciei sistemului elimin influena asupra rezultatelor
msurtorilor a perturbaiilor i uureaz obinerea reaciei necesare pentru
sistem. Metodele de corelaie sunt utile la msurarea vitezelor i fluxurilor.

389

BIBLIOGRAFIE
1. Bizicov V.A., .a. Comanda nemijlocit a convertoarelor de frecven,
Energoatomizdat, Moscova, 1985
2. Cebovski D.G., .a. Dispozitive semiconductoare de putere,
Energoatomizdat, Moscova, 1985
3. Damachi E., .a. , Electronic, Ed. Didactic i Pedagogic, Bucureti,
1979
4. Dasclu D., Turic L., Hoffman I., Circuite electronice, Ed. Didactic i
Pedagogic, Bucureti , 1981
5. Dnil Th. , .a., Dispozitive i circuite electronice, Ed. Didactic i
Pedagogic, Bucureti, 1982
6. Gorbacev G.N., .a. Electronica industrial, Energoatomizdat,
Moscova, 1988
7. Iablonovski F.M., .a. Mijloace pentru reprezentarea informaiei,
coala Superioar, Moscova 1985
8. Ionel S., Munteanu R., Introducere practic n electronic, Ed. Facla,
Timioara, 1988
9. Rudenko V.S., .a. Bazele electronicii industriale, coala Superioar,
Kiev, 1985
10. Spnulescu I., Prvan R., Principiile fizice ale microelectronicii, Ed.
Tehnic, Bucureti, 1981
11. Zabrodin Y.S. Electronica industrial, coala Superioar, Moscova,
1982

390

S-ar putea să vă placă și